TW201502696A - 防護薄膜組件、含有其的euv曝光裝置、曝光原版以及曝光方法 - Google Patents

防護薄膜組件、含有其的euv曝光裝置、曝光原版以及曝光方法 Download PDF

Info

Publication number
TW201502696A
TW201502696A TW103117830A TW103117830A TW201502696A TW 201502696 A TW201502696 A TW 201502696A TW 103117830 A TW103117830 A TW 103117830A TW 103117830 A TW103117830 A TW 103117830A TW 201502696 A TW201502696 A TW 201502696A
Authority
TW
Taiwan
Prior art keywords
film
pellicle
euv
protective film
mol
Prior art date
Application number
TW103117830A
Other languages
English (en)
Other versions
TWI661263B (zh
Inventor
Yosuke Ono
Kazuo Kohmura
Original Assignee
Mitsui Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsui Chemicals Inc filed Critical Mitsui Chemicals Inc
Publication of TW201502696A publication Critical patent/TW201502696A/zh
Application granted granted Critical
Publication of TWI661263B publication Critical patent/TWI661263B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Abstract

本發明的課題在於提供一種極紫外光透過性高且不易受到由熱引起的損傷、進而強度高的防護薄膜組件。為了達成所述課題而提供如下防護薄膜組件,其包括:波長550nm的光的折射率n為1.9~5.0的防護薄膜,以及貼附了所述防護薄膜的防護薄膜組件框。所述防護薄膜在組成中包含30莫耳%~100莫耳%的碳、及0莫耳%~30莫耳%的氫。所述防護薄膜的拉曼光譜中的2D帶與G帶的強度比(2D帶的強度/G帶的強度)為1以下,或者2D帶與G帶的強度分別為0。

Description

薄膜及含有其的EUV曝光裝置
本發明是有關於一種防護薄膜組件(pellicle)及含有其的極紫外光(Extreme Ultra Violet,EUV)曝光裝置。
半導體元件的高積體化以及微細化正逐年加速。目前,藉由準分子曝光而形成線寬45nm左右的圖案。然而,近年來,伴隨包含半導體的微細化,而要求形成線寬32nm以下的圖案。就現有的準分子曝光而言,難以應對此種微細加工。因此,正研究將曝光之光替代為更短波長的EUV(極紫外光,Extreme Ultra Violet)。
EUV具有容易被一切物質吸收的特性。因此,EUV微影法中,利用反射光學系統進行曝光。具體來說,藉由反映了曝光圖案的原版(original plate)使EUV反射,從而將抗蝕劑曝光。此時,若原版上附著異物,則EUV會被異物吸收,或EUV發生散射,因而無法曝光為所需的圖案。因此,研究利用防護薄膜組件來保護原版的EUV照射面。
另一方面,EUV微影中,由EUV光源產生的飛散粒子(碎片(debris))會污染曝光裝置已為人所知。若飛散粒子(碎片)附著於光學系統,或削去EUV反射面,則EUV的照射效率會下降。而且,若飛散粒子(碎片)附著於原版,則會如所述般,抗蝕劑無法被曝光為所需的圖案。因此,亦研究在EUV照射裝置內設置用以捕捉飛散粒子(碎片)的過濾窗(filter window)。
對所述防護薄膜或過濾窗,要求(1)對於EUV具有高透過性,(2)不會因EUV照射而分解、變形。作為滿足所述要求的防護薄膜或過濾器,提出有包含單晶矽的膜(專利文獻1以及專利文獻2)、積層於金屬網眼上的氮化鋁膜(專利文獻3)、石墨烯(graphene)膜(專利文獻4)等。
[現有技術文獻]
[專利文獻]
[專利文獻1]日本專利特開2010-256434號公報
[專利文獻2]日本專利特開2009-116284號公報
[專利文獻3]日本專利特開2005-43895號公報
[專利文獻4]國際公開第2011/160861號公報
若對防護薄膜照射EUV,則其能量的一部分會被防護薄膜所吸收。而且,被膜吸收的EUV的能量經由各種緩和過程後轉換為熱。因此,EUV曝光時,防護薄膜的溫度會上升。因此,亦 對防護薄膜要求高散熱性或耐熱性。然而,所述單晶矽膜的散熱性低,進而熔點亦低。因此,存在EUV照射時膜容易受損的問題。此外,單晶矽膜亦具有製造步驟煩雜且價格高的缺點。
而且,因專利文獻3的氮化鋁膜的EUV透過率低,故不適合於要求高EUV透過率的微影用途中。而且,專利文獻4的石墨烯膜為尺寸小的(通常為100nm~1000nm左右)結晶的集合體。因此,膜脆,且膜的耐久性不充分。而且,即便將所述石墨烯積層多個,亦難以獲得充分的強度。
本發明鑒於所述情況而完成。本發明的目的在於提供一種具有EUV透過性以及耐熱性高、進而耐久性亦優異的膜的防護薄膜組件。
即,本發明第一關於以下的防護薄膜組件。
[1]一種防護薄膜組件,其包括波長550nm的光的折射率n為1.9~5.0的防護薄膜、以及貼附了所述防護薄膜的防護薄膜組件框,所述防護薄膜在組成中包含30莫耳%~100莫耳%的碳、以及0莫耳%~30莫耳%的氫,所述防護薄膜的拉曼光譜中的2D帶與G帶的強度比(2D帶的強度/G帶的強度)為1以下,或者,2D帶與G帶的強度分別為0。
[2]如[1]所述的防護薄膜組件,所述防護薄膜進而包含0莫耳%~70莫耳%的選自由Si、B、N、O、Y、Zr、Nb、以及Mo所組成之群組中的第3成分,且,所述碳、所述氫、以及所述第3成 分的合計為98莫耳%以上。
[3]如[2]所述的防護薄膜組件,其包含40莫耳%~60莫耳%的Si來作為所述第3成分。
[4]如[2]或[3]所述的防護薄膜組件,所述防護薄膜包含多晶碳化矽膜,且所述防護薄膜的密度處於3.0g/cm3~5.0g/cm3的範圍。
[5]如[1]至[3]中任一項所述的防護薄膜組件,所述防護薄膜包含選自由類鑽石碳(diamond-like carbon)膜、非晶形碳(amorphous carbon)膜、石墨膜、以及碳化矽膜所組成的群組中的一種以上的膜。
[6]如[1]至[5]中任一項所述的防護薄膜組件,所述防護薄膜的厚度為10nm~120nm。
[7]如[1]至[6]中任一項所述的防護薄膜組件,所述防護薄膜是對高分子膜賦予高能量而獲得者。
[8]如[7]所述的防護薄膜組件,所述高分子膜為聚醯亞胺膜。
本發明第二關於以下的EUV曝光裝置等。
[9]一種EUV曝光裝置,其包括EUV光源、光學系統、以及原版,將來自所述EUV光源的光經由所述光學系統而導引至所述原版,在所述原版的光入射面設置著如[1]至[8]中任一項所述的防護薄膜組件。
[10]一種曝光原版,其包括:原版、以及安裝在所述原版的如[1]至[8]中任一項所述的防護薄膜組件。
[11]一種曝光方法,其包括下述步驟:從EUV光源,使EUV通過如[10]所述的曝光原版的所述防護薄膜而照射至所述原版;以及使所述原版反射的EUV通過所述防護薄膜而照射至感應基板,從而將感應基板曝光為圖案狀。
根據本發明的防護薄膜組件,可確實地抑制EUV照射時異物附著於原版。
10‧‧‧防護薄膜組件
12‧‧‧防護薄膜
13‧‧‧膜黏著劑層
14‧‧‧防護薄膜組件框
15‧‧‧原版用黏著劑層
16‧‧‧通氣孔
20、25‧‧‧過濾窗
31‧‧‧EUV光源
32、35、36‧‧‧多層膜鏡片
33‧‧‧原版
34‧‧‧感應基板
37‧‧‧照明光學系統
38‧‧‧投影光學系統
圖1是表示本發明的防護薄膜組件的一例的概略剖面圖。
圖2是表示石墨的結晶結構的說明圖。
圖3是表示防護薄膜的溫度T與防護薄膜的放射率ε的關係的曲線圖。
圖4是表示防護薄膜的溫度T與防護薄膜的EUV透過率Tr的關係的曲線圖。
圖5是表示本發明的EUV曝光裝置的一例的概略剖面圖。
圖6是表示實施例1的防護薄膜的EUV照射前後的X射線光電子光譜(X-ray photoelectron spectroscopy,XPS)的曲線圖。
圖7是表示實施例2的防護薄膜的EUV照射前後的拉曼光譜的曲線圖。
圖8是表示實施例3的防護薄膜的EUV透過率穩定性的曲線圖。
圖9是表示實施例4的防護薄膜的EUV照射前後的XPS光譜的曲線圖。
1.防護薄膜組件
本發明中,所謂EUV是指波長5nm~30nm的光。即,EUV微影的曝光之光為波長5nm~30nm的光,更佳為波長5nm~13.5nm的光。
如圖1所示,本發明的防護薄膜組件(以下有時稱作「防護薄膜組件」)10包括:防護薄膜12,以及貼附了該防護薄膜12的防護薄膜組件框14。防護薄膜12經由膜黏著劑層13等而展開設置於防護薄膜組件框14上。本發明的防護薄膜組件10中,防護薄膜12亦可與抗氧化層(未圖示)等積層。而且,防護薄膜組件10中包含用以將防護薄膜組件框14與原版(未圖示)加以貼合的原版用黏著劑層15。
1-1.防護薄膜
所述防護薄膜可包含單一的膜,亦可為積層兩層以上的膜。而且,防護薄膜亦可為整個面由支持材料支持的膜,但較佳為可單獨保持膜形狀的膜(自支撐膜)。
防護薄膜的波長550nm的光的折射率n為1.9~5.0。該防護薄膜在防護薄膜的整個組成中包含30莫耳%~100莫耳%的碳、0莫耳%~30莫耳%的氫即可,亦可進而包含0莫耳%~70莫耳%的第3成分(選自由Si、B、N、O、Y、Zr、Nb、Mo所組成 的群組中的元素),防護薄膜的組成中的碳、氫、以及第3成分的合計較佳為98%以上。即,組成中,可微量包含碳、氫、以及第3成分以外的成分,但較佳為碳、氫、以及第3成分的合計為100%。所述折射率由橢圓儀(ellipsometer)來測定。而且,防護薄膜的組成由拉塞福反向散射分光法(Rutherford Backscattering Spectrometry:RBS)、X射線光電子分光法(XPS)來測定。
而且,該防護薄膜的拉曼光譜中的G帶(I(G))的強度與2D帶(I(2D))的強度之比(I(2D)/I(G))為1以下,或者2D帶與G帶的強度分別為0。碳化矽(silicon carbide)膜的拉曼光譜中,有時無法測定2D帶與G帶的強度。即,有時2D帶及G帶中的任一者的強度為0。而且,所謂與2D帶(I(2D))的強度之比(I(2D)/I(G))為1以下,是指防護薄膜中不含石墨烯膜。如所述般,石墨烯膜脆,即便積層,膜的強度亦難以提高。
此處,拉曼光譜較佳為使用拉曼顯微鏡進行觀察。由拉曼顯微鏡進行的觀察如以下般來進行。使雷射光入射至分光鏡(beam splitter)中,並利用光學顯微鏡用的物鏡將由該分光鏡反射的雷射光集中為1μm左右的光束徑(beam diameter)。而且,將光束徑經調整的雷射光沿相對於樣品垂直的方向照射。藉由對樣品的雷射光照射而產生的拉曼散射光,利用所述物鏡而聚集,並經由分光鏡或光圈(aperture)而入射至分光器。拉曼光譜的測定位置不作特別限制,但理想的是對膜的平滑性高且膜厚或雷射照射側的表面狀態均勻的部分進行測定。因拉曼顯微鏡中一般來 說使用X-Y電動平台(electric stage),故可進行映射(mapping)測定或多點測定。因此,在防護薄膜的碳結構中存在分佈的情況下可進行多點測定,在無分佈的情況下亦可僅測定一點。拉曼分光法中所使用的雷射光的波長不作特別限制。作為普通的雷射光的波長,可列舉1064nm、633nm、532nm、515nm、502nm、496nm、488nm、477nm、473nm、466nm、458nm、364nm、或351nm。
單層的石墨烯一般來說包含尺寸小的(通常為100nm~1000nm左右)結晶的集合體,在1590cm-1附近與2800cm-1~2600cm-1觀察到拉曼光譜。1590cm-1附近的光譜被稱作G帶,為與sp2混成軌域(Hybrid Orbital)一併被觀察到的光譜。另一方面,在2800cm-1~2600cm-1觀察到的光譜被稱作2D帶。而且,單層石墨烯中2D帶的強度I(2D)與G帶的強度I(G)之比I(2D)/I(G)>1,所述膜因未獲得膜的強度而耐久性不充分,故無法用作防護薄膜。而且,即便將所述石墨烯積層多個,亦不具有如後述的石墨膜般的c軸方向的規則性。因此,積層石墨烯中未獲得充分的膜強度,從而無法用作防護薄膜。
另一方面,在膜非常厚且具有強度的類鑽石碳膜、非晶形碳膜、石墨膜、以及碳化矽膜等材料中,比起2D帶強度而G帶的強度相對較大,因而I(2D)/I(G)<1,從而該些膜可用作防護薄膜。
在滿足所述折射率及組成、進而滿足拉曼光譜的強度比 的膜的示例中,包含類鑽石碳膜(以下亦稱作「DLC膜」)、非晶形碳膜、石墨膜等。
1-1-1.類鑽石碳膜
類鑽石碳具有鑽石與石墨的中間的結晶結構;且具有sp3鍵與sp2鍵混合存在的非晶形結構。即,DLC膜中因無明確的結晶粒界,故容易成為強韌的膜。DLC膜可為(i)在結構中不含氫的膜(四面體非晶碳(ta-C,Tetrahedral amorphous carbon)),亦可為(ii)在結構中含氫的膜(氫化非晶碳(a-C:H,(Hydrogenated amorphous carbon))),還可為(iii)摻雜了所述第3成分的膜。
(i)結構中不含氫的DLC(ta-C)膜可為僅包含碳、且波長550nm的光的折射率為2.4~2.6的膜。
DLC(ta-C)膜可為利用公知的成膜法而成膜的膜。DLC膜的製作方法的示例中包括:熱化學氣相沈積(chemical vapor deposition,CVD)法、電漿CVD法、電漿離子注入成膜法(基於電漿的離子注入與沈積(plasma based ion implantation and deposition,PBIID))等CVD法;濺射法、離子鍍敷法、過濾陰極真空電弧(Filtered Cathodic Vacuum Arc,FCVA)法等物理氣相沈積(Physical Vapor Deposition,PVD)法;電漿離子注入成膜法等。
(ii)在含氫的DLC(a-C:H)膜的組成中,包含70莫耳%~90莫耳%的碳、及10莫耳%~30莫耳%的氫。該組成中的碳以及氫的合計為98莫耳%以上。而且,DLC(a-C:H)膜中通 常不包含第3成分。DLC(a-C:H)膜的波長550nm的光的折射率通常為1.9~2.5。
DLC(a-C:H)膜的組成或折射率根據氫的含量來調整;根據該氫的含量,膜的硬度或耐久性等發生變化。氫含量少的DLC(a-C:H)膜為強度高且相對於EUV穩定的膜。另一方面,氫含量多的DLC(a-C:H)膜成為具有柔軟性且不易產生裂紋的膜。類鑽石碳膜中所含的氫的量如所述般,利用拉塞福反向散射分光法(RBS)與氫前向散射(Hydrogen Forward Scattering,HFS)分析或傅立葉變換型紅外分光分析(Fourier-transform infrared spectroscopy,FT-IR)法而求出。
DLC(a-C:H)膜可為利用公知的成膜法成膜的膜。DLC(a-C:H)膜的製作方法的示例中包含:熱CVD法、電漿CVD法、電漿離子注入成膜法(PBIID)等CVD法;濺射法、離子鍍敷法、過濾陰極真空電弧(Filtered Cathodic Vacuum Arc,FCVA)法等PVD法;電漿離子注入成膜法等。
(iii)摻雜了第3成分(選自Si、B、N、O、Y、Zr、Nb、以及Mo中的一種或兩種以上的元素)的DLC膜(以下亦稱作「摻雜第3成分的DLC膜」)的組成中,理想的是包含0.1莫耳%~70莫耳%的第3成分。
若DLC膜中摻雜第3成分,則DLC膜的耐熱性高,或膜與支持材料的密接性增高,在製作DLC膜時,施加至膜的應力得到緩和。尤其若第3成分為Y、Zr、Nb或Mo,則防護薄膜對於 13.5nm的EUV的透過性容易增高。
摻雜了Si的DLC膜是向CVD法的製膜室導入有機矽系氣體與烴氣體而成膜。有機矽系氣體的示例中包含三甲基矽烷氣體(Trimethylsilane,TMS)等。摻雜的矽的量由有機矽系氣體與烴氣體的流量比等來控制。
摻雜了B的DLC膜是向CVD法的製膜室導入有機硼系氣體與烴氣體而成膜。有機硼系氣體的示例中包含三甲基硼氣體等。摻雜的硼的量由有機硼系氣體與烴氣體的流量比等來控制。
摻雜了N及O的DLC膜是向CVD法的製膜室導入氮氣體、氧氣體、以及烴氣體而成膜。摻雜的N以及O的量由氮氣體及氧氣體與烴氣體的流量比等來控制。
摻雜了Y、Zr、Nb、或Mo的DLC膜利用將石墨(graphite)以及所述金屬作為靶材的濺射法、離子鍍敷法、FCVA(Filtered Cathodic Vacuum Arc)法等PVD法而成膜。
1-1-2.非晶形碳膜
非晶形碳膜可為僅包含碳且波長550nm的光的折射率為1.9~2.1的膜。非晶形碳膜包含非晶形結構,該非晶形結構主要包含sp2鍵。
非晶形碳膜可為利用真空蒸鍍法成膜的膜。具體來說,為如下的膜,即,在10-2Pa~10-4Pa左右的壓力下,使從蒸發源氣化的材料(碳)堆積於支持材料而成。一般來說真空蒸鍍法中,蒸發粒子的能量相對較小,為0.1eV~1eV,因而膜容易成為多 孔(porous)。因此,利用真空蒸鍍法成膜的非晶形碳膜即便密度低、厚度厚,EUV透過性亦高。
1-1-3.石墨膜
石墨膜可為僅包含碳且波長550nm的光的折射率為2.0~3.0的膜。
圖2是石墨的結晶結構的示意圖。如圖2所示,石墨的結晶具有如下結構,即,sp2碳原子的六員環的連結體在c軸方向上積層多個。本發明中,僅將六員環的連結體在c軸方向上規則排列的化合物作為石墨;石墨烯的積層物等連結體在c軸方向不規則排列者不包含於石墨中。石墨的一部分中亦可包含N、Si、sp3碳原子等。
石墨膜可為單晶結構的膜、亦可為多晶結構的膜。單晶結構的石墨膜在膜強度高且導熱性高的方面較佳。另一方面,多晶結構的石墨膜在容易製造且成本方面較佳。
而且,石墨膜的馬賽克擴散(Mosaic spread)較佳為5.0以下,更佳為0.1以上、3.0以下,進而較佳為0.1以上、1.0以下。若馬賽克擴散為5.0以下,則膜的強度以及散熱性優異。馬賽克擴散為表示石墨膜中的微晶(crystallite)的c軸方向的配向性的指標。馬賽克擴散的值越小,則表示c軸方向的配向性越高。若馬賽克擴散的值大,即石墨膜中的微晶的c軸方向的配向性低,則六員環的連結體難以在c軸方向上規則地排列,石墨膜容易變脆。
例如,所謂馬賽克擴散為0.3°,是表示從與六員環的連 結體(板面)垂直的方向算起的c軸的偏移大致為±0.6°以內(碳用語百科辭典,碳材料學會碳用語百科辭典編集委員會,安田螢一、小林和夫編,阿格耐承風社,2000)。馬賽克擴散利用石墨膜製作時的煅燒過程的溫度或壓力而調整。
馬賽克擴散藉由X射線繞射裝置並按照以下的順序進行測定。在板狀的石墨膜的(002)面的X射線繞射線表示峰值的位置固定X射線繞射裝置的計數器(2θ軸)。而且,僅使試樣(θ軸)旋轉,來測定強度函數((002)面繞射線峰值強度的試樣方位角依存曲線)。根據所獲得的強度函數求出峰值強度的減半值,並將該減半值設為馬賽克擴散。
所述石墨膜可為利用公知的方法成膜的膜。石墨膜的成膜方法的示例中有如下方法:聚噁二唑、芳香族聚醯亞胺、芳香族聚醯胺、聚苯并咪唑、聚苯并雙噻唑(polybenzo bis thiazole)、聚苯并噁唑、聚噻唑、聚丙烯腈、或聚對苯乙炔等高分子膜賦予高能量,並將該些轉化為石墨。賦予高能量的方法理想的是利用高溫煅燒的方法,或者照射放射線的方法。照射的放射線可為X射線、γ射線、電子束、中子射線(neutron radiation)、離子束(重帶電粒子(heavy charged particle)束)等。若將放射線放射至高分子膜,則放射線與構成膜的物質相互作用,膜中的原子被賦予能量。藉由該能量而原子被激發或離子化,或釋出2次電子,從而產生各種化學反應。結果,高分子膜碳化而獲得石墨膜。而且,石墨膜的製作方法的示例中,亦有以碳固體為原料的電弧放電 法,或以烴系氣體為原料的電漿CVD(化學氣相沈積:Chemical vapor deposition)法,以甲烷氣體為原料的真空中的電漿噴射法等。
石墨膜較佳為利用所述方法成膜後,進而施加壓力且在高溫下長時間再退火而成的高配向熱分解石墨(Highly oriented pyrolytic graphite:HOPG)。長時間再退火所獲得的高配向熱分解石墨的馬賽克擴散非常小。
此處,在將石墨膜作為防護薄膜的情況下,有時需要進行利用所述方法獲得的石墨膜的薄層化。作為將石墨膜薄層化的方法,有對石墨膜施加物理的力而劈開的方法。
1-1-4.碳化矽膜
碳化矽膜為包含碳及矽的膜,可為非晶形膜,亦可為結晶性的膜。
非晶形的碳化矽膜可為如下的膜,即,結構中,不僅包含Si-C、C-H、Si-H等異種原子間的鍵,亦包含C-C或Si-Si等同種原子間的鍵。該非晶形的碳化矽膜的組成中,包含30莫耳%~99莫耳%的碳、0莫耳%~30莫耳%的氫、以及1莫耳%~70莫耳%的矽;宜不包含氫。更佳為碳化矽膜的組成中,碳為40莫耳%~60莫耳%,氫為0莫耳%~30莫耳%,矽為40莫耳%~60莫耳%。作為第3成分,亦可包含B、N、O、Y、Zr、Nb、以及Mo等原子。第3成分的組成理想的是包含Si且為1莫耳%~70莫耳%,更理想的是40莫耳%~60莫耳%。而且,該組成中的碳、氫、 以及所述第3成分的合計為98莫耳%以上。
從相對於EUV的透過性提高且相對於EUV的穩定性與耐熱性提高的觀點來說,尤佳為非晶形的碳化矽膜的組成中,包含80莫耳%~85莫耳%的碳、以及15莫耳%~20莫耳%的矽(碳以及矽的合計為100莫耳%)。
非晶形的碳化矽膜可為利用離子鍍敷法成膜的膜。根據由離子鍍敷法成膜時的電壓、被成膜體的加熱溫度、氣壓等,來調整所獲得的膜與支持材料的密接性等。
另一方面,結晶性的碳化矽膜為包含結晶結構的膜,該結晶結構包含Si-C的異種原子間鍵。該碳化矽膜可為單晶結構,亦可為多晶結構。結晶性的碳化矽膜的組成中,包含30莫耳%~99莫耳%的碳、0莫耳%~30莫耳%的氫、以及1莫耳%~70莫耳%的矽;宜不含有氫。更佳的碳化矽膜的組成中,碳為40莫耳%~60莫耳%,氫為0莫耳%~30莫耳%,矽為40莫耳%~60莫耳%。作為第3成分,亦可含有B、N、O、Y、Zr、Nb、以及Mo等原子。第3成分的組成理想的是包含Si且為1莫耳%~70莫耳%,更理想的是40莫耳%~60莫耳%。而且,該組成中的碳、氫、以及所述第3成分的合計為98莫耳%以上。
包含多晶碳化矽膜的防護薄膜的密度較佳為處於3.0g/cm3~5.0g/cm3的範圍。多晶碳化矽膜自身的密度約為3.3g/cm3,在防護薄膜為多晶碳化矽膜與其他層的積層體的情況下,較佳為處於所述範圍。
結晶性的碳化矽膜可為利用公知的方法成膜的膜。結晶性的碳化矽膜的成膜方法的示例中,包含大氣壓電漿CVD法、減壓CVD(低壓化學氣相沈積(Low Pressure Chemical Vapor Deposition,LPCVD))法或AC電漿輔助CVD法等。向CVD法的製膜室導入有機矽系氣體與烴氣體而成膜。有機矽系氣體的示例中包含單矽烷氣體、二氯矽烷氣體等。藉由改變基板溫度、氣體流量、壓力、電漿功率等的條件,而可控制結晶性或膜厚等。例如,藉由提高基板溫度而可提高結晶性,藉由減壓而降低氣壓,由此降低原子、分子的平均自由行程從而可提高成膜時的被覆性或膜厚的均勻性。
1-1-5.防護薄膜的支持材料
如所述般,防護薄膜亦可具有支持材料。對防護薄膜進行支持的支持材料可配設於防護薄膜的原版側,亦可配設於EUV入射面側。而且,防護薄膜亦可埋入至網眼狀的支持材料的間隙內。支持材料的示例中包含:包含矽、金屬等的網眼狀的基板,及金屬線等。
支持材料的面積相對於防護薄膜組件框的內側區域的面積較佳為20%以下,更佳為15%以下,進而較佳為10%以下。若支持材料的面積超過20%,則EUV透過率降低,EUV的照射效率下降。
支持材料的形狀不作特別限制。亦可為將蜂窩形狀、四邊形狀、三角形狀或多邊形組合而成的形狀。為了曝光時不會出 現陰影,較佳為支持材料的重複單元的尺寸儘可能地小。而且,為了提高開口率,較佳為支持材料的寬度在維持機械強度的範圍內減小。較佳為支持材料的多邊形的重複單元的尺寸為10μm~500μm,支持材料的寬度為0.1μm~50μm,更佳為支持材料的多邊形的重複單元的尺寸為10μm~200μm,支持材料的寬度為0.1μm~20μm,進而理想的是支持材料的多邊形的重複單元的尺寸為10μm~50μm,支持材料的寬度為0.1μm~1μm。
支持材料的厚度理想的是在維持機械強度的範圍內減小。EUV光以約6°的傾斜角照射至EUV防護薄膜,並透過EUV防護薄膜。透過的光經EUV遮罩而照射,以約6°的傾斜角再次反射EUV防護薄膜組件。因此,為了減小EUV光被支持材料遮住的面積,理想的是支持材料的厚度薄。支持材料的厚度理想的是0.1μm~500μm,更理想的是0.1μm~200μm,進而理想的是0.1μm~20μm。
防護薄膜的支持材料的製作方法不作特別限制。有如下方法:使金屬線交織而製作網眼支持材料的方法;或藉由蝕刻來削去基板而製作支持材料的方法;或利用微影(lithography)或壓印(imprint)製作支持材料的鑄模,並利用鍍金等方法將金屬等埋入至鑄模中,由此製作支持材料的方法。
作為藉由蝕刻來削去基板而製作支持材料的示例,有時對鋁或不鏽鋼等金屬製基板進行蝕刻。蝕刻可機械削去基板,亦可照射雷射等而削去基板。在對矽基板進行蝕刻而製作支持材料 的情況下,亦可在光阻上對支持材料的形狀圖案化後,進行乾式蝕刻或濕式蝕刻等異向性蝕刻。用於圖案化的光源可根據支持材料的多邊形的重複單元的尺寸或寬度來任意選定。例如,亦可使用D射線、I射線等可見光,或KrF、ArF準分子雷射等紫外線,或X射線或電子束等。
可在載置防護薄膜的狀態下製成支持材料,亦可使製作的支持材料與膜黏著。
1-1-6.作為自支撐膜的防護薄膜的製造方法
製作不具有支持材料的防護薄膜(自支撐膜)的方法不作特別限制,以下表示製造例。
1-1-6-1.在基板上積層犧牲層(sacrificial layer)後加以去除的方法
在基板上積層犧牲層,並在其上製作防護薄膜,然後去除犧牲層,藉此可獲得自支撐膜。犧牲層可設為金屬、氧化膜、樹脂、鹽等可利用特定的處理方法而去除者。例如,犧牲層可為溶解於酸性溶液的鋁等金屬。具體而言,利用蒸鍍或濺鍍等在玻璃基板或矽晶圓的表面積層金屬層,進而在金屬層上積層防護薄膜後,藉由浸漬於酸性溶液等可溶解金屬層的溶液中,而可將防護薄膜自基板剝離。
在使用具有自然氧化膜或氧化矽層的矽晶圓來作為基板的情況下,當在矽晶圓上塗佈防護薄膜後,藉由浸漬於氫氟酸水溶液中而將自然氧化膜或氧化矽層加以去除,從而可將防護薄膜 自基板剝離。
亦可將積層於基板的犧牲層設為如部分皂化聚乙烯醇樹脂或氯化鈉等鹽般的水溶性材料。當在犧牲層上積層防護薄膜後,藉由將積層體浸漬於水中,而可將防護薄膜自基板剝離。
在選定將積層於基板上的犧牲層加以去除的方法方面,可根據防護薄膜的製程耐性、膜強度、犧牲層的去除速度、犧牲層的膜厚均勻性或表面粗糙度等特徵,來選定最適合的任意的方法。
1-1-6-2.將基板蝕刻或溶解的方法
在將基板的材質設為金屬、氧化膜、樹脂、鹽等可利用特定的處理方法而去除者的情況下,在基板上積層防護薄膜後,藉由將基板蝕刻或溶解,而可獲得防護薄膜。
例如,在使用銅箔作為基板的情況下,當在銅箔表面積層防護薄膜後,藉由浸漬於氯化銅蝕刻液中,而對銅箔基板進行蝕刻,從而可將防護薄膜自基板剝離。
在將基板設為玻璃基板的情況下,當在玻璃基板積層防護薄膜後,使用氫氟酸對玻璃進行蝕刻,而可將防護薄膜自玻璃基板剝離。
在將基板設為矽晶圓的情況下,當在矽晶圓積層防護薄膜後,藉由濕式蝕刻或乾式蝕刻來對矽晶圓進行蝕刻,而可將防護薄膜自矽晶圓剝離。濕式蝕刻可使用KOH或四甲基氫氧化銨(Tetramethylammonium Hydroxide,TMAH)、肼等的蝕刻液。乾 式蝕刻可使用氟系(SF6、CF4、NF3、PF5、BF3、CHF3)、氯系(Cl2、SiCl4)、溴系(IBr)等蝕刻氣體。濕式蝕刻速度根據溫度而變化,因此為了以不會對矽晶圓上的薄的防護薄膜造成損傷的方式進行蝕刻,較佳為降低液溫而降低蝕刻速率。
在對矽晶圓進行乾式蝕刻的情況下,亦可事先在矽基板表面設置蝕刻終止層等層。蝕刻終止層可列舉SiO2或SiN等。蝕刻終止層較佳為給防護薄膜帶來拉伸應力的膜。在相對於基板及薄膜的表面平行的方向上作用的殘留應力中,存在拉伸應力與壓縮應力。欲在薄膜內部擴展薄膜的力為拉伸應力,欲在薄膜內部使薄膜收縮的力為壓縮應力。該些應力主要在薄膜的製膜過程中產生。作為帶來殘留應力的因素之一,是基板與薄膜的熱膨脹率的差異。當回到室溫時基板、薄膜均收縮,但其比例因熱膨脹率而不同,若薄膜的熱膨脹率比基板的熱膨脹率大則為拉伸應力,相反時則為壓縮應力。若將拉伸應力帶給薄膜,則對薄膜施加張力,可成為無褶皺的膜。另一方面,若將壓縮應力帶給薄膜,則膜上容易產生變形或褶皺。因SiN膜為帶來拉伸應力的膜,故若該SiN層為蝕刻終止層,則可使對矽晶圓進行乾式蝕刻所獲得的防護薄膜成為無褶皺的膜。蝕刻終止層因在矽晶圓的乾式蝕刻結束後被去除,故可獲得僅包含目標防護薄膜的自支撐膜。
當將基板設為包含氯化鈉等鹽的基板時,在基板表面積層防護薄膜後,浸漬於水中而對基板進行蝕刻,從而可將防護薄膜自基板剝離。當將基板設為塑膠基板時,在塑膠基板表面積層 防護薄膜後,藉由將塑膠基板浸漬於可溶的溶劑中而對塑膠基板進行蝕刻,從而可將防護薄膜自塑膠基板剝離。
1-1-6-3.為了容易在基板的表面上剝離而實施前處理的方法
藉由對基板實施表面處理,來控制防護薄膜與基板表面的相互作用,並藉由向溶劑的浸漬或機械剝離製程,而可容易地將防護薄膜自基板剝離。作為控制防護薄膜與基板表面的相互作用的方法,例如可列舉利用矽烷耦合劑進行的表面處理方法。除此之外,可列舉藉由水或有機溶劑、食人魚(Piranha)水、硫酸、UV臭氧處理等而清洗基板表面的方法。在將基板設為矽晶圓的情況下,可使用過氧化氫水與氫氧化銨的混合液、或鹽酸與過氧化氫水的混合液等RCA清洗法中所用的溶液等。
犧牲層的製膜、基板上的表面處理可分別組合使用將基板蝕刻或溶解的方法。理想的是用於犧牲層或表面處理的物質不易殘存於防護薄膜的表面或內部,且即便殘存亦可利用容易的方法來去除。例如,有利用氣體的蝕刻、利用熱的蒸發、利用溶劑的清洗、利用光的分解去除等,還可組合該些而實施去除。
1-1-7.防護薄膜的物性
1-1-7-1.關於散熱性以及耐熱性
如所述般,在EUV照射時,EUV的能量經過各種緩和過程而變為熱。因此,雖對防護薄膜要求散熱性以及耐熱性,但現有的單晶矽膜存在散熱性低、且EUV照射中受到熱損傷而變形、或容 易破損的問題。
與此相對,所述DLC膜、非晶形碳膜、石墨膜、碳化矽膜均兼備散熱性以及耐熱性,EUV微影中防護薄膜發生破損之虞的情況少。因此,可藉由防護薄膜而確實地保護原版。以下,對所述DLC膜、非晶形碳膜、石墨膜、以及碳化矽膜兼備散熱性以及耐熱性的理由進行說明。
防護薄膜的散熱性主要由(i)防護薄膜的輻射性(利用紅外線的能量的釋放)以及(ii)防護薄膜的導熱性而決定。
(i)在防護薄膜的輻射率ε(輻射性)與EUV照射中的防護薄膜的溫度T、EUV照射強度P、防護薄膜的EUV透過率Tr、斯蒂芬-波滋曼(Stefan-Boltzmann)常數σ、以及壁溫度(EUV照射裝置內部的溫度)Tw之間,以下的關係式(1)成立。另外,關係式(1)中,將防護薄膜的導熱性設為0。
圖3是表示根據所述式(1)求出的「防護薄膜溫度T」與「防護薄膜的輻射率ε」的關係的曲線圖;該曲線圖中,防護薄膜的EUV透過率Tr設為80%,EUV照射強度P設為100W/cm2。如圖3所示可知,防護薄膜的輻射率ε越大,則防護薄膜的溫度T 越低。
另一方面,圖4是表示根據所述式(1)求出的「防護薄膜溫度T」與「防護薄膜的EUV透過率Tr」的關係的曲線圖;該曲線圖中,將防護薄膜的輻射率ε設為0.01,EUV照射強度P設為100W/cm2。如圖4所示,若防護薄膜的EUV透過率Tr變化,則防護薄膜的溫度T發生一些變化,但其變化量小。即,防護薄膜的溫度T幾乎不依存於防護薄膜的EUV透過率Tr,而大幅依存於防護薄膜的輻射率ε。
此處,難以通過實驗而求出防護薄膜的輻射率ε。然而,若依據克希何夫定律,則紅外線吸收率越高的膜,輻射率ε越高。因此,可根據防護薄膜的遠紅外線吸收光譜,來一定程度地預測防護薄膜的輻射性。
作為現有的防護薄膜的單晶矽的紅外線吸收,僅為由Si-Si鍵的伸縮振動模式而引起的吸收,紅外線吸收率低。因此,單晶矽膜的輻射性低。
與此相對,非晶形碳或石墨、非晶形碳化矽具有來自sp2碳鍵的強的吸收,紅外線吸收率高。而且,DLC或摻雜了第3成分的DLC具有來自C-H鍵的吸收或來自sp2碳鍵的強的吸收,紅外線吸收率高。因此,DLC膜、非晶形碳膜、石墨膜、非晶形碳化矽膜的輻射性均高。
(ii)防護薄膜的導熱性由構成膜的材料的導熱率而決定。單晶矽的導熱率為150W/mK~170W/mK。與此相對,石墨 膜的導熱率為1000W/mK~5000W/mK,DLC膜的導熱率為0.2W/mK~30W/mK,結晶性的碳化矽膜的導熱率為100W/mK~350W/mK。即,矽膜或DLC膜、碳化矽膜的導熱性低,與此相對石墨膜的導熱性高。
根據以上可知,作為現有的防護薄膜的單晶矽膜的(i)輻射性以及(ii)導熱性均差,因而散熱性不充分。與此相對,所述DLC膜、非晶形碳膜、石墨膜以及碳化矽膜的(i)輻射性以及(ii)導熱性中的其中一者或兩者均優異。因此,可以說散熱性高。而且,尤其石墨膜的(i)輻射性以及(ii)導熱性雙方均優異,從而散熱性非常高。
另一方面,防護薄膜的耐熱性根據構成防護薄膜的材料的熔點來決定。石墨的熔點為3600℃,結晶性的碳化矽的熔點為2600℃。而且,DLC以及摻雜第3成分的DLC的耐熱性均非常高。與此相對,單晶矽的熔點為1410℃。
即,所述DLC膜、非晶形碳膜、石墨膜、碳化矽膜與現有的單晶矽膜相比,耐熱性非常優異。
1-1-7-2.防護薄膜的EUV透過性與厚度
所述防護薄膜較佳為用於微影的光的透過率高。在將防護薄膜組件用於EUV微影時,較佳為EUV的透過率高;用於EUV微影的光(例如,波長13.5nm的光或波長6.75nm的光)的透過率較佳為50%以上,更佳為80%以上,進而較佳為90%以上。在防護薄膜藉由支持材料支持的情況下、或防護薄膜與後述的抗氧化 層積層的情況下,較佳為包含該些的膜的光的透過率為50%以上。
防護薄膜的光的透過率Tr利用光電二極體來測定。具體而言,根據在未設置防護薄膜的狀態下檢測的電流值(入射光強度I0)、以及在設置著防護薄膜的狀態下檢測的電流值(透過光強度I),並基於下述的式(2)而求出。
[數式2]Tr≡I/I0...(2)
防護薄膜的厚度較佳為考慮防護薄膜的光的透過率、防護薄膜的紅外線吸收率、防護薄膜的強度、以及自支撐性來進行設定。防護薄膜的較佳的厚度為10nm~120nm左右,在具備支持材料的情況下為9nm~110nm左右。
防護薄膜的厚度均勻性或表面粗糙度亦不作特別限制。例如在EUV曝光的圖案化步驟時,只要不產生膜厚的不均勻性、或由表面粗糙度引起的透過率的不均勻性、或由EUV光的散射引起的障礙,則即便膜厚不均勻亦可具有表面粗糙度。防護薄膜上有無產生褶皺不作特別限制。在為EUV曝光的圖案化步驟時,只要不產生由褶皺引起的透過率的下降及不均勻性或由散射引起的障礙,則防護薄膜上亦可具有褶皺。
在防護薄膜的EUV透過率Tr與防護薄膜的厚度d之間,下述式(3)的關係成立。
[數式3]Tr=I/I0=exp(-μρd)...(3)
I:防護薄膜的透過光強度,I0:入射光強度,d:防護薄膜的厚度,ρ:密度,μ:防護薄膜的質量吸光係數
式(3)中的密度ρ為構成防護薄膜的物質固有的密度。而且,所述式(3)的質量吸光係數μ如以下般求出。在光子的能量約大於30eV,且光子的能量充分遠離原子的吸收端時,質量吸光係數μ不依存於原子彼此的鍵結狀態等。例如波長13.5nm的光子能量為92.5eV左右,亦充分遠離原子的吸收端。由此,所述質量吸光係數μ不依存於構成防護薄膜的化合物的原子彼此的鍵結狀態。因此,防護薄膜的質量吸收係數μ根據構成防護薄膜的各元素(1,2,...,i)的質量吸收係數μ1與各元素的質量分率Wi,且由以下的式(4)而求出。
[數式4]μ=μ1W12W2+...μiWi...(4)
所述Wi為根據Wi=niAi/ΣniAi求出的值。Ai為各元素i的原子量,ni為各元素i的數。
所述式(4)的各元素的質量吸收係數μi可適用由亨克 (Henke)等人總結的以下的參考文獻的值(B.L.亨克,E.M.格利克森,J.C.戴維斯(B.L.Henke,E.M.Gullikson,and J.C.Davis),“X射線的相互作用:光吸收,散射,透射,反射(X-Ray Interactions:Photoabsorption,Scattering,Transmission,and Reflection)at E=50-30,000eV,Z=1-92,”At.Data Nucl.Data Tables 54,181(1993)該些數值的最新版刊載於http://www.cxro.lbl.gov/optical_constants/)。
即,只要可確定防護薄膜的質量吸收係數μ、膜的密度ρ,則基於所需的EUV透過率Tr,而可設定較佳的防護薄膜的厚度d。
1-1-7-3.關於防護薄膜的應力
在矽晶圓等基板上製作薄膜所獲得的防護薄膜中有時會殘留應力。若防護薄膜的殘留應力大,則會產生裂紋,或作為自支撐膜時成為破壞的原因,因此較佳為防護薄膜的殘留應力小。防護薄膜的殘留應力的方向與大小,可藉由測定經製膜的基板的翹曲的方向與大小來測定。經製膜的基板的翹曲的方向與大小可使用例如利用了雷射光的位移計測裝置來測定,具體來說,可使用三維形狀測定裝置(NH-3SP三鷹光器股份有限公司)等來測定。防護薄膜的殘留應力的大小理想的是1GPa以下,更理想的是0.5GPa以下,進而理想的是0.2GPa以下。
殘留應力理想的是拉伸方向的應力。在殘留應力的方向為拉伸方向時,因對膜施加張力,故可獲得無褶皺的自支撐膜。 另一方面,在殘留應力的方向為壓縮方向時,因對膜施加壓縮力故產生褶皺。若膜上產生褶皺,則EUV光通過膜時的膜的厚度會根據褶皺的角度而變化,因此EUV的透過率容易產生不均勻性。而且,若膜上產生褶皺,則相對於振動等外力而容易產生破壞,因而不理想。
1-1-7-4.防護薄膜的EUV耐性評估
對防護薄膜照射EUV,並對照射部分與未照射部分進行各種分析,藉此可評估EUV耐性。例如可使用XPS測定、能量色散光譜(Energy Dispersive Spectrometer,EDS)分析、RBS等組成分析的方法,XPS、電子能量損失能譜法(Electron Energy Loss Spectroscopy,EELS)、紅外線(infrared,IR)測定或拉曼分光等結構解析的方法,橢圓偏光法(ellipsometry)或干涉分光法、X射線反射法等等膜厚評估法,顯微鏡觀察、掃描式電子顯微鏡(Scanning Electron Microscope,SEM)觀察或原子力顯微鏡(Atomic Force Microscope,AFM)觀察等外觀或表面形狀評估方法等。散熱性可藉由組合電腦模擬的解析結果而進一步詳細研究。
防護薄膜不限於EUV光,亦可根據評估項目,適當選擇真空紫外線照射、紫外線-可見光線照射、紅外線照射、電子束照射、電漿照射、加熱處理等方法,來實施防護薄膜的耐性評估。
<關於防護薄膜的膜強度的評估>
作為基板上的防護薄膜的強度的評估方法,可列舉利用奈米壓痕儀(nano-indenter)的評估方法。作為自支撐膜的膜強度的評 估方法,可使用共振法或膨出試驗(bulge test)法,對有無因鼓風而引起膜的破壞進行評估的方法,對有無因振動試驗而引起膜的破壞進行評估的方法等方法。
1-2.抗氧化層
亦可在所述防護薄膜的表面積層抗氧化層。若在防護薄膜的表面積層抗氧化層,則抑制EUV照射時或防護薄膜組件保管時的防護薄膜的氧化。抗氧化層可僅形成於所述防護薄膜的一面,亦可形成於兩面。
抗氧化層只要為包含相對於EUV穩定的材料的膜,則其種類不作特別限制。例如,可為包含SiOx(x≦2)、SixNy(x/y為0.7~1.5)、SiON、Y2O3、YN、Mo、Ru、Rb、Sr、Y、Zr、Nb、或Rh的膜等。
為了不妨礙EUV的透過,抗氧化層的厚度理想的是1nm~10nm左右,進而理想的是2nm~5nm左右。若抗氧化膜的厚度變厚,則存在EUV光被抗氧化膜吸收而透過率下降的情況,因而不理想。防護薄膜的厚度理想的是10nm~120nm的範圍,更佳為10nm~30nm。抗氧化層的厚度相對於防護薄膜的厚度的比例理想的是處於0.03~1.0的範圍。若抗氧化膜的厚度相對於防護薄膜的厚度之比增大,則有時EUV透過率下降,因而不理想。
而且,若積層抗氧化層,則會在新生成的層界面,即抗氧化層與空氣的界面、及抗氧化層與防護薄膜的界面,產生EUV光的反射。因此,透過率下降。該些層界面的EUV光的反射率可 根據防護薄膜與抗氧化層的厚度、以及構成防護薄膜與抗氧化層的元素的種類,藉由計算而算出。而且,可與抗反射膜的原理同樣地將膜厚最佳化,藉此可使反射率下降。
抗氧化膜的厚度理想的是抑制因吸收導致的EUV光的透過率下降與因反射導致的EUV光的透過率下降,且在具有抗氧化的性能的範圍內設為最佳的厚度。
抗氧化層的厚度均勻性或表面粗糙度亦不作特別限制。在EUV曝光的圖案化步驟時,只要不產生膜厚的不均勻性、或由表面粗糙度引起的透過率的不均勻性或由EUV光的散射引起的障礙,則無論抗氧化膜為連續層還是海島狀均可,而且,即便膜厚不均勻,均可具有表面粗糙度。
混合防護薄膜與抗氧化層的防護薄膜的平均折射率理想的是1.9~5.0的範圍。折射率可利用分光橢圓偏光法等方法來測定。而且,混合防護薄膜與抗氧化層的防護薄膜的平均密度理想的是1.5g/cm3~5.0g/cm3的範圍。密度可藉由X射線反射法等方法來測定。
1-3.防護薄膜組件框
防護薄膜組件框只要為可將所述防護薄膜經由膜黏著劑層等展開設置的框便不作特別限制,例如可為鋁、不鏽鋼、聚乙烯、陶瓷製的框。例如如圖1所示,防護薄膜組件框14亦可具有由防護薄膜組件10以及原版(未圖示)包圍的區域、及用以將EUV曝光裝置內的氣壓設為固定的通氣孔16。因EUV曝光在真空環境 下進行,故若該些氣壓不均勻,則防護薄膜12有因壓力差而伸縮或破損之虞。較佳為通氣孔16中配設著過濾器使得異物不會進入由防護薄膜組件以及原版包圍的區域。過濾器可為超低穿透率空氣(Ultra Low Penetration Air,ULPA)過濾器或金屬網眼。而且,防護薄膜組件框亦可以容易檢查的方式在不會對曝光造成障礙的範圍內著色。
將防護薄膜固定至防護薄膜組件框的順序或方法不作特別限制。而且,亦可將經蝕刻的基板用作防護薄膜組件框的一部分。例如,可將防護薄膜積層於金屬、矽晶圓、玻璃、樹脂、鹽等可利用特定的處理方法去除的基板上。然後,在與防護薄膜的配置面為相反面的基板表面,根據框的尺寸來施加遮罩,保留遮罩形狀而進行蝕刻或溶解。藉此,可獲得將基板的一部分用作防護薄膜組件框的防護薄膜組件。
用以使基板的形狀與框形狀相應的修整方法不作特別限制。在使用矽晶圓作為基板的情況下,可使用機械切割晶圓的方法或雷射修整的方法。
1-4.膜黏著劑層
將防護薄膜12展開設置於防護薄膜組件框14的方法不作特別限制,可將防護薄膜12直接貼附於防護薄膜組件框14,亦可經由處於防護薄膜組件框14的一端面的膜黏著劑層13,利用機械固定的方法或磁鐵等的引力來固定防護薄膜12與防護薄膜組件框14。
膜黏著劑層13為將防護薄膜組件框與防護薄膜黏著的層。膜黏著劑層13可為例如包含丙烯酸樹脂黏著劑、環氧樹脂黏著劑、聚醯亞胺樹脂黏著劑、矽酮樹脂黏著劑的層。自保持EUV曝光時的真空度的觀點而言,膜黏著劑層較佳為逸氣(outgas)少者。作為逸氣的評估方法,例如可使用升溫脫附氣體分析裝置。
在防護薄膜與防護薄膜組件框具有黏著性的情況下,亦可不使用膜黏著劑層13。作為防護薄膜與防護薄膜組件框的黏著性的評估方法,例如可使用改變壓力、面積、距離、角度並藉由鼓風來評估膜有無破壞或剝離的方法,或改變加速度、振幅並藉由振動試驗來評估膜有無破壞或剝離的方法等。
1-5.原版用黏著材料層
原版用黏著劑層15將防護薄膜組件框14與原版加以黏著。如圖1所示,原版用黏著劑層15設置在防護薄膜組件框14的未展開設置防護薄膜12的一側的端部。原版用黏著劑層15例如為兩面黏著膠帶、矽酮樹脂黏著劑、丙烯酸系黏著劑、聚烯烴系黏著劑等。例如自保持EUV曝光時的真空度的觀點來說,原版用黏著劑層較佳為逸氣少者。作為逸氣的評估方法,例如可使用升溫脫附氣體分析裝置。
在將防護薄膜組件用於EUV曝光時,膜黏著劑層13以及原版用黏著劑層15因暴露於EUV曝光裝置內散射的EUV光下,故理想的是具有EUV耐性。若EUV耐性低,則EUV曝光中黏著劑的黏著性或強度會下降,從而在曝光裝置內部出現黏著劑 的剝離或產生異物等異常。利用EUV照射進行的耐性評估,例如可使用XPS測定、EDS分析、RBS等組成分析的方法,XPS、EELS、IR測定或拉曼分光等結構解析的方法,橢圓偏光法或干涉分光法、X射線反射法等膜厚評估法,顯微鏡觀察、SEM觀察或AFM觀察等外觀或表面形狀評估方法,藉由奈米壓痕儀或剝離試驗而進行的強度及黏著性評估方法等。
將原版展開設置於防護薄膜組件框14的方法不作特別限制,可將原版直接貼附於防護薄膜組件框14,亦可經由位於防護薄膜組件框14的一端面的原版用黏著劑層15,利用機械固定的方法或磁鐵等的引力來固定原版與防護薄膜組件框14。
1-6.防護薄膜組件的用途
本發明的防護薄膜組件可作為保護構件來使用,該保護構件抑制各種曝光裝置內異物附著於原版。尤其EUV曝光裝置內,作為用以抑制異物附著於原版的構件而有用。而且,各種曝光裝置內,不僅作為用以抑制異物附著於原版的保護構件,亦可作為用以在原版的保管時或原版的搬運時來保護原版的保護構件。例如,若為將防護薄膜組件安裝在原版的狀態(曝光原版),在自曝光裝置卸除後,可直接進行保管等。將防護薄膜組件安裝於原版的方法中有利用黏著劑進行貼附的方法、靜電吸附法、機械固定的方法等。
微影中,需要正確轉印電路圖案。因此,曝光範圍內曝光之光的透過率需要大致均勻。藉由使用本發明的防護薄膜,而 獲得在曝光範圍具有固定的光線透過率的防護薄膜組件。
2.EUV曝光裝置
表示將本發明的防護薄膜組件用於EUV曝光裝置的示例。圖5表示EUV曝光裝置的概略剖面圖。EUV曝光裝置中包含:出射EUV的EUV光源31,將來自EUV光源31的光導引至原版33的照明光學系統37,以圖案狀反射EUV的原版33,以及將原版33反射的光導引至感應基板34的投影光學系統38。所述防護薄膜組件10貼附於原版33的EUV照射面側。而且,所述過濾窗20、過濾窗25分別設置於EUV光源31與照明光學系統37之間,以及照明光學系統37與原版33之間。EUV曝光裝置中,藉由原版33反射的光通過投影光學系統38而導引至感應基板34上,且感應基板34被曝光為圖案狀。另外,在減壓條件下進行EUV的曝光。
EUV光源31朝向照明光學系統37出射EUV。EUV光源31中包含靶材與脈衝雷射照射部等。藉由對該靶材照射脈衝雷射而產生電漿,而獲得EUV。若將靶材設為Xe,則獲得波長13nm~14nm的EUV。EUV光源發出的光的波長不限於13nm~14nm,只要為波長5nm~30nm的範圍內的適合目標的波長的光即可。
照明光學系統37將自EUV光源31照射的光聚集,將照度均勻化而照射至原版33。照明光學系統37中包含用以調整EUV的光路(optical path)的多塊多層膜鏡片(multi-layer flim mirror)32、以及光耦合器(光學積分器(optical integrator))等。多層膜 鏡片為交替積層鉬(Mo)、矽(Si)而成的多層膜等。
過濾窗20、過濾窗25的安裝方法不作特別限制,可列舉經由黏著劑等貼附的方法或機械固定於EUV曝光裝置內的方法等。配置於EUV光源31與照明光學系統37之間的過濾窗20捕捉由光源產生的飛散粒子(碎片),使得飛散粒子(碎片)不會附著於照明光學系統37內部的元件(例如多層鏡片32)。另一方面,配置於照明光學系統37與原版33之間的過濾窗(filter window)25捕捉自EUV光源31側飛散的粒子(碎片),使得飛散粒子(碎片)不會附著於原版33。
原版33可設為如下結構,即,包含支持基板、積層於該支持基板上的反射層、及形成於反射層上的吸收體層。藉由吸收體層吸收一部分EUV,而在感應基板34上形成所需的圖像。反射層可為鉬(Mo)與矽(Si)的多層膜。吸收體層可為鉻(Cr)或氮化鉭等EUV吸收性高的材料。
而且,防護薄膜組件10經由原版用黏著劑層等而安裝於原版33。附著於原版的異物因吸收EUV或使其散射,故會引起對晶圓的解析不良。因此,防護薄膜組件10以覆蓋原版33的EUV照射區域的方式而安裝,EUV通過防護薄膜12而照射至原版33。
作為將防護薄膜組件10安裝於原版33的安裝方法,只要為能夠以異物不附著於原版表面的方式設置於原版的方法即可,可列舉利用黏著劑貼附防護薄膜組件框14與原版33的方法、或靜電吸附法、機械固定的方法等,不作特別限定。較佳為使用 利用黏著劑進行貼附的方法。
經原版33反射的EUV通過防護薄膜12並通過投影光學系統38而照射至感應基板34。投影光學系統38使由原版33反射的光聚集,並照射至感應基板34。投影光學系統38中包括用以調製EUV的光路的多塊多層膜鏡片35、多層膜鏡片36等。
感應基板34為在半導體晶圓上塗佈著抗蝕劑的基板等,藉由經原版33反射的EUV,而抗蝕劑以圖案狀硬化。對該抗蝕劑進行顯影並進行半導體晶圓的蝕刻,藉此可在半導體晶圓形成所需的圖案。
[實施例]
[實施例1]
(1)防護薄膜的製作
在直徑4英吋的圓形矽晶圓上,藉由電漿離子注入.成膜法(PBIID法)成膜厚度90nm的防護薄膜(DLC(a-C:H)膜)。另外,準備兩個防護薄膜以及矽晶圓的積層體(樣品)。利用以下的方法對所獲得的防護薄膜進行組成的確定、折射率測定、拉曼光譜測定。
(1-1)組成的確定
利用拉塞福反向散射分光法(RBS)/氫前向散射分析法(HFS)、以及XPS測定法,確定所獲得的防護薄膜中包含的各元素的量。
RBS/HFS測定中使用加速器(國家靜電公司(National Electrostatics Corporation)製造Pelletron 3SDH)。測定條件為入射離子:4He++,入射能量:2300keV,入射角:75°,散射角:160°,反衝角(recoil angle):30°,光束徑:2mm。另一方面,XPS測定中使用X射線光電子分光裝置(奎托斯(KRATOS)公司製造AXIS-ULTRA系列)。X射線源設為AlKα,分析面積設為120μm×120μm。將所算出的組成比表示於表1。
(1-2)折射率測定
對所獲得的防護薄膜,藉由分光式橢圓偏光儀(Spectroscopic Ellipsometry)(堀場製作所公司製造Auto-SE)來檢測Ψ(s偏光與p偏光的擬寬比)以及△(s偏光與p偏光的相位差)的光譜。測定條件設為測定波長:400nm~1000nm,入射角:70°,聚光光束徑:100μm。
而且,基於支持材料(此處為矽晶圓(Si))的分散式(文獻值)、以及膜的介電函數模型(托克洛倫茲(Tauc-Lorentz)式),來對所述光譜進行解析。使用包含基板/薄膜/表面粗糙度層的2層模型來進行解析。而且,算出防護薄膜的各波長下的折射率n、以及消光係數k。將所算出的波長550nm的光的折射率n表示於表1。
(1-3)拉曼光譜測定
藉由拉曼顯微鏡(堀場製作所公司製造XploRA),對所獲得的防護薄膜測定拉曼光譜。測定條件設為環境氣氛:大氣中,激發光:532nm,光柵(grating):600T,測定區域:400cm-1~3200 cm-1。對經測定的拉曼光譜,將在約900cm-1~1800cm-1處出現的寬峰藉由高斯函數分離為1590cm-1附近的G帶以及1350cm-1附近的D帶這2個帶,而算出G帶的強度(I(G))。另一方面,確定出現在2800cm-1~2600cm-1處的2D帶的強度(I(2D)),並求出G帶的強度(I(G))與2D帶的強度(I(2D))之比(I(2D)/I(G))。將所算出的強度比(2D/G)表示於表1。
(2)矽晶圓(支持材料)的加工
所述2個樣品中,對一樣品的矽晶圓(支持材料)進行加工。具體來說,對矽晶圓進行研磨,而使矽晶圓的厚度為200μm。此外,自矽晶圓側,將矽晶圓乾式蝕刻為網眼狀。構成網眼的線寬為10μm,線彼此的間隔設為200μm。而且,矽晶圓的周緣部(寬度10mm)未進行蝕刻。藉由反射型光學顯微鏡及透過型光學顯微鏡對所獲得的矽網眼上的防護薄膜進行觀察後,在不與矽網眼接觸的部分的防護薄膜上未看到破壞。
(3)EUV照射
對支持材料未加工的樣品(樣品1-A)、以及將支持材料進行網眼加工所得的樣品(樣品1-B)的防護薄膜,分別在以下的條件下照射EUV。
自防護薄膜側,藉由EUV照射裝置(NewSUBARU(設施名)BL-10,兵庫縣立大學)照射波長13.5nm的光(EUV)。照度設為150mW/cm2,照射時間設為30分鐘,EUV的照射方向設為相對於膜面垂直的方向。根據入射光強度的半峰全幅值而求出 的射束尺寸為0.15mm×0.8mm。
對EUV照射後的樣品1-A,利用以下的方法,確認EUV照射部的變色,並確認EUV照射後的拉曼光譜的變化、XPS測定值的變化。將結果表示於表1。而且,圖6中表示由XPS測定出的光譜(EUV照射前以及EUV照射後)。
另一方面,對EUV照射後的樣品1-B,利用以下的方法進行EUV照射部的變色的確認、EUV透過率的測定、EUV透過率穩定性的評估。將結果表示於表1。
(3-1)外觀觀察(變色的評估)
對樣品1-A以及樣品1-B,在EUV照射前後,藉由反射型光學顯微鏡觀察防護薄膜是否產生變色。評估按照以下來進行。
在照射了EUV的區域未看到任何變色:○
在照射了EUV的區域看到變色:×
(3-2)拉曼光譜的變化
對樣品1-A的被照射了EUV的區域進行拉曼光譜測定,並且求出2D帶的強度(I(2D))與G帶的強度(I(G))之比(I(2D)/I(G))。拉曼光譜的測定方法以及強度比的算出方法與所述方法相同。而且,按照下述基準,來評估EUV照射前後的DLC膜對EUV的穩定性。該變化大表示膜的組成或構成膜的碳原子的鍵結狀態發生了變化。
在EUV照射後與照射前,G帶與2D帶的強度比的變化為5%以下:○
在EUV照射後與照射前,G帶與2D帶的強度比的變化超過5%:×
(3-3)XPS測定值的變化
對含碳的膜,在XPS光譜的C1s峰值中觀察到來自sp2鍵的峰值(284eV)與來自sp3鍵的峰值(285eV)。
因此,關於樣品1-A,將EUV照射前的DLC膜的C1s峰值(284eV的峰值強度與285eV的峰值強度之比)與EUV照射後的DLC膜的C1s峰值加以比較,確認構成膜的碳原子的鍵結狀態是否發生變化。
藉由X射線光電子分光裝置(奎托斯(KRATOS)公司製造AXIS-Ultra系列分析面積120μm)而獲取XPS光譜。而且,按照下述基準來評估防護薄膜對於EUV的穩定性。
在EUV照射前後,C1s光譜的變化為5%以下:○
在EUV照射前後,C1s光譜的變化超過5%:×
(3-4)EUV透過率的測定
利用光電二極體檢測透過樣品1-B的防護薄膜的EUV,並根據此時的電流值而求出EUV透過率。具體來說,根據未設置樣品的狀態下檢測到的電流值(入射光強度I0)與設置樣品的狀態下檢測到的電流值(透過光強度I),並依據下述式(2)而求出EUV透過率Tr。樣品設置後的電流值的檢測在剛開始照射EUV後不久便進行。
Tr≡I/I0...(2)
(3-5)EUV透過率的穩定性評估
利用所述方法對樣品1-B算出EUV照射中的EUV透過率。而且,定義為EUV照射時間t下的透過率Tr(t)除以剛開始照射後不久的透過率Tr(0)所得的標準化透過率(藉由下述式(5)求出的值),計測該標準化透過率的變動。
標準化透過率≡Tr(t)/Tr(0)...(5)
按照下述基準,來評估膜的EUV透過率穩定性。
經過30分鐘後標準化透過率的變動小於3%:○
經過30分鐘後標準化透過率的變動為3%以上:×
[實施例2]
(1)防護薄膜的製作
在直徑4英吋的矽晶圓上,藉由FCVA法(Filtered Cathodic Vacuum Arc法)成膜厚度100nm的防護薄膜(DLC(ta-C)膜)。對所獲得的防護薄膜,以與實施例1相同的方法進行組成的確定、折射率測定、及拉曼光譜測定。將結果表示於表1。
(2)EUV照射
對支持材料未加工的樣品(樣品2)在與實施例1相同的條件下照射EUV。對該樣品2,確認EUV照射部的變色,並確認EUV 照射後的拉曼光譜的變化、XPS測定值的變化。將結果表示於表1。而且,圖7中表示EUV照射前後的拉曼光譜。
[實施例3]
(1)防護薄膜的製作
在寬5cm、長5cm的載玻片(slide glass)上藉由真空蒸鍍法成膜厚度120nm的非晶形碳膜。將該載玻片浸漬於水中而將非晶形碳膜自載玻片剝離。而且,利用樹脂製的圓形的框(直徑10mm)來撈取非晶形碳膜。在非晶形碳膜上未看到破壞。對所獲得的防護薄膜,以與實施例1相同的方法,進行組成的確定、折射率測定、及拉曼光譜測定。將結果表示於表1。
(2)EUV照射
對由樹脂製的框支持的防護薄膜(樣品3)在與實施例1相同的條件下照射EUV。然後,確認EUV照射部的變色,測定EUV透過率,並確認EUV透過率穩定性、EUV照射後的拉曼光譜的變化、XPS測定值的變化。將結果表示於表1。而且,圖8表示曲線圖,該曲線圖表示EUV標準透過率的變動。
[實施例4]
(1)防護薄膜的製作
在直徑4英吋的矽晶圓上,藉由離子鍍敷法成膜厚度100nm的防護薄膜(非晶形碳化矽膜)。準備兩個包含防護薄膜以及矽晶圓的積層體。對所獲得的防護薄膜,以與實施例1相同的方法進行組成的確定、折射率測定、及拉曼光譜測定。將結果表示於表1。
(2)矽晶圓(支持材料)的加工
僅對2個樣品中的一個樣品,將矽晶圓(支持材料)加工成網眼狀。矽晶圓的加工方法與實施例1相同。藉由反射型光學顯微鏡及透過型光學顯微鏡來觀察所獲得的矽網眼上的防護薄膜後,在不與矽網眼接觸的部分的防護薄膜上未看到破壞。
(3)EUV照射
對支持材料未加工的樣品(樣品4-A)、以及將支持材料進行網眼加工所得的樣品(樣品4-B)的防護薄膜,在與實施例1相同的條件下,分別照射EUV。對樣品4-A,確認EUV照射部的變色,並確認EUV照射後的拉曼光譜的變化、XPS測定值的變化。將結果表示於表1。另一方面,對樣品4-B進行EUV照射部的變色的確認。將結果表示於表1。而且,圖9中表示由XPS測定的光譜(EUV照射前以及EUV照射後)。
[實施例5]
(1)防護薄膜的製作
準備富智科技(MIKROMASCH)公司製造的高配向熱分解石墨(HOPG)膜(等級:ZYA,雙邊(Double Side),厚度2mm)。該石墨膜的馬賽克擴散為0.4±0.1,密度為2.27g/cm3。對該石墨膜(12mm×12mm×2mm)貼附裁切為框形狀的黏著膠帶(外尺寸(external size):12mm×12mm,框寬度:1mm)。然後,藉由將該黏著膠帶自該石墨膜機械剝離而劈開石墨膜,從而獲得厚度0.24mm的石墨膜。石墨膜上未看到破壞。將所獲得的石墨膜貼附 於鋁合金A7075製的防護薄膜組件框(外尺寸:12mm×12mm,框寬度:1mm),從而獲得防護薄膜組件。
對所獲得的防護薄膜,與實施例1同樣地進行組成的確定、及拉曼光譜測定。將結果表示於表1。
(2)EUV照射
對所述防護薄膜,在與實施例1相同的條件下照射EUV。而且,確認EUV照射部的變色,測定EUV透過率,並確認EUV透過率穩定性、EUV照射後的拉曼光譜的變化、XPS測定值的變化。將結果表示於表1。
[實施例6]
(1)防護薄膜的製作
在直徑4英吋的矽晶圓上,藉由使用了SiH2Cl2與C2H2的混合氣體的LPCVD法,成膜厚度300nm的多晶碳化矽膜。準備2個包含多晶碳化矽膜以及矽晶圓的積層體。利用CMP法對所獲得的多晶碳化矽膜進行研磨,藉此形成厚度150nm的防護薄膜。對所獲得的防護薄膜,以與實施例1相同的方法,進行組成的確定、折射率測定、及拉曼光譜測定。將結果表示於表1。
(2)矽晶圓(支持材料)的加工
對2個樣品中的一個樣品,使用KOH水溶液(濃度17%)的蝕刻液在80℃下進行濕式蝕刻,從而獲得500μm×1000μm的防護薄膜。藉由反射型光學顯微鏡及透過型光學顯微鏡對所獲得的防護薄膜進行觀察後,結果在不與矽網眼接觸的部分的防護薄膜 上未看到破壞。
(3)EUV照射
對所述防護薄膜,在與實施例1相同的條件下照射EUV。而且,以與實施例1相同的方法,進行關於所述防護薄膜的EUV照射部的變色的確認、EUV透過率、EUV透過率的穩定性的評估。
[比較例1]
準備銅箔,在該銅箔上使用CH4、H2、Ar的混合氣體並利用CVD法製作石墨烯膜。在所獲得的石墨烯膜上積層聚對苯二甲酸乙二酯(polyethylene terephthalate,PET)膜。將該積層體浸漬於稀鹽酸中,而將銅箔溶解去除。然後,使PET膜與石墨烯的積層體浸漬於六氟異丙醇中,從而將PET膜溶解去除。然而,PET膜的溶解中石墨烯膜發生破壞,從而無法獲得防護薄膜。
如表1所示,實施例1~實施例6中,EUV照射後的防護薄膜中未看到變色。而且,拉曼光譜測定、XPS測定的評估結果亦良好,膜基本上未因EUV照射而變質。此外,即便進行30分鐘的EUV連續照射,EUV透過率亦幾乎不發生變化。根據該些結果可推測:DLC膜、非晶形碳膜、非晶形碳化矽膜、石墨、多晶碳化矽,幾乎未因EUV照射時的能量(光或熱)而發生劣化。
另外,防護薄膜組件照射裝置內,防護薄膜因長時間的EUV照射而成為高溫。另一方面,裝置停止後冷卻至室溫為止。因此,對防護薄膜要求亦經受得住此種溫度變化,而DLC膜、非晶形碳膜、非晶形碳化矽膜、石墨膜因如所述般耐熱性或散熱性優異,故不易因溫度變化而劣化。
此處,基於以下的(3)算出實施例5的石墨膜的波長135nm的光的透過率Tr的理論值後,透過率Tr的理論值為20%,與所述測定結果大致一致。
[數式5]Tr=I/I0=exp(-μρd)...(3)
(式(3)中,I表示透過光強度,I0表示入射光強度I0,d表示膜的厚度(此處為0.24μm),ρ表示密度,μ表示防護薄膜的質量吸光係數)
即,基於所述式(3),可預測使防護薄膜的厚度變化時 的光的透過率Tr。因此,基於所述式(3),算出厚度100nm的石墨膜以及矽膜的波長13.5nm的光的透過率。就所算出的透過率而言,石墨膜為52%,矽膜為86%。同樣地,算出厚度100nm的石墨膜以及矽膜的波長6.75nm的光的透過率。就所算出的透過率而言,石墨膜為84%,矽膜為17%。
根據該結果可知,包含石墨膜的防護薄膜若設厚度為100nm,則波長13.5nm的光以及波長6.75nm的光中的任一者的透過率均為50%以上,從而EUV的照射效率良好。
[產業上之可利用性]
本發明的防護薄膜組件的EUV透過性高,而且,EUV照射時防護薄膜不易受到熱損傷,進而相對於EUV照射而化學性穩定。因此,作為用以確實地保護EUV微影用的原版或光學系統的防護薄膜組件而非常有用。
10‧‧‧防護薄膜組件
12‧‧‧防護薄膜
13‧‧‧膜黏著劑層
14‧‧‧防護薄膜組件框
15‧‧‧原版用黏著劑層
16‧‧‧通氣孔

Claims (11)

  1. 一種防護薄膜組件,其包括波長550nm的光的折射率n為1.9~5.0的防護薄膜、以及貼附了所述防護薄膜的防護薄膜組件框,所述防護薄膜在組成中包含30莫耳%~100莫耳%的碳、以及0莫耳%~30莫耳%的氫,所述防護薄膜的拉曼光譜中的2D帶與G帶的強度比(2D帶的強度/G帶的強度)為1以下,或者2D帶與G帶的強度分別為0。
  2. 如申請專利範圍第1項所述的防護薄膜組件,其中所述防護薄膜進而包含0莫耳%~70莫耳%的選自由Si、B、N、O、Y、Zr、Nb、以及Mo所組成之群組中的第3成分,且所述碳、所述氫、以及所述第3成分的合計為98莫耳%以上。
  3. 如申請專利範圍第2項所述的防護薄膜組件,其包含40莫耳%~60莫耳%的Si來作為所述第3成分。
  4. 如申請專利範圍第2項或第3項所述的防護薄膜組件,其中所述防護薄膜包含多晶碳化矽膜,且所述防護薄膜的密度處於3.0g/cm3~5.0g/cm3的範圍。
  5. 如申請專利範圍第1項至第3項中任一項所述的防護薄膜組件,其中所述防護薄膜包含選自由類鑽石碳膜、非晶形碳膜、石墨膜、 以及碳化矽膜所組成的群組中的一種以上的膜。
  6. 如申請專利範圍第1項至第3項中任一項所述的防護薄膜組件,其中所述防護薄膜的厚度為10nm~120nm。
  7. 如申請專利範圍第1項至第3項中任一項所述的防護薄膜組件,其中所述防護薄膜是對高分子膜賦予高能量而獲得者。
  8. 如申請專利範圍第7項所述的防護薄膜組件,其中所述高分子膜為聚醯亞胺膜。
  9. 一種極紫外光曝光裝置,其包括極紫外光光源、光學系統、以及原版,將來自所述極紫外光光源的光經由所述光學系統而導引至所述原版,在所述原版的光入射面設置著如申請專利範圍第1項至第3項中任一項所述的防護薄膜組件。
  10. 一種曝光原版,其包括:原版;以及安裝在所述原版的如申請專利範圍第1項至第3項中任一項所述的防護薄膜組件。
  11. 一種曝光方法,其包括下述步驟:從極紫外光光源,使極紫外光通過如申請專利範圍第10項所述的曝光原版的所述防護薄膜而照射至所述原版;以及 使所述原版反射的極紫外光通過所述防護薄膜而照射至感應基板,從而將所述感應基板曝光為圖案狀。
TW103117830A 2013-05-24 2014-05-22 防護薄膜組件、含有其的euv曝光裝置、曝光原版以及曝光方法 TWI661263B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2013-110042 2013-05-24
JP2013110042 2013-05-24
JP2013204242 2013-09-30
JP2013-204242 2013-09-30

Publications (2)

Publication Number Publication Date
TW201502696A true TW201502696A (zh) 2015-01-16
TWI661263B TWI661263B (zh) 2019-06-01

Family

ID=51933277

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103117830A TWI661263B (zh) 2013-05-24 2014-05-22 防護薄膜組件、含有其的euv曝光裝置、曝光原版以及曝光方法

Country Status (7)

Country Link
US (1) US9703187B2 (zh)
EP (1) EP3007206A4 (zh)
JP (1) JP6364404B2 (zh)
KR (1) KR101707763B1 (zh)
CN (1) CN105229776B (zh)
TW (1) TWI661263B (zh)
WO (1) WO2014188710A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107533283A (zh) * 2015-04-27 2018-01-02 三井化学株式会社 防护膜组件的制造方法及带有防护膜组件的光掩模的制造方法
TWI697731B (zh) * 2015-04-07 2020-07-01 日商信越化學工業股份有限公司 Euv的防護薄膜框架以及使用其的euv防護薄膜
TWI716697B (zh) * 2017-06-23 2021-01-21 日商信越化學工業股份有限公司 光蝕刻用防護薄膜、防護薄膜組件、光罩及曝光方法
TWI779073B (zh) * 2017-08-08 2022-10-01 日商愛沃特股份有限公司 護膜及護膜之製造方法

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6326056B2 (ja) * 2013-09-30 2018-05-16 三井化学株式会社 ペリクル膜、それを用いたペリクル、露光原版および露光装置、ならびに半導体装置の製造方法
TWI658321B (zh) * 2013-12-05 2019-05-01 荷蘭商Asml荷蘭公司 用於製造一表膜的裝置與方法,以及一表膜
US10108084B2 (en) 2014-05-19 2018-10-23 Mitsui Chemicals, Inc. Pellicle membrane, pellicle, original plate for exposure, exposure apparatus, and method of producing semiconductor device
KR102650131B1 (ko) 2014-07-04 2024-03-21 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치
KR102242562B1 (ko) * 2014-09-04 2021-04-20 삼성전자주식회사 극자외선(euv) 마스크 보호장치 및 그 보호장치를 포함한 euv 노광 장치
JP6367342B2 (ja) 2014-09-19 2018-08-01 三井化学株式会社 ペリクル、ペリクルの製造方法及びペリクルを用いた露光方法
SG11201701805QA (en) * 2014-09-19 2017-04-27 Mitsui Chemicals Inc Pellicle, production method thereof, exposure method
GB2534404A (en) 2015-01-23 2016-07-27 Cnm Tech Gmbh Pellicle
US9842724B2 (en) * 2015-02-03 2017-12-12 Kla-Tencor Corporation Method and system for imaging of a photomask through a pellicle
KR102615131B1 (ko) * 2015-02-03 2023-12-15 에이에스엠엘 네델란즈 비.브이. 마스크 어셈블리 및 연관된 방법
KR101920172B1 (ko) 2015-02-24 2018-11-19 미쯔이가가꾸가부시끼가이샤 펠리클막, 펠리클 프레임체, 펠리클 및 그 제조 방법
KR102366806B1 (ko) * 2015-05-13 2022-02-23 삼성전자주식회사 열 축적을 방지하는 펠리클 및 이를 포함하는 극자외선 리소그래피 장치
JP6669464B2 (ja) * 2015-10-19 2020-03-18 信越化学工業株式会社 Euv用ペリクル
JP6516665B2 (ja) * 2015-10-29 2019-05-22 信越化学工業株式会社 Euvリソグラフィー用ペリクルに適した接着剤とこれを用いたペリクル
KR20180094084A (ko) 2015-12-14 2018-08-22 에이에스엠엘 네델란즈 비.브이. Euv 리소그래피용 멤브레인
CN115616851A (zh) * 2015-12-17 2023-01-17 Asml荷兰有限公司 表膜和表膜组件
US9759997B2 (en) 2015-12-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and method for advanced lithography
JP6478283B2 (ja) * 2015-12-24 2019-03-06 信越化学工業株式会社 Euv露光用ペリクル
KR101762059B1 (ko) * 2016-01-13 2017-07-31 한양대학교 산학협력단 Euv 펠리클 구조체, 및 그 제조 방법
KR102186010B1 (ko) * 2016-01-26 2020-12-04 한양대학교 산학협력단 Euv 펠리클 구조체, 및 그 제조 방법
KR101753132B1 (ko) * 2016-02-17 2017-07-04 주식회사 에프에스티 유기물 희생층 기판을 이용한 초극자외선용 펠리클의 제조방법
SG11202001426UA (en) * 2016-02-19 2020-03-30 Air Water Inc A Compound Semiconductor Substrate, A Pellicle Film, And A Method For Manufacturing A Compound Semiconductor Substrate
JP6753703B2 (ja) * 2016-02-19 2020-09-09 エア・ウォーター株式会社 化合物半導体基板、ペリクル膜、および化合物半導体基板の製造方法
JP6825923B2 (ja) * 2017-01-20 2021-02-03 エア・ウォーター株式会社 化合物半導体基板、ペリクル膜、および化合物半導体基板の製造方法
CN108699687B (zh) * 2016-02-19 2022-03-01 爱沃特株式会社 化合物半导体基板、表膜、和化合物半导体基板的制造方法
FR3048689A1 (fr) * 2016-03-10 2017-09-15 Commissariat Energie Atomique Membrane en carbone amorphe et mems comportant une telle membrane
KR102408195B1 (ko) * 2016-04-25 2022-06-13 에이에스엠엘 네델란즈 비.브이. Euv 리소그래피를 위한 멤브레인
CN116594258A (zh) * 2016-07-05 2023-08-15 三井化学株式会社 防护膜及其组件和组件框体、组件制造方法、曝光原版、曝光装置、半导体装置的制造方法
JP6944768B2 (ja) 2016-08-29 2021-10-06 エア・ウォーター株式会社 ペリクルの製造方法
KR101848153B1 (ko) 2016-09-12 2018-05-29 한양대학교 산학협력단 마스크 보호 모듈, 이를 포함하는 펠리클, 및 이를 포함하는 리소그래피 장치
KR102649129B1 (ko) 2016-11-16 2024-03-19 삼성전자주식회사 반도체 장치의 제조 방법
KR101813186B1 (ko) * 2016-11-30 2017-12-28 삼성전자주식회사 포토마스크용 펠리클과 이를 포함하는 레티클 및 리소그래피용 노광 장치
CN106521414B (zh) * 2016-12-13 2019-07-16 中国建筑材料科学研究总院 超硬类金刚石增透膜、具有增透膜的红外材料及其制备方法和应用
KR102237878B1 (ko) * 2017-02-17 2021-04-07 미쯔이가가꾸가부시끼가이샤 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법
JP6978210B2 (ja) * 2017-03-02 2021-12-08 旭化成株式会社 ペリクル膜、及びペリクル膜の製造方法
JP6518801B2 (ja) * 2017-03-10 2019-05-22 エスアンドエス テック カンパニー リミテッド 極紫外線リソグラフィ用ペリクル及びその製造方法
KR102330943B1 (ko) * 2017-03-10 2021-11-25 삼성전자주식회사 포토마스크용 펠리클과 이를 포함하는 레티클 및 리소그래피용 노광 장치
US10101651B1 (en) * 2017-04-13 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. Photo mask assembly and optical apparatus including the same
JP7235683B2 (ja) * 2017-06-08 2023-03-08 アプライド マテリアルズ インコーポレイテッド ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
CN110809736B (zh) * 2017-06-15 2023-10-24 Asml荷兰有限公司 表膜和表膜组件
KR102532602B1 (ko) * 2017-07-27 2023-05-15 삼성전자주식회사 포토마스크용 펠리클 조성물, 이로부터 형성된 포토마스크용 펠리클, 그 제조방법, 펠리클을 함유한 레티클 및 레티클을 포함하는 리소그래피용 노광장치
US10996556B2 (en) 2017-07-31 2021-05-04 Samsung Electronics Co., Ltd. Pellicles for photomasks, reticles including the photomasks, and methods of manufacturing the pellicles
US20190049634A1 (en) * 2017-08-08 2019-02-14 Supriya Jaiswal Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
KR101900720B1 (ko) * 2017-11-10 2018-09-20 주식회사 에스앤에스텍 극자외선 리소그래피용 펠리클 및 그의 제조방법
KR20230169487A (ko) * 2017-12-01 2023-12-15 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
KR102574161B1 (ko) * 2018-02-06 2023-09-06 삼성전자주식회사 펠리클 및 이를 포함하는 레티클
WO2019167865A1 (ja) * 2018-03-01 2019-09-06 株式会社カネカ Mems振動子、およびmems発振器
EP3764160A4 (en) * 2018-03-09 2021-12-01 Kaneka Corporation FILM INCLUDING A GRAPHITE FILM
JP2021076620A (ja) * 2018-03-14 2021-05-20 株式会社カネカ 炭素質膜を含むペリクル及び炭素質膜を含むペリクルの製造方法
JP7019472B2 (ja) * 2018-03-22 2022-02-15 三井化学株式会社 カーボンナノチューブ自立膜の製造方法、およびペリクルの製造方法
CN108802078B (zh) * 2018-04-26 2020-03-10 四川大学 一种真空靶室中大面积靶卢瑟福背散射分析的方法
KR102099872B1 (ko) * 2018-05-25 2020-05-28 주식회사 에프에스티 펠리클용 벤트 필터 및 이를 포함하는 펠리클
KR102634748B1 (ko) * 2018-06-15 2024-02-13 삼성전자주식회사 포토 마스크용 펠리클 및 이의 제조 방법
JP7213248B2 (ja) * 2018-07-06 2023-01-26 株式会社カネカ ペリクル複合体及びその製造方法
KR20200059061A (ko) 2018-11-20 2020-05-28 삼성전자주식회사 극자외선 리소그래피용 펠리클 및 그 제조방법
JP6807419B2 (ja) * 2019-02-20 2021-01-06 信越化学工業株式会社 Euv用ペリクル
JP7319059B2 (ja) 2019-02-25 2023-08-01 エア・ウォーター株式会社 ペリクル中間体の製造方法およびペリクルの製造方法
JP2020160345A (ja) * 2019-03-27 2020-10-01 三井化学株式会社 ペリクル自立膜の製造方法、ペリクルの製造方法、および半導体装置の製造方法
KR20210004544A (ko) 2019-07-05 2021-01-13 삼성전자주식회사 극자외선 리소그래피 장치
US11763957B2 (en) * 2019-07-18 2023-09-19 Shimadzu Corporation Dispersive element
KR102301568B1 (ko) * 2019-09-10 2021-09-14 주식회사 에프에스티 탄화규소 층을 포함하는 극자외선용 펠리클의 제조방법
CN114930247A (zh) * 2020-01-20 2022-08-19 信越化学工业株式会社 防护薄膜框架、防护薄膜组件、防护薄膜组件的检查方法、带防护薄膜组件的曝光原版及曝光方法、以及半导体或液晶显示板的制造方法
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
KR20220017137A (ko) * 2020-08-04 2022-02-11 주식회사 에스앤에스텍 극자외선 리소그래피용 펠리클 및 그 제조방법
KR102596427B1 (ko) 2021-01-04 2023-10-31 한국표준과학연구원 오염 입자 차단부와 이를 포함하는 극자외선 노광 장치
KR102625228B1 (ko) 2021-01-04 2024-01-15 한국표준과학연구원 오염 입자 포집부와 이를 포함하는 극자외선 노광 장치
KR102581086B1 (ko) * 2021-03-16 2023-09-21 주식회사 에프에스티 극자외선 리소그라피용 펠리클 막
KR20220142571A (ko) * 2021-04-14 2022-10-24 한국전자기술연구원 극자외선 노광용 펠리클
WO2023085761A1 (ko) * 2021-11-12 2023-05-19 주식회사 에프에스티 다성분계 실리콘 화합물 층을 포함하는 극자외선 리소그래피용 펠리클 막

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4932331A (en) 1987-10-16 1990-06-12 Canon Kabushiki Kaisha Novel single-bond carbon film and process for the production thereof
JPH09306820A (ja) * 1996-05-17 1997-11-28 Canon Inc X線マスク構造体とその製造方法、x線露光方法およびx線露光装置、並びに半導体デバイスとその製造方法
US5793836A (en) * 1996-09-06 1998-08-11 International Business Machines Corporation X-ray mask pellicle
US5809103A (en) * 1996-12-20 1998-09-15 Massachusetts Institute Of Technology X-ray lithography masking
JP2000012428A (ja) * 1998-06-19 2000-01-14 Canon Inc X線マスク構造体、該x線マスク構造体を用いたx線露光方法、前記x線マスク構造体を用いたx線露光装置、前記x線マスク構造体を用いた半導体デバイスの製造方法、および該製造方法によって製造された半導体デバイス
JP2000284468A (ja) 1999-03-31 2000-10-13 Canon Inc マスク構造体、該マスク構造体を用いた露光方法および露光装置、該マスク構造体を用いて作製された半導体デバイス、ならびに半導体デバイス製造方法
US6180292B1 (en) * 1999-06-18 2001-01-30 International Business Machines Corporation Structure and manufacture of X-ray mask pellicle with washer-shaped member
JP2004085713A (ja) * 2002-08-23 2004-03-18 Asahi Glass Co Ltd ペリクル
US7456932B2 (en) 2003-07-25 2008-11-25 Asml Netherlands B.V. Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby
JP2006036611A (ja) * 2004-07-29 2006-02-09 Sumitomo Electric Ind Ltd 水素含有炭素膜
US7767985B2 (en) 2006-12-26 2010-08-03 Globalfoundries Inc. EUV pellicle and method for fabricating semiconductor dies using same
US7666555B2 (en) * 2006-12-29 2010-02-23 Intel Corporation Pellicle, methods of fabrication and methods of use for extreme ultraviolet lithography
US7416820B2 (en) * 2007-01-31 2008-08-26 International Business Machines Corporation Pellicle film optimized for immersion lithography systems with NA>1
JP4861963B2 (ja) 2007-10-18 2012-01-25 信越化学工業株式会社 ペリクルおよびペリクルの製造方法
WO2010050518A1 (ja) 2008-10-30 2010-05-06 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
JP5394808B2 (ja) 2009-04-22 2014-01-22 信越化学工業株式会社 リソグラフィ用ペリクルおよびその製造方法
JP5189614B2 (ja) * 2010-03-29 2013-04-24 信越化学工業株式会社 ペリクル及びその取り付け方法、並びにペリクル付マスク及びマスク
CN102822744B (zh) * 2010-04-02 2015-04-01 信越化学工业株式会社 光掩模单元及其制造方法
KR102068146B1 (ko) 2010-06-25 2020-01-20 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 방법
JP2012151158A (ja) * 2011-01-17 2012-08-09 Shin Etsu Chem Co Ltd Euv用ペリクル膜及びペリクル、並びに該膜の製造方法
JP6084681B2 (ja) * 2013-03-15 2017-02-22 旭化成株式会社 ペリクル膜及びペリクル

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI697731B (zh) * 2015-04-07 2020-07-01 日商信越化學工業股份有限公司 Euv的防護薄膜框架以及使用其的euv防護薄膜
CN107533283A (zh) * 2015-04-27 2018-01-02 三井化学株式会社 防护膜组件的制造方法及带有防护膜组件的光掩模的制造方法
US10895805B2 (en) 2015-04-27 2021-01-19 Mitsui Chemicals, Inc. Pellicle manufacturing method and method for manufacturing photomask with pellicle
TWI718143B (zh) * 2015-04-27 2021-02-11 日商三井化學股份有限公司 防塵薄膜的製造方法及帶防塵薄膜的光罩的製造方法
TWI716697B (zh) * 2017-06-23 2021-01-21 日商信越化學工業股份有限公司 光蝕刻用防護薄膜、防護薄膜組件、光罩及曝光方法
TWI779073B (zh) * 2017-08-08 2022-10-01 日商愛沃特股份有限公司 護膜及護膜之製造方法

Also Published As

Publication number Publication date
JPWO2014188710A1 (ja) 2017-02-23
JP6364404B2 (ja) 2018-07-25
US9703187B2 (en) 2017-07-11
TWI661263B (zh) 2019-06-01
EP3007206A1 (en) 2016-04-13
KR101707763B1 (ko) 2017-02-16
CN105229776A (zh) 2016-01-06
CN105229776B (zh) 2019-05-03
US20160147141A1 (en) 2016-05-26
EP3007206A4 (en) 2017-03-15
WO2014188710A1 (ja) 2014-11-27
KR20150145256A (ko) 2015-12-29

Similar Documents

Publication Publication Date Title
TWI661263B (zh) 防護薄膜組件、含有其的euv曝光裝置、曝光原版以及曝光方法
JP7126032B2 (ja) Euvリソグラフィ用のメンブレン
TWI655497B (zh) 保護薄膜、保護薄膜組件、曝光原版、曝光裝置以及半導體裝置的製造方法
CN116594257A (zh) 防护膜及其组件和组件框体、组件制造方法、曝光原版、曝光装置、半导体装置的制造方法
WO2021172104A1 (ja) ペリクル膜、ペリクル、露光原版、露光装置、ペリクルの製造方法及び半導体装置の製造方法
WO2022030499A1 (ja) ペリクル、露光原版、露光装置、ペリクルの製造方法及び半導体装置の製造方法
CN115735160A (zh) 防护膜组件、曝光原版、曝光装置、防护膜组件的制造方法和半导体装置的制造方法
CN117222941A (zh) 防护膜组件、曝光原版、曝光装置、防护膜组件的制造方法和半导体装置的制造方法