KR102650131B1 - 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치 - Google Patents

리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치 Download PDF

Info

Publication number
KR102650131B1
KR102650131B1 KR1020237039427A KR20237039427A KR102650131B1 KR 102650131 B1 KR102650131 B1 KR 102650131B1 KR 1020237039427 A KR1020237039427 A KR 1020237039427A KR 20237039427 A KR20237039427 A KR 20237039427A KR 102650131 B1 KR102650131 B1 KR 102650131B1
Authority
KR
South Korea
Prior art keywords
membrane
euv
emissivity
layer
radiation
Prior art date
Application number
KR1020237039427A
Other languages
English (en)
Other versions
KR20230160962A (ko
Inventor
안드레이 알렉산드로비치 니키펠로프
바딤 예프겐예비치 바니네
요제프 페트루스 헨리쿠스 벤숍
아르옌 부가드
플로리안 디디에 아르빈 달륀
알렉세이 세르게예비치 쿠즈네초프
마리아 피터
루이지 스카카바로찌
빌렘 요안 반 데르 잔데
피터르-얀 반 줄
안드레이 미카일로비치 야쿠닌
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Priority to KR1020247008798A priority Critical patent/KR20240038172A/ko
Publication of KR20230160962A publication Critical patent/KR20230160962A/ko
Application granted granted Critical
Publication of KR102650131B1 publication Critical patent/KR102650131B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1838Diffraction gratings for use with ultraviolet radiation or X-rays
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/208Filters for use with infrared or ultraviolet radiation, e.g. for separating visible light from infrared and/or ultraviolet radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70883Environment aspects, e.g. pressure of beam-path gas, temperature of optical system
    • G03F7/70891Temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)
  • Optical Filters (AREA)

Abstract

EUV 방사선에 대해 투과성인 멤브레인이 개시되며, 이는 리소그래피 장치에서 펠리클 또는 스펙트럼 필터로서 사용될 수 있다. 멤브레인은 상기 멤브레인이 1017 cm-3보다 큰 도펀트 농도로 도핑되는 1 이상의 고농도 도핑된 구역, 및 (도핑을 갖지 않거나) 저농도 도핑을 갖는 1 이상의 구역을 포함한다. 멤브레인은 저농도 도핑 및 1 이상의 추가적인 층을 갖는 주 기판을 가질 수 있고, 상기 고농도 도핑된 구역들은 상기 추가적인 층들 중 일부 또는 전체 내에 구성된다.

Description

리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치{MEMBRANES FOR USE WITHIN A LITHOGRAPHIC APPARATUS AND A LITHOGRAPHIC APPARATUS COMPRISING SUCH A MEMBRANE}
본 출원은 2014년 7월 4일 출원된 EP 출원 14175835.9 및 2015년 5월 28일에 출원된 EP 출원 15169657.2의 이익을 주장하며, 이들은 그 전문이 인용참조된다.
본 발명은 리소그래피 장치 내에서 사용하는 멤브레인(membrane)들, 특히 상기 장치 내의 펠리클(pellicle) 또는 광학 필터 구성요소들의 일부를 형성할 수 있는 EUV 투과 멤브레인들, 및 이러한 멤브레인을 포함하는 리소그래피 장치에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 다이의 부분, 한 개 또는 수 개의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는, 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다.
리소그래피는 IC, 및 다른 디바이스 및/또는 구조체의 제조 시 핵심 단계들 중 하나로서 폭넓게 인식된다. 하지만, 리소그래피를 이용하여 구성되는 피처들의 치수들이 더 작아짐에 따라, 리소그래피는 소형 IC 또는 다른 디바이스들 및/또는 구조체들이 제조될 수 있게 하는 데 더 결정적인 인자(critical factor)가 되고 있다.
패턴 프린팅의 한계들의 이론적 추산은 수학식 1에 나타낸 바와 같은 분해능에 대한 레일리 기준(Rayleigh criterion)에 의해 설명될 수 있다:
이때, λ는 사용되는 방사선의 파장이고, NA는 패턴을 프린트하는 데 사용되는 투영 시스템의 개구수(numerical aperture)이며, k1은 레일리 상수라고도 칭하는 공정 의존성 조정 인자(process dependent adjustment factor)이고, CD는 프린트된 피처의 피처 크기(또는 임계 치수)이다. 수학식 1에 따르면, 피처들의 프린트가능한 최소 크기의 감소는 세 가지 방식으로: 즉, 노광 파장 λ를 단축함으로써, 개구수 NA를 증가시킴으로써, 또는 k1의 값을 감소시킴으로써 얻어질 수 있다.
노광 파장을 단축하고, 이에 따라 프린트가능한 최소 크기를 감소시키기 위해, 극자외(EUV) 방사선 소스를 사용하는 것이 제안되었다. EUV 방사선은 5 내지 20 nm의 범위, 예를 들어 13 내지 14 nm의 범위 내의 파장을 갖는 전자기 방사선이다. 10 nm 미만, 예를 들어 6.7 nm 또는 6.8 nm와 같은 5 내지 10 nm의 범위 내의 파장을 갖는 EUV 방사선이 사용될 수 있다고 더 제안되었다. 이러한 방사선은 극자외 방사선 또는 연질(soft) X-선 방사선이라고 칭해진다. 가능한 소스들로는, 예를 들어 레이저-생성 플라즈마 소스, 방전 플라즈마 소스, 또는 자유 전자 레이저에 기초한, 또는 전자 저장 링에 의해 제공되는 싱크로트론 방사선(synchrotron radiation)에 기초한 소스들을 포함한다.
얇은 투과성 EUV 멤브레인들은 많은 이유로 EUV 리소그래피 장치에서 흔히 필요하다. 이러한 한가지 이유는, 예를 들어 레티클들 및/또는 리소그래피 구성요소들을 (nm 내지 ㎛ 범위의 결정 크기를 갖는) 입자들에 의한 오염으로부터 보호하기 위한 것일 수 있다. 또 다른 이유는 생성된 EUV 방사선으로부터 원치 않는 방사선 파장들을 스펙트럼 필터링(spectrally filter out)하기 위한 것일 수 있다.
투과성 EUV 멤브레인들(또는 짧게 EUV 멤브레인들)은 EUV 방사선에 매우 투명할 것을 요구하므로, 극도로 얇아야 한다. 전형적인 EUV 멤브레인들은 EUV 방사선의 흡수를 최소화하기 위해 10 내지 100 nm의 두께를 갖는다.
EUV 멤브레인들은 실리콘 웨이퍼의 에칭에 의해 생성되는, 폴리실리콘(poly-Si)과 같은 재료를 포함한 자유롭게 매달린(free-suspended)[즉, 독립형(self-standing)] 멤브레인(막)을 포함할 수 있다. 또한, EUV 멤브레인들은 [예를 들어, 수소(H, H+, H2 + 및/또는 H3 +)에 의해 유도되는] EUV-유도 플라즈마 에칭을 방지하기 위해 하나 또는 두 표면들에 1 이상의 보호 코팅 층들(예를 들어, 보호 캡 층들)을 포함할 수 있다.
EUV 멤브레인들에 의한 EUV 방사선의 흡수는 낮을 수 있지만, 실제로는 여전히 0이 아니고, 잔여 EUV 방사선의 흡수가 EUV 멤브레인의 온도의 증가를 유도한다. 펠리클들이 진공 상태에 있기 때문에, 펠리클 냉각을 위한 주 공정은 복사 열 전달이다. EUV 멤브레인의 온도가 손상 임계치(예를 들어, 약 500 내지 700 ℃)를 초과하면, EUV 멤브레인에 대한 손상이 발생할 수 있다. 또한, EUV 멤브레인 내에서 큰 온도 구배들이 존재하는 경우에 손상이 발생하거나 증폭될 수 있다. 이러한 손상이 심각한 경우, EUV 멤브레인이 부서져, 보호받지 못하는 레티클 또는 거울들과 같은 리소그래피 장치의 다른 요소들의 손상/오염, 또는 바람직하지 않은 비-EUV 파장 방사선으로의 포토레지스트 노광을 초래할 수 있고, 이는 상당한 제조 공정 휴지시간을 초래한다.
EUV 멤브레인의 온도를 손상 임계치 아래에 유지하는 것, 및 온도 구배들을 최소화하는 것이 EUV 멤브레인 수명을 증가시킬 수 있음이 분명하다.
열 부하로 인해 펠리클들이 고장날 수 있는 이유는, 이들이 IR 방사선을 매우 잘 흡수/방출하지는 않기 때문이며, 특히 125 와트 소스들 및 이를 넘는 것들과 같은 고출력 EUV 방사선 소스들에 대해 그러하다. 열복사(thermal radiation)는 IR 파장 구역에서 방출되기 때문에, 높은 스펙트럼 (IR) 반구형 방사율이 EUV 멤브레인들에 대한 상당한 열 손실을 가능하게 한다. 그러므로, 높은 스펙트럼 방사율을 갖는 EUV 펠리클들을 제조하는 것이 바람직하다. 또한, EUV 펠리클들은 90 % 이상과 같은 많은 양의 EUV 방사선이 EUV 멤브레인을 통해 투과되어야 하는 경우에 매우 얇아야 한다.
EUV 멤브레인들 내에서의 온도 구배들의 최소화 및/또는 개선된 냉각과 같은 EUV 멤브레인들의 열적 특성들을 개선하는 것이 바람직하다. 본 명세서에서, EUV 멤브레인은 EUV 방사선에 대해 실질적으로 투과성인 멤브레인을 의미하고, EUV 펠리클이라고도 칭해진다. 본 명세서에서, EUV 방사선에 대해 실질적으로 투과성(또는 간단히 투과성)이라는 것은 노광 동안 충분한 EUV 도즈를 제공하기 위하여 적어도 65 % EUV 방사선에 대해, 바람직하게는 적어도 75 % EUV 방사선에 대해, 더 바람직하게는 적어도 85 % EUV 방사선에 대해, 및 가장 바람직하게는 적어도 90 % EUV 방사선에 대해 투과성이라는 것을 의미한다.
EUV 투과가 여전히 상당한 동안 IR 방사선에 대한 EUV 펠리클 방사율을 증가시키기 위해, 본 명세서에서는:
a) 불순물(impurity)들로 EUV 펠리클을 도핑(dope)하는 것; 및/또는
b) IR 방사선에 대해서는 우수한 흡수재이지만 EUV 방사선 체제에서 투명한 재료를 포함하는 개선된 IR 방사율을 위한 캡 층, 예를 들어 금속 캡 층으로 EUV 펠리클을 코팅하는 것이 제안된다. 이러한 캡 층은 또한, 바람직하게는 산화 또는 환경적 위험요소로부터 펠리클을 보호할 것이다. EUV 펠리클은 13.5 nm 또는 6.8 nm와 같은 주어진 방사선 파장(또는 여하한의 다른 EUV 방사선 파장)의 90 % 이상에 대해 투과성이도록 선택될 수 있다.
본 명세서에서, 본 발명에 따른 EUV 멤브레인 또는 EUV 멤브레인 조립체의 개선된(증가된, 향상된, 최적의) IR 방사율은, IR 방사율이 0.1보다 크고, 예컨대 0.15보다 크고, 바람직하게는 0.2보다 크다는 것을 의미한다. 바람직하게는, EUV 멤브레인의 IR 방사율은 주어진 온도에 대해 적어도 2 배만큼 증가된다.
EUV 멤브레인(즉, EUV 펠리클)이 코어 층(core layer)(주 기판 층이라고도 함) 및 1 이상의 캡 층들(본 명세서에서 커버 층들이라고도 하며, 일반적으로 보호 캡 층과 같은 특정 기능을 갖는 층들임) -이로부터 적어도 하나의 캡 층은 개선된 IR 방사율에 대한 기능을 가짐- 에 의해 형성되는 경우, 개선된 IR 방사율을 위한 캡 층은 EUV 멤브레인의 IR 방사율이 코어 층의 IR 방사율보다 크도록 선택되는 IR 방사율을 갖는 캡 층을 의미한다. 예를 들어, 코어 층의 EUV 방사율이 약 0.1인 경우, 개선된 IR 방사율을 위한 캡 층의 재료 및 두께는 동일한 조건들에서 결정되는 EUV 멤브레인의 총 IR 방사율이 0.15보다 크도록 선택된다. 본 명세서에서, 캡 층은 주로 코어 층의 최상부에 제공될 수 있는 코팅으로서 언급되지만, 본 명세서에서 캡 층은 2 개의 코어 층들 사이, 또는 코어 층과 또 다른 (제 2) 캡 층 사이, 또는 동일하거나 상이한 기능(예를 들어, 산화-방지 층과 같은 보호, 확산-방지, 또는 IR 방사율 개선)의 2 개의 캡 층들 사이에 있는 층일 수도 있다는 것을 이해한다.
본 명세서에서, 코어 층 또는 주 기판 층은 일반적으로 EUV 멤브레인에 대부분의 기계적 강도를 제공하는 더 두꺼운 층, 다층 스택 또는 고 항복 강도 재료(high yield strength material)의 층임을 이해한다. 예를 들어, 높은 열적 부하로 인해 노광 동안 일어날 수 있는 큰 응력들을 견디기 위해, 코어 층은 적어도 50 MPa, 바람직하게는 적어도 100 MPa, 훨씬 더 바람직하게는 적어도 150 MPa의 항복 강도를 가져야 할 수 있다. 일반적으로, 50 내지 1000 MPa 범위 내의 항복 강도가 재료에 따라 EUV 멤브레인에 충분한 기계적 강도를 제공할 수 있다(예를 들어, p-Si는 약 180 MPa를 갖고, SiNx는 약 500 MPa 항복 강도를 가짐). 일반적으로, 코어 층의 두께는 개선된 방사율을 위한 캡 층의 두께보다 클 수 있다. 코어 층이 다층 스택에 의해 형성되는 경우, 스택의 총 두께는 다층 스택 내의 개별적인 층들의 두께가 개선된 방사율을 위한 캡 층의 두께와 비슷할 수 있더라도 개선된 방사율을 위한 캡 층의 두께보다 클 수 있다. 하지만, 코어 및 캡 층들의 재료들에 따라, EUV 멤브레인은 EUV 투과, DUV 억제(supression), 및/또는 IR 방사율에 대한 바람직한 요건들이 충족되는 한 비슷한 두께 또는 심지어 코어 층보다 약간 더 두꺼운 개선된 방사율을 위한 캡 층을 갖도록 디자인될 수도 있다.
EUV 멤브레인(EUV 펠리클)이 그 방사율을 증가시키도록 도핑되는 경우, EUV 멤브레인의 개선된 IR 방사율은 도핑된 EUV 멤브레인의 IR 방사율이 동일한 조건들에서 동일한 재료 및 두께의 도핑되지 않은 EUV 멤브레인의 IR 방사율보다 크다는 것을 의미한다.
또한, 개선된 방사율의 대안적인 정의에서, 온도는 정의 파라미터(defining parameter)로서 간주될 수 있다. 예를 들어, EUV 멤브레인의 IR 방사율을 개선하는 것은, EUV 멤브레인의 온도가 100 내지 약 1000 ℃이고, 더 구체적으로는 중간 정도(moderate)의 온도들(500 ℃ 미만)인 경우, EUV 멤브레인에 의해 흡수되는 에너지의 65 % 이상(바람직하게는 85 % 이상)이 복사되어 나가도록 파장들(예를 들어, 1 내지 10 ㎛)에 대한 EUV 멤브레인의 열적 방사율을 증가시키는 것으로 정의될 수도 있다.
본 명세서에서, 방사율은 일반적으로 달리 언급되지 않는 한 (반구형 IR 방사선 흡수에 기초한) 반구형 방사율을 의미한다.
본 발명의 일 실시형태에서, EUV 펠리클의 IR 방사율을 증가시키기 위해 도너(donor) 및/또는 억셉터(acceptor) 불순물들로 도핑되는 EUV 방사선에 대해 투과성인 멤브레인이 제공된다. IR 방사율을 최대화하도록 플랑크(Planck) 스펙트럼에서의 피크에 플라스몬 공명(plasmon resonance)을 매칭하기 위해 필요한 도핑 범위가 발견되었다(즉, 플랑크 방사율 피크는 플라스몬 공명에 대응한다). 예를 들어, (폴리-)실리콘을 도핑함으로써, IR에서의 플라스몬이 약 1 내지 10 미크론에서 생성되고, 이는 피크 플랑크 흑체 복사와 일치한다. 플라스몬 주파수는 도체들의 수로부터 쉽게 결정된다. 원자들의 체적 밀도는 고체에 대해 대략 1022 n/㎤이다. 금속의 경우, 각각의 원자가 전도대 내의 전자와 기여하여, 약 1022 운반체/㎤를 유도한다. 금속은 약 150 nm의 전형적인 플라즈마 파장을 갖는다.
플라즈마 주파수(ωp)는 ωp=√ne에 비례하고, ne는 자유 전하 운반체(free charge carrier)이다. 10x 더 큰 파장이 바람직한 경우(즉, 주파수는 10x 더 낮음), 1020 운반체들에 대응하는 100x 더 낮은 자유 전하 운반체 밀도가 필요하다. (폴리-)실리콘이 도펀트(dopant)의 0.1 내지 10 % 원자 농도로 도핑되는 경우, IR 방사선 스펙트럼에서 플라스몬 공명이 생성될 수 있다. 이 플라스몬은 플랑크 흑체 스펙트럼에 커플링되고, 추가적인 IR 흡수를 생성한다.
플라스몬 공명 주파수가 플랑크 주파수(300 K에서 10 미크론)보다 훨씬 더 높은 경우, EUV 펠리클은 더 반사성이 될 수 있다(즉, 금속과 같을 수 있음). 플라스몬 공명 주파수가 플랑크 주파수보다 훨씬 더 낮은 경우, EUV 펠리클은 더 투과성이 될 수 있다(즉, 유전체와 같을 수 있음). EUV 펠리클에 대한 바람직한 거동은 플라즈마 파장이 1 내지 10 미크론인 반-금속 거동이다.
이론적인 계산들로부터, 60 nm 두께의 폴리실리콘 펠리클의 최적 IR 방사율이 얻어지고, 적어도 약 (2 내지 3) x 1020 n/㎤ 도너 원자들로의 EUV 펠리클 재료의 N-타입 도핑을 갖는 것으로 밝혀졌다. 펠리클 온도가 높을수록, 더 높은 온도들에서의 플랑크 스펙트럼의 시프트로 인해 도핑 농도가 더 높아야 한다. EUV 펠리클 재료의 P-타입 도핑의 경우에 최적 도핑은 적어도 4 x 1020 n/㎤ 억셉터 원자들인 것으로 밝혀졌다. P-타입 도핑은 N-타입 도핑보다 약간 (약 10 %) 더 높은 IR 방사율을 유도한다. 60 nm 두께의 폴리실리콘 펠리클에 비해, 더 얇은 펠리클이 더 높은 최적 도핑 농도를 가질 것이고(예를 들어, 20 nm 두께 펠리클은 1e21 주위에서 최적 도핑을 가짐), 더 두꺼운 펠리클이 더 낮은 최적 도핑 농도를 가질 것이다(200 nm 두께 Si 펠리클은 1e20 주위에서 최적 도핑을 가짐). 일반적으로, 10 내지 250 nm 두께를 갖는 EUV 펠리클에 대해, 최적 도펀트 농도는 5 x 1019 내지 1 x 1021 n/㎤ 원자들이다.
본 발명의 일 실시형태에서, EUV 방사선에 대해 투과성인 멤브레인이 제공되고, 이는: 상기 멤브레인이 고 도펀트 농도로 도핑되는 1 이상의 고농도 도핑된 구역(high doped region)들, 및 상기 멤브레인이 도핑을 갖지 않거나 저 도펀트 농도를 갖는 1 이상의 저농도 도핑된 구역(low doped region)들을 포함하며; 고 도펀트 농도는 1017 cm-3보다 큰, 바람직하게는 1020 cm-3보다 큰 도펀트 농도로서 정의되고; 저 도펀트 농도는 1017 cm-3보다 작은, 바람직하게는 1020 cm-3보다 작은 도펀트 농도로서 정의된다.
본 발명의 또 다른 실시형태에서, EUV 방사선에 대해 투과성인 멤브레인(EUV 펠리클)이 제공되고, 이는 (폴리-)Si, Si3N4, SiC, ZrN, ZrB2, ZrC, MoB2, MoC, RuB2, LaB2, LaC, TiB2, TiC, (폴리-)결정질 이트륨, (폴리-)결정질 Zr, Be, C, B 및 B4C 및 이로부터의 다층들의 조합들 또는 조성물들로부터 선택되는 (코어) 재료를 갖는다. ZrB2 또는 ZrC와 같은 반-금속들이 EUV 펠리클의 정전하(electrostatic charging)를 감소시킬 수 있다. EUV 펠리클은 바람직하게는 60 nm 이하의 두께를 가져 충분한 EUV 투과를 허용한다.
본 발명의 또 다른 실시형태에서, 적어도 0.1의 IR 방사선 방사율을 갖고 6.7 nm 파장의 EUV 방사선에 대해 실질적으로 투과성인 리소그래피 장치에 대한 멤브레인이 제공되고, 멤브레인은 붕소를 포함한 재료로부터의 코어 층을 포함하며, 코어 층은 20 내지 150 nm의 두께를 갖는다.
본 발명의 또 다른 실시형태에서, 적어도 0.1의 IR 방사선 방사율을 갖고 EUV 방사선에 대해 실질적으로 투과성인 리소그래피 장치에 대한 멤브레인이 제공되고, 멤브레인은 Ru를 포함한 재료로부터의 코어 층을 포함하며, 코어 층은 20 내지 30 nm의 두께를 갖는다.
본 발명의 또 다른 실시형태에서, 적어도 0.1의 IR 방사선 방사율을 갖고 EUV 방사선에 대해 실질적으로 투과성인 리소그래피 장치에 대한 멤브레인 조립체가 제공되고, 멤브레인 조립체는 개선된 IR 방사율을 위한 적어도 2 개의 독립적인 금속 층들을 포함하며, 금속 층들은 IR 방사선을 흡수하고 이들이 EUV에 대해 실질적으로 투명하도록 20 nm 이하의 층 두께를 갖는 금속을 포함하며, 개선된 IR 방사율을 위한 금속 층들은 10 미크론 이하의 두께(D)를 갖는 갭만큼 분리된다. 금속 층들은 기계적 강도를 제공하는 지지체 층으로 지지될 수 있다.
본 발명의 또 다른 실시형태에서, 앞선 실시예들에 따른 1 이상의 EUV 멤브레인들을 포함하는 리소그래피 장치가 제공된다.
본 명세서에 통합되며 명세서의 일부분을 형성하는 첨부된 도면들은 본 발명을 예시하며, 또한 설명과 함께 본 발명의 원리들을 설명하고 당업자가 본 발명을 수행하고 사용할 수 있게 하는 역할을 한다. 본 발명의 실시예들은 첨부된 도면들을 참조하여, 단지 예시의 방식으로만 설명된다:
도 1은 반사 투영 광학기를 갖는 리소그래피 장치를 개략적으로 도시하는 도면;
도 2는 도 1의 장치의 더 상세한 도면;
도 3은 레티클에 대한 펠리클로서 사용되는 본 발명의 제 1 실시예에 따른 EUV 멤브레인을 예시하는 도면;
도 4는 본 발명의 제 2 실시예에 따른 EUV 멤브레인을 예시하는 도면;
도 5는 본 발명의 제 3 실시예에 따른 EUV 멤브레인을 예시하는 도면;
도 6은 본 발명의 제 4 실시예에 따른 EUV 멤브레인을 예시하는 도면;
도 7은 도 6에 도시된 EUV 멤브레인에 대해, 및 평탄한 EUV 멤브레인에 대해 EUV 멤브레인에 걸친 거리(L)에 대한 예상 온도 분포의 그래프;
도 8은 본 발명의 제 5 실시예에 따른 EUV 멤브레인을 예시하는 도면;
도 9는 상이한 도핑 농도들에 대해 온도의 함수로서 폴리-Si EUV 멤브레인의 방사율을 예시하는 도면;
도 10은 EUV 소스 파워에 대한 최대 온도 및 EUV 멤브레인 파워 흡수의 비교를 예시하는 도면;
도 11은 EUV 멤브레인 온도에 대한 IR 방사율의 효과를 나타내는 도면;
도 12는 (폴리-)Si EUV 멤브레인에 비해 개선된 IR 방사율을 위한 Ru 캡 층의 효과를 나타내는 도면; 및
도 13은 공명 흡수로 인해 IR 방사율을 향상시키는 듀얼 EUV 펠리클(즉, 멤브레인 조립체)를 예시하는 도면이다.
도면들에 관련하여 아래에서 설명되는 상세한 설명으로부터 본 발명의 특징들 및 장점들이 더 분명해질 것이다.
도 1은 본 발명의 일 실시예에 따른 소스 모듈(SO)을 포함한 리소그래피 장치(100)를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향, 성형 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 형태의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 형태의 광학 구성요소들을 포함할 수 있다.
지지 구조체(MT)는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스(MA)를 유지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다.
"패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 수 있다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입들, 및 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
조명 시스템과 같이 투영 시스템은, 사용되는 노광 방사선에 대하여, 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입의 광학 구성요소들을 포함할 수 있다. 다른 가스들이 너무 많은 방사선을 흡수할 수 있기 때문에, EUV 방사선에 대해 진공을 사용하는 것이 바람직할 수 있다. 그러므로, 진공 벽 및 진공 펌프들의 도움으로 전체 빔 경로에 진공 환경이 제공될 수 있다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 반사 마스크를 채택하는) 반사형으로 구성된다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 기판 테이블(및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다.
도 1을 참조하면, 일루미네이터(IL)는 소스 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 광을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 요구되는 플라즈마는 요구되는 선-방출 원소를 갖는 재료의 액적, 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 1에 나타내지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 모듈은 별도의 개체일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 모듈로 통과된다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭해지는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 마스크 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
EUV 멤브레인, 예를 들어 EUV 펠리클(PE)이 시스템 내에서 입자들로부터의 패터닝 디바이스의 오염을 방지하기 위해 제공된다. 이러한 펠리클들은 나타낸 위치 및/또는 다른 위치들에 제공될 수 있다. 추가 EUV 멤브레인(SPF)이 원치 않는 방사선 파장들(예를 들어, DUV)을 필터링하도록 작동가능한 스펙트럼 퓨리티 필터(spectral purity filter)로서 제공될 수 있다. 이러한 원치 않는 파장들은 바람직하지 않은 방식으로 웨이퍼(W) 상의 포토레지스트에 영향을 미칠 수 있다. 또한, SPF는 선택적으로 가스방출(outgassing) 동안 방출되는 입자들로부터 투영 시스템(PS) 내의 투영 광학기의 오염을 방지하는 데 도움이 될 수 있다(또는 대안적으로 이를 위해 SPF 대신에 펠리클이 제공될 수 있음). 이 EUV 멤브레인들 중 어느 것이나 본 명세서에서 설명되는 여하한의 EUV 멤브레인들을 포함할 수 있다.
도 2는 방사선 시스템(42), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여, 리소그래피 장치의 일 실시예를 더 상세히 나타낸다. 도 2에 나타낸 바와 같은 방사선 시스템(42)은, 방사선 소스로서 레이저-생성 플라즈마를 이용하는 타입으로 구성된다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마는, 예를 들어 CO2 레이저 광을 이용한 광학적 여기(optical excitation)에 의해 적어도 부분적으로 이온화되는 플라즈마를 야기함으로써 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서는, EUV 범위 내의 방사선을 방출하기 위해, Sn이 플라즈마를 생성하는 데 사용된다.
방사선 시스템(42)은 도 1의 장치 내의 소스(SO)의 기능을 구현한다. 방사선 시스템(42)은, 이 실시예에서 EUV 방사선의 소스를 실질적으로 둘러싸는 소스 챔버(source chamber: 47)뿐 아니라, 도 2의 예시에서 수직-입사 컬렉터(normal-incidence collector), 예를 들어 다층 거울인 컬렉터(50)를 포함한다.
LPP 방사선 소스의 일부로서, 레이저 시스템(61)이 빔 전달 시스템(65)에 의하여 컬렉터(50)에 제공된 어퍼처(aperture: 67)를 통해 전달되는 레이저 빔(63)을 제공하도록 구성되고 배치된다. 또한, 방사선 시스템은 Sn 또는 Xe와 같은 타겟 재료(69)를 포함하며, 이는 타겟 재료 공급기(71)에 의해 공급된다. 이 실시예에서, 빔 전달 시스템(65)은 실질적으로 원하는 플라즈마 형성 위치(73)에 포커스되는 빔 경로를 확립하도록 배치된다.
작동 시, 연료라고도 할 수 있는 타겟 재료(69)는 액적(droplet)들의 형태로 타겟 재료 공급기(71)에 의해 공급된다. 이유가 어찌됐든 플라즈마로 바뀌지 않는 연료를 포획하기 위해, 소스 챔버(47)의 맞은편에 트랩(trap: 72)이 제공된다. 이러한 타겟 재료(69)의 액적이 플라즈마 형성 위치(73)에 도달하는 경우, 레이저 빔(63)은 액적에 부딪히고, EUV 방사선-방출 플라즈마가 소스 챔버(47) 내부에서 형성된다. 펄스 레이저(pulsed laser)의 경우, 이는 위치(73)로의 액적의 통과와 일치하도록 레이저 방사선의 펄스의 타이밍을 맞추는(time) 것을 수반한다. 언급된 바와 같이, 연료는 예를 들어 크세논(Xe), 주석(Sn) 또는 리튬(Li)일 수 있다. 이들은 수 105 K의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma)를 생성한다. 더 높은 에너지의 EUV 방사선이 다른 연료 재료들, 예를 들어 Tb 및 Gd로 발생될 수 있다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강한(energetic) 방사선은 위치(73)에서 플라즈마로부터 방출되는 원하는 EUV를 포함한다. 플라즈마 형성 위치(73) 및 어퍼처(52)는 각각 컬렉터(50)의 제 1 및 제 2 포커스 지점들에 위치되고, EUV 방사선은 수직-입사 컬렉터 거울(50)에 의해 중간 포커스 지점(IF) 상으로 포커스된다.
소스 챔버(47)로부터 나오는 방사선 빔은 방사선 빔(56)에 의해 도 2에 예시된 바와 같이 소위 수직 입사 반사기들(53, 54)을 통해 조명 시스템(IL)을 가로지른다. 수직 입사 반사기들은 펠리클(PE)을 통해, 지지체(예를 들어, 레티클 또는 마스크 테이블)(MT) 상에 위치된 패터닝 디바이스(예를 들어, 레티클 또는 마스크) 상으로 빔(56)을 지향한다. 패터닝된 빔(57)이 형성되고, 이는 투영 시스템(PS)에 의하여 반사 요소들(58, 59)을 통해 웨이퍼 스테이지 또는 기판 테이블(WT)에 의해 지지되는 기판 상으로 이미징된다. 일반적으로, 나타낸 것보다 더 많은 요소가 조명 시스템(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 예를 들어, 도 2에 나타낸 2 개의 요소들(58 및 59)보다 1 개, 2 개, 3 개, 4 개 또는 훨씬 더 많은 반사 요소들이 존재할 수 있다. 방사선 컬렉터(50)와 유사한 방사선 컬렉터들이 종래 기술로부터 알려져 있다.
당업자라면, 장치의 지오메트리 및 거동, 그 다양한 구성요소들 및 방사선 빔들(55, 56, 57)을 측정하고 설명하기 위해 기준 축들(X, Y, 및 Z)이 정의될 수 있다는 것을 알 것이다. 장치의 각각의 부분에서, X, Y 및 Z 축들의 국부적인 기준틀이 정의될 수 있다. Z 축은 대체로 시스템 내의 주어진 지점에서 광학 축선(O)의 방향과 일치하며, 패터닝 디바이스(레티클)(MA)의 평면에 일반적으로 수직이고 기판(W)의 평면에 수직이다. 소스 모듈(장치)(42)에서, X 축은 대체로 연료 스트림(69, 아래에서 설명됨)의 방향과 일치하는 한편, Y 축은 이에 대해 직교이고 나타낸 바와 같이 페이지 밖을 향한다. 반면에, 레티클(MA)을 유지하는 지지 구조체(MA) 부근에서, X 축은 일반적으로 Y 축과 정렬되는 스캐닝 방향에 가로 놓인다. 편의상, 도 2의 개략적인 다이어그램의 이 영역에서, X 축은 표시된 대로 다시 페이지 밖을 향한다. 이 지정들은 본 기술분야에서 통상적이며, 편의상 본 명세서에서 채택될 것이다. 원칙적으로는, 장치 및 그 거동을 설명하기 위해 여하한의 기준틀이 선택될 수 있다.
원하는 EUV 방사선에 추가하여, 플라즈마는 예를 들어 가시광, UV 및 DUV 범위 내의 방사선의 다른 파장들을 생성한다. 또한, 레이저 빔(63)으로부터 IR(적외) 방사선이 존재한다. 비-EUV 파장들은 조명 시스템(IL) 및 투영 시스템(PS)에서 바람직하지 않고, 비-EUV 방사선을 차단하기 위해 다양한 수단이 배치될 수 있다. 도 2에 개략적으로 도시된 바와 같이, 스펙트럼 퓨리티 필터(SPF)의 형태인 EUV 멤브레인 필터(즉, SPF 멤브레인)가 가상 소스 지점(virtual source point: IF)의 상류에서 IR, DUV 및/또는 다른 원치 않는 파장들에 대해 적용될 수 있다. 도 2에 나타낸 특정 예시에서, 2 개의 스펙트럼 퓨리티 필터들이, 하나는 소스 챔버(47) 내에, 하나는 투영 시스템(PS)의 출력부에 도시된다. 일 실시예에서, 단 하나의 스펙트럼 퓨리티 필터(SPF) 멤브레인이 제공되고, 이는 이 위치들 중 어느 하나에, 또는 플라즈마 형성 위치(73)와 웨이퍼(W) 사이의 다른 곳, 예컨대 레티클 레벨에 있을 수 있다.
하지만, 레티클 레벨에서는 그 위치에서 대역외 방사선의 후방-반사(back-reflection)가 바람직하지 않기 때문에(이것이 레티클 형상에 영향을 줄 수 있기 때문에), 큰 DUV 억제가 어려울 수 있다. 그러므로, 레티클 레벨에서 EUV 멤브레인(예를 들어, EUV 레티클)로 DUV 및 IR을 억제하기 위한 바람직한 메카니즘은 흡수뿐이다.
또 다른 실시예에서, 제 1 EUV 멤브레인이 레티클 레벨에서 레티클 상에 쌓이는 입자 잔해를 억제하는 데 사용되고, 제 2 EUV 멤브레인이 투영 시스템(PS)의 출력부[즉, 웨이퍼와 투영 시스템(PS)의 최종 거울 사이]에서 SPF 멤브레인으로서 사용될 수 있다. SPF 멤브레인은 방사선의 원치 않는 파장들을 차단하는 스펙트럼 필터로서 작동되는 EUV 멤브레인이다. SPF 멤브레인은, 웨이퍼 부근에서 반사 및 흡수가 둘 다 원치 않는 방사선을 억제하는 데 사용될 수 있기 때문에, 대역외 IR 및 DUV 방사선을 억제하기 위해 추가될 수 있다.
존재하는 EUV 멤브레인에 비해 개선된 열적 특성들을 갖는 EUV 방사선의 투과를 위한 EUV 멤브레인이 개시된다. 이러한 EUV 멤브레인들은, 예를 들어 (폴리-)Si EUV 멤브레인들을 포함할 수 있다. 멤브레인들은 스펙트럼 퓨리티 필터(SPF) 또는 펠리클 내에서 구성될 수 있다. SPF들 및/또는 펠리클들은 앞서 설명된 바와 같이, 리소그래피 시스템 내의 많은 위치에 제공될 수 있다.
사용 시 방사선을 흡수하는 것에서, EUV 멤브레인들은 뜨거워진다. 이들의 온도가 너무 높게 증가하거나 멤브레인 내의 온도 기울기들이 너무 크다면, EUV 멤브레인들은 손상될 수 있다. 그러므로, EUV 멤브레인 내의 온도 및 온도 기울기들을 최소화하는 것이 바람직하다. EUV 멤브레인들이 매우 낮은 압력(진공) 환경들에서 사용될 것이기 때문에, 냉각의 유일한 수단은 복사이다. 그러므로, EUV 멤브레인의 온도가 약 100 내지 약 1000 ℃, 더 바람직하게는 수 백(예를 들어, 적어도 200 ℃) 내지 약 1000 ℃, 및 더 구체적으로는 중간 정도의(moderate) 온도(500 ℃ 미만, 예컨대 100 내지 500 ℃)인 경우, 대부분의 에너지가 복사되는 파장들(예를 들어, 1 내지 10 ㎛)에 대해 EUV 멤브레인의 열적 방사율을 증가(즉, IR 방사율을 개선)시키는 것이 바람직하다. 이 조건들에서는, 예를 들어 (폴리-)실리콘 재료의 순수(즉, 벌크) 층이 낮은 열적 방사율을 나타내는데, 이는 모든 자유 전하 운반체들이 여전히 결합되어 있기 때문이다.
(방사율에 관한) 반구형 적외선 흡수를 계산하는 다층 프레넬(Fresnel) 반사 계수들 및 플랑크 법칙에 기초한 시뮬레이션들이 두께의 함수로서 얇은 멤브레인들의 IR 흡수(열적 방사율)의 변화를 이해하기 위해 수행되었다. 이러한 시뮬레이션들은, SiC 및 Si와 같은 유전체 재료들의 막들이 더 얇아질 때 더 적은 IR 방사선을 흡수하게 될 것을 나타내었다. 그러므로, (실질적인 EUV 투과를 제공하기 위해 얇을 것이 요구되는) 유전체 재료들로부터의 EUV 멤브레인들이 일반적으로 그 자체로의 IR 흡수/방출을 거의 갖지 않을 것이다.
반도체 재료를 포함한 EUV 멤브레인에서의 방사율을 증가시키기 위해, EUV 멤브레인 재료는 재료 내의 자유 전하 운반체들의 수를 증가시키도록 도핑될 수 있다. 이는 도핑된 멤브레인의 방사선 흡수 계수를 증가시키고, 이는 방사율의 증가를 초래한다. 숙련된 독자는 도너들 및/또는 억셉터들로의 반도체 재료들의 도핑이 중간 정도의 온도들에서 자유 전하 운반체 농도(전자들 및/또는 홀들)를 변경한다는 것을 알 것이다.
반도체 멤브레인으로 도핑될 불순물의 농도는 충분한 효과를 위해 1017 cm-3보다 높아야 한다. 농도들은 바람직하게는 1018 cm-3, 1019 cm-3 또는 1020 cm-3보다 높을 수 있다. 흡수 계수들은 도펀트 농도가 1017 cm-3로부터 1020 cm-3까지 증가되는 경우, 1.2 ㎛보다 높은 방사선 파장들에서 1000 배만큼 증가할 수 있음이 나타내어질 수 있다. 이는 p-도펀트 및 n-도펀트들로의 도핑에 동등하게 적용된다.
하지만, 도펀트들을 추가하는 것은 폴리실리콘과 같은 반도체 재료의 강도를 감소시키는 경향이 있다. 이는 특히, 최소 손실량으로 EUV 방사선을 투과시키기 위해 특히 얇을 필요성으로 인한 EUV 멤브레인들로부터의 문제이다. 결과적으로, 이에 대처하는 많은 해결책이 제안된다.
도 3은 레티클(MA)의 패터닝된 영역 앞에 위치되는 EUV 멤브레인(300)의 개략적인 다이어그램이다. EUV 멤브레인(300)은 본 명세서에서 EUV 방사선 빔(305)의 투과를 허용하면서 입자들(D)이 레티클(MA)의 패터닝된 영역에 가까이 오지 못하도록 디자인되는 펠리클의 일부로서 형성되는 것으로 나타내어진다. 이러한 예시에서, EUV 멤브레인(300)은 펠리클 프레임(도시되지 않음) 내에 EUV 멤브레인을 포함할 수 있다. EUV 멤브레인(300)은 (예를 들어) 레티클에 펠리클 프레임을 부착하는 고정 요소들을 더 포함할 수 있다(도시되지 않음). EUV 멤브레인(300)은 오염물들이 웨이퍼 상으로 이미징되지 않도록 레티클(MA)로부터 약간 이격되어 초점면 밖에 배치될 수 있다.
다른 실시예들에서, EUV 멤브레인은 리소그래피 장치 내의 또 다른 위치에서 사용하기 위한 펠리클의 일부, 또는 SPF를 형성할 수 있다.
EUV 멤브레인(300)은 많은 층을 포함할 수 있다. 이 층들은 주 기판 층(310), 커버 층들(311, 312), 및 예를 들어 확산-방지 층들(313, 314)일 수 있는 중간 층들(313, 314)을 포함할 수 있다. 주 기판 층(310)은, 예를 들어 (폴리-)Si 층일 수 있다. 이 구성은 단지 예시의 방식으로만 나타내어지며, 나타낸 층들의 다른 조합들이 가능하다. 예를 들어, EUV 멤브레인(300)은 중간 층들 없이 커버 층들(311, 312)을 포함할 수 있다. 또 다른 에시적인 대안예에서, (커버 층과 기판 층 사이에 중간 층을 갖거나 갖지 않고) 주 기판 층의 단 하나의 표면에 단 하나의 커버 층만이 존재할 수 있다. 또한, 주 기판 층의 하나 또는 두 표면들에 2보다 많은 층이 존재할 수도 있다.
통상적으로, 커버 층들(311, 312)은 주 기판 층(310)에 피해를 줄 수 있는 여하한의 에칭제 또는 반응제, 예를 들어 O 및 H 라디칼들, H2 및 EUV에 저항하는 (불활성) 재료로 만들어진다. 이러한 재료의 예시들은 MoSi2, Si3N4, C3N4, ZrN, SiC를 포함한다. 이러한 재료들은 통상적으로 폭넓은 금지 에너지 구역(wide forbidden energy zone)을 갖고, 세라믹들과 특성이 유사하다. 결과적으로, 이러한 재료들은 중간 정도의 온도, 예를 들어 500 ℃ 미만에서도 높은 방사율을 갖는다. 또한, 이 재료들은 순수 Si 흡수와 비슷한 낮은 EUV 흡수를 갖는 요소들로부터 생성된다. 그러므로, 커버 층들(311, 312)이 주 기판 층(310)보다 훨씬 더 작은 두께를 갖는다면, 이들은 EUV 멤브레인(300)의 전체 EUV 흡수를 크게 증가시키지 않는다. 또한, 커버 층들(311, 312)은 그 기계적 특성들을 보존하도록 주 기판 층(310)에 너무 큰 응력을 두지 않아야 한다.
중간 층들(313, 314)은 응력을 감소시키도록 제공될 수 있다. 예를 들어, 중간 층들(313, 314)은 주 기판 층(310)과 커버 층(311, 312) 사이에 중간 격자 크기를 갖는 재료를 포함할 수 있다. 커버 층들(311, 312)처럼, 중간 층들(313, 314)은 EUV에 대해 매우 투명하여야 한다.
일 실시예에서, 커버 층들(311, 312) 및/또는 (존재하는 경우) 중간 층들(313, 314)은 앞서 설명된 바와 같이 자유 전하 운반체들의 농도를 증가시키도록 도핑될 수 있다. 이 방식으로, 커버 층들(311, 312) 및/또는 중간 층들(313, 314)은 멤브레인 내에 고농도 도핑된 구역들을 형성한다. 주 기판 층(310)은 강도를 유지하도록 저농도 도핑된 구역으로서 형성될 수 있다. 다른 층들(311, 312, 313, 314) 중 1 이상의 도핑은 앞서 설명된 바와 같이 EUV 멤브레인(300)의 방사율을 크게 증가시킨다.
고농도 도핑된 구역들은 적어도 1017 cm-3의 도펀트 농도를 갖는 한편, 저농도 도핑된 구역들은 1017 cm-3 미만의 도펀트 농도를 갖는다. 고농도 도핑된 구역들의 도핑 레벨들은 반도체 멤브레인의 도핑에 관하여 앞서 설명된 것들 중 어느 하나일 수 있고, 이러한 것으로서 예를 들어 1018 cm-3보다 크거나, 1019 cm-3보다 크거나, 또는 1020 cm-3보다 클 수 있다. 주 기판 층(즉, 코어 층)과 같은 저농도 도핑된 구역들의 도핑 레벨들은, 예를 들어 1016 cm-3보다 작거나, 1015 cm-3보다 작거나, 또는 1014 cm-3보다 작을 수 있다. 저농도 도핑된 구역들은 도핑되지 않을 수 있고, 그러므로 (의도적인) 추가된 도펀트들을 갖지 않을 수 있다.
도 4는 EUV 멤브레인(300)과 동일한 층 구조를 갖지만, 도 4에 나타낸 바와 같이 커버 층들(311, 312) 상에 배치된 추가적인 커버 층들(411, 412)을 포함하는 EUV 멤브레인(400)을 나타내는 대안적인 실시예를 나타낸다. 이 추가적인 커버 층들(411, 412)은 커버 층들(311, 312) 대신에(또는 이에 추가하여) 고농도 도핑된 구역들일 수 있다. 추가적인 커버 층들(411, 412)의 도핑 농도들은 앞선 단락에서 언급된 것들 중 어느 하나일 수 있다.
주 기판 층(310)보다는 커버 층들(311, 312, 411, 412) 또는 중간 층들(313, 314)만을 도핑함으로써, 도핑의 약화 효과(weakening effect)들이 완화되고, 전체 EUV 멤브레인(300)은 결과로서 더 강하다.
도 5는 또 다른 실시예를 나타낸다. 이는 단일 주 기판 층만을 포함할 수 있는, 또는 대안적으로 커버/중간 층들, 예컨대 층들(311, 312, 313, 314) 및 가능하게는 층들(411, 412)을 포함할 수 있는 EUV 멤브레인(500)을 나타낸다. 이 실시예에서, 주 기판 층, 및 (존재하는 경우) 커버/중간 층들 중 1 이상이 도핑(이는 앞서 설명된 농도들일 수 있음)을 포함하지만, 고농도 도핑된 구역들이 도핑된 층의 중심 구역(510)에만 제한된다. 이 도핑된 층의 주변부(520)는 저농도 도핑된 구역이고, 여기에서 이는 프레임에 의해 유지될 수 있다. 이는 그 주변부에서 EUV 멤브레인(500)의 강도를 증가시키고, 이는 프레임에 의해 유지함으로 인해 더 큰 응력들을 받는다. 주변 영역(520)은 EUV가 대부분 또는 완전히 중심 구역(510)을 통해 투과되기 때문에, EUV를 거의 또는 전혀 투과시키지 않는다는 것을 이해하여야 한다. 결과적으로, 주변 영역(520)은 거의 가열되지 않으며, 그 열적 특성이 덜 중요하다.
선택적으로, 도핑은 중심을 향해 증가하도록 등급화(grade)될 수 있다. 이러한 구성에서, 기울기는 EUV 멤브레인 또는 그 층의 전체 반경에 걸쳐 발생할 수 있다(즉, 도핑은 멤브레인 에지에서 시작하고, 중심을 향해 증가함). 대안적으로, 도핑은 단지 중심 구역(510)의 에지에서 시작하고, 중심을 향해 증가할 수 있으며, 주변 구역(520)은 도핑을 갖지 않는다. 또는, 도핑 등급화는 도핑을 갖지 않는 주변 구역과 고농도 도핑을 갖는 중심 구역 사이의 중간 섹션에 대해서만 발생할 수 있다.
앞선 단락에서 설명된 것과 유사한 원리를 이용하여, 스폿 도핑(spot doping)의 형태로 여하한의 층에 도핑이 도입될 수 있다. 스폿 도핑은 도핑되지 않은 구역 또는 저농도 도핑된 구역에 의해 분리되는 복수의 고농도 도핑된(고 방사율) 구역들(및 이에 따른 더 큰 강도)을 포함한다. 다시, 이 개념은 단일 주 기판 층만을 포함한 EUV 멤브레인(500)에, 또는 커버 층들 및/또는 중간 층들과 같은 추가적인 층들을 포함한 EUV 멤브레인(500) -이 경우, 도핑은 이 층들 중 어느 1 이상에 도입될 수 있음- 에 적용할 수 있다. 일 예시에서, 고농도 도핑된 구역들은 약 1 ㎛ 내지 5 ㎛만큼 서로 분리될 수 있다. 고농도 도핑된 구역들에 대한 열 플럭스(heat flux)는 비슷하거나 이보다 훨씬 더 긴 파장들을 갖는 포논(phonon)들에 의한 것임을 이해하여야 한다. 열은 2 가지 메카니즘: 복사(광자들) 및 열 전도(격자 내의 원자들, 포논들의 진동)에 의해 전달된다. 파워가 쌓이는 곳(도핑되지 않은 구역)과 파워가 제거되는 곳(고농도 도핑된 구역) 사이의 거리가 가까운 경우, 파워는 상당히 더 빠르게 전달된다; 가깝다는 것은 전형적인 에너지를 갖는 포논의 파장에 비슷한 것으로서 정의될 수 있다(온도에 의해 정의됨, 이러한 파장은 수 미크론의 범위에 있음).
물론, 앞선 단란들에서 설명된 개념들은 스폿 도핑이 EUV 멤브레인 또는 그 층의 중심 구역(510)에만 한정되고, 주변 구역(520)에는 도핑이 없도록 조합될 수 있다. 또한, 도핑 농도는 주변부에 더 가까운 고농도 도핑된 구역들이 중심에 더 가까운 것들보다 덜 고농도로 도핑되도록 등급화될 수 있다. 이는 열적으로 유도된 응력 및 냉각 속도(이 둘은 도펀트 농도의 함수임)를 제어하는 데 도움이 될 수 있다. 또한, 이는 형성되는 주름들 또는 접힌 부분(fold)들과 같은 변형들을 제어하는 데 도움이 될 수 있다. EUV 멤브레인의 온도가 증가되는 경우, 이를 구성하는 재료가 팽창한다. EUV 멤브레인의 공칭 형상(nominal shape)인 평탄한 평면은 팽창된 재료를 수용할 수 없고, 접힌 부분들 또는 주름들이 형성된다. EUV 방사선이 EUV 멤브레인을 비스듬히 교차하고, 이에 따라 효과적인 흡수 경로가 더 길기 때문에 접힌 부분들에 의한 EUV 방사선 흡수가 더 높다. 접힌 부분들은 약 10 마이크로미터 이상의 가로방향 스케일(transverse scale)을 가질 수 있고, 웨이퍼 상에 이미징될 것이다. 스폿 도핑을 이용하면, 접힌 부분들의 전형적인 스케일은 온도 프로파일 제어 및 기계적 특성 제어의 조합된 효과로 인하여 고농도 도핑 및 저농도 도핑된 구역들의 지오메트리 및 스케일에 의해 정의된다. 온도가 증가하는 경우, 스폿-도핑된 멤브레인에서의 접힌 부분들의 각도들은 동일하지만, 가로방향 크기는 감소되고, 그러므로 이러한 접힌 부분들이 더 이상 이미징되지 않는다.
앞선 연구들은, 예를 들어 복사 대상물(radiating object)들 간의 분리 간격들이 열적 주파장(dominant thermal wavelength)들보다 작은 경우, 광자 터널링(photon tunneling) 및 표면 폴라리톤(surface polariton)들이 근거리장 복사 에너지 전달에서 중요한 역할을 할 수 있음을 나타내었다. 예를 들어, B. Liu 외(Phys. Rev. B 87, 115403, 2013)의 연구는 몇몇 재료들의 근거리장 복사 열 전달이 에바네센트 파(evanescent wave)들을 통한 에너지 전달로 인해 수 자릿수만큼(by few orders of magnitude) 흑체 복사 한계를 초과할 수 있다고 증명하였다. 연구된 재료는 IR 구역에서 표면 폴라리톤을 지지하였다[예를 들어, 도핑된 Si 재료들, SiC, BN 또는 커버 층들(510 및 514)에 대한 후보 재료들로서 사용될 수 있는 여하한의 적절한 재료].
또한, 거리(d)의 함수로서 SiC 및 금으로 구성된 2 개의 반-무한 플레이트(semi-infinite plate)들 사이의 근거리장 복사 열 전달을 비교하는 그래프가 B. Liu 외(도 1)에서 발견될 수 있다. 거리(d)는 2 개의 플레이트들 간의 진공 갭 크기를 나타낸다. B. Liu 외의 도 1에서 알 수 있는 바와 같이, SiC 및 금으로 구성된 플레이트들 간의 근거리장 복사 열 전달은 2 개의 SiC 플레이트들 간의 열 전달보다 3 자릿수(three orders of magnitude) 더 작다.
결과적으로, 펠리클들을 따르는 가로방향 복사 열 전달을 더 개선하기 위해, 일 실시예에서 EUV 멤브레인 표면들 중 하나에 복수의 추가적인 피처들을 제공하는 것이 제안된다. 이 추가적인 피처들은 에칭 공정 동안 성장되거나 형성될 수 있다. 추가적인 피처들은 여하한의 적절한 형상으로 이루어질 수 있다. 일 예시에서, 추가적인 피처들은 EUV 멤브레인 표면으로부터 수직으로 연장되는 주기적이거나 비주기적인 와이어들 또는 얇은 벽들 또는 리브(rib)들을 포함한다. 추가적인 피처들은 도핑된 Si 또는 Si-계 재료들 또는 여하한의 적절한 커버 층 재료, 예컨대 본 명세서에 기재된 도펀트 농도들 및 구성들 중 어느 하나를 갖는 여하한의 재료들을 포함할 수 있다. 각각의 추가적인 피처의 피처 크기는 피처들에 의해 경계를 이루는 영역의 크기보다 상당히 더 작아야 한다. 추가적인 피처들 간의 거리가 1 ㎛ 이하인 경우, 복사 열 전달은 흑체 한계보다 10 내지 10000 배 더 높은 것으로 예상됨이 나타내어질 수 있다.
도 6은 [예를 들어, 주기적이거나 비주기적인 벽 또는 와이어 구조체(620)들에 의해 형성되는] 추가적인 피처(620)들을 포함하는 EUV 멤브레인(600)을 나타낸다. 추가적인 피처(620)들은 EUV 멤브레인(600)의 하부면(EUV 방사선에 노출되는 측)에 위치될 수 있다. EUV 멤브레인의 레티클을 향하는 측은 순도(purity)를 유지하기 위해 평탄할 수 있다. 복사 열 전달은 수직 화살표(630)들로 표시된다. 수평 화살표(640)들은 추가적인 피처(620)들에 의해 발생되는 가로방향 복사 열 전달을 표시한다. 조명하는 EUV 방사선(도시되지 않음)이 펠리클(P)에 거의 수직으로 전파된다는 것을 유의한다. 그러므로, (본 명세서에 나타낸 형태, 즉 와이어 또는 리브인) 추가적인 피처(620)들은 레티클(MA) 및/또는 웨이퍼(W)에 최소의 그림자를 드리운다.
EUV 멤브레인에서의 가로방향 온도 기울기는 그 자체로 높은 온도들만큼 멤브레인에 대한 큰 손상을 야기하는 것으로 여겨진다. 본 명세서에 설명된 모든 실시예들이 EUV 방사선으로의 노광 동안 EUV 멤브레인에서의 온도 기울기를 크게 감소시키지만, 도 6에 도시된 실시예는 또 다른 메카니즘: 복사 열 전달을 추가함으로써 가로방향 열 전도가 평탄한 멤브레인의 경우(이때, 온도는 포논들에 의해서만 전달됨)에 비해 증가되기 때문에 특히 효과적이다. EUV 멤브레인으로부터 추가적인 피처(620)로의 열 전달은 전형적인 스케일이 작기 때문에 제한적이지 않은 것으로 여겨진다. 효율적인 가로방향 열 전달이 이 온도 기울기를 최소화하고, 펠리클의 수명을 연장시킬 것이다.
도 7은 EUV 멤브레인에 걸친 거리(L)에 대한 예상 온도 분포의 그래프이다. PEUV 라인은 펠리클에 걸친 EUV 방사선 파워 분포를 나타낸다. TA 라인은 평탄한 EUV 멤브레인의 온도 분포를 나타낸다. TB 라인은 도 6에 도시된 EUV 멤브레인에 걸친 온도 분포를 나타낸다. 도 7에서 알 수 있는 바와 같이, EUV 멤브레인에 걸친 온도 기울기들은 평탄한 EUV 멤브레인에 비해 도 6의 예시에서 감소된다.
도 8은 도 6에 도시된 실시예에 대한 개량을 포함하는 EUV 멤브레인(800)의 또 다른 실시예를 나타낸다. 이 실시예에서, 추가적인 피처(820)들은 에슐레트 격자(echelette grating)를 모방한 형상 및/또는 형성물을 포함한다. 특정 예시에서, 추가적인 피처들은 와이어들 또는 리브들(820)의 반복된 그룹들을 포함하고, 각각의 그룹의 개별적인 와이어들/리브들(820)은 나타낸 바와 같이 높이가 점진적으로 내려간다(또는 증가한다). 결과는 점선에 의해 예시되는 에슐레트 격자에 가깝다. 에슐레트 격자-유사 구조체는 각각의 와이어/리브(820)에 의한 EUV 방사선의 산란으로부터 개별적으로 발생하는 원치 않는 방사선(830)을 레티클(MA)로부터 웨이퍼로의 패턴의 전사 동안 EUV 방사선(840)의 차수들(예를 들어, 0차 및 1차)로부터 멀리 지향하는 데 도움이 된다.
도 9는 60 nm 두께의 도핑된 EUV 폴리실리콘 펠리클의 방사율(도 9의 왼쪽 그래프) 및 진성(intrinsic) 폴리실리콘 펠리클 대 도핑된 펠리클들에 대하여 K 단위의 온도에 대한 통합된 방사율(도 9의 오른쪽 그래프)을 예시한다. 0.1 위로 방사율을 증가시키기 위해서, 60 nm 폴리실리콘 펠리클이 적어도 5 x 1019 cm-3로 도핑되었다.
앞선 모든 실시예들에서, 도핑 재료들은 강도 및 신뢰성을 위해 Si 격자와 최소 미스매치(mismatch)를 갖고 EUV에 투명한 것들(예를 들어, 탄소, 붕소 및 질소)로 제한될 수 있다. 다른 실시예들에서, 13.5 nm에는 투명하지 않지만 다른 EUV/BUV 파장들에 투명한 도펀트들이 사용될 수 있으며, 이때 파장은 리소그래피 시스템에 적절하다. 이 도펀트 재료들은: S, Te, As, O, Al, Sn, Sb, In, Ga, Br, Cl, I, C, B, N을 포함할 수 있다.
이상 폴리실리콘이 (이것이 13.5 nm EUV 방사선에서 가장 투명한 재료이기 때문에) EUV 펠리클 코어 층 재료의 주요 예시로서 간주되었지만, 증가된 방사율을 위한 불순물들로의 EUV 펠리클 재료의 도핑은 여하한의 반도체에 대해 행해질 수 있다. 도핑은 B 또는 P를 이용하여 행해질 수 있고, 이들은 둘 다 EUV 체제에서 투명한 재료들이다. 또한, 실리콘이 B 또는 P로 도핑되는 경우, EUV 손실은 무시해도 될 정도이다.
IR 방사선에 대한 EUV 펠리클 방사율을 증가시키는 한편, EUV 투과가 여전히 상당하기 위해서, 본 명세서에서는 도핑에 추가하여 또는 대안적으로 IR 방사선에 대해 우수한 흡수재이지만 EUV 방사선 체제에서 투명한 재료를 포함하는 개선된 IR 방사율을 위한 캡 층, 예를 들어 금속 캡 층으로 EUV 펠리클을 코팅하는 것이 제안된다. 또한, 이러한 캡 층은 산화 또는 다른 환경적 위험요소들로부터 펠리클을 보호할 수 있다.
금속 캡 층은 폐쇄된 막이어야 하며, 즉 금속 고립부(metal island)들은 저항률이 10,000 배 상승할 수 있고 드루드 흡수 항(Drude absorption term)이 무효화(cancel)되기 때문에, 일반적으로 바람직하지 않다. 이러한 비균질한 막들은 투명하게 되고, 이에 따라 불충분한 흡수를 제공할 수 있다.
EUV 펠리클은 13.5 nm 또는 6.8 nm와 같은 주어진 EUV 방사선 파장(또는 여하한의 다른 EUV 방사선 파장)의 90 % 이상을 투과하도록 선택될 수 있다. 일 예시로서, 3 nm Si3N4로 두 측면들에 코팅된 45 nm 두께의 폴리실리콘 펠리클이 약 85% EUV 투과율을 갖고, 열악한 (거의 없는) IR 방사율을 가질 것이며(즉, 이는 매우 뜨거워질 수 있음), (이미징을 위해 바람직하지 않은) 방사선 스펙트럼에 존재하는 DUV 방사선의 대부분을 반사시키고, 어떠한 DUV 방사선도 전혀 투과시키지 않을 것이다[이는 미립자 잔해를 검출하도록 스루 펠리클 검사(through pellicle inspection)를 수행하는 선택사항이 없게 함).
본 발명의 일 실시형태에서, (폴리-)Si, Si3N4, SiC, ZrN, ZrB2, ZrC, MoB2, MoC, RuB2, LaB2, LaC, TiB2, TiC, (폴리-)결정질 이트륨, (폴리-)결정질 Zr, Be, C, B 및 B4C 및 이로부터의 다층들의 조합들 또는 조성물로부터 선택되는 코어 층 재료를 갖는 EUV 방사선에 투과성인 멤브레인(즉, EUV 펠리클)이 제공된다. ZrB2, ZrC와 같은 반-금속들이 EUV 펠리클의 정전하를 감소시킬 수 있다. 실리콘 니트라이드 Si3N4(SiNx라고도 함)는 본 명세서에서 비정질 실리콘 니트라이드라고 칭해지며, 화학량론적(3:4 비, x=1.33) 및 비-화학량론적 SiNx 합금들(O < x < 1.6)을 둘 다 포함한다.
EUV 펠리클은 바람직하게는 60 nm 이하의 두께를 가져 충분한 EUV 투과(바람직하게는, 적어도 90 % EUV 방사선 투과)를 허용한다. EUV 멤브레인에 충분한 강도를 제공하기 위해, 코어 층은 적어도 5 nm의 최소 두께, 바람직하게는 적어도 10 nm, 및 더 바람직하게는 적어도 15 nm 최소 두께를 갖는 것이 필요할 수 있다.
EUV 펠리클 코어 층(주 기판 층이라고도 함)은 IR 방사율을 증가시키기에 적절한 두께를 갖는 재료로부터의 금속 층 또는 또 다른 캡 층(커버 층이라고도 함)으로 하나 또는 두 면들에 캐핑(cap)될 수 있다. 우수한 EUV 투과율을 갖는 적절한 캡 층 금속들의 예시들은 Ru, Ti, Nd, Pr, Mo, Nb, La, Zr, B, Y 및 Be이다. 또한, 이 금속들 및 다른 금속들이 EUV 펠리클(더 명확하게는 코어 층)을 코팅하기 위해 유사한 방식으로 사용될 수 있고, 개선된 IR 방사율을 제공할 수 있다. 예를 들어, B 또는 Be 코어 층을 갖고 Ru, Mo 층 또는 다른 금속(조성물) 캡 층들로 캐핑되는 펠리클이 실질적으로 개선된 IR 방사율을 제공할 수 있다.
금속의 얇은 층들은 플라즈마 주파수에 의해 크게 영향을 받는 방사율을 갖는다. 덜 전도성인 Ru와 같은 금속들이 더 적은 자유 전하 운반체들 및 이에 따른 더 낮은 플라즈마 주파수를 갖고, 그러므로 Au 또는 Ag와 같은 더 전도성인 금속들보다 개선된 IR 방사율을 위한 더 좋은 선택이다. 플라즈마 주파수에 대한 최고 값은 Al에 대한 약 10 eV이다. Au 막들은 막 품질에 의존하여 7에서 9 eV까지 변하는 플라즈마 주파수를 갖는다.
또한, 캡 층은 금속 및 EUV에 투명한 불순물들을 포함하는 조성물 재료일 수 있다. 비-금속 또는 열악한 전도성의 EUV에 투명한 불순물들을 추가함으로써, 플라즈마 주파수는 더 낮은 값들로 튜닝(tune)될 수 있고, 이 경우 많은 금속이 개선된 IR 방사율을 갖는 EUV 펠리클 캡 층들로서 우수한 후보들이 된다. 열악한 전도성의 불순물들의 예시들은 붕소, 질화물, 탄소, 실리콘, 스트론튬 칼슘, 및 인이다. 불순물들을 추가하고 플라즈마 파장을 낮춤으로써, 금속 층 두께는 증가될 수 있다. 이러한 경우의 불순물 농도는 바람직하게는 10 % 원자퍼센트보다 낮다.
펠리클 멤브레인 스택에서[즉, 1 이상의 코어 (다-)층들 및 개선된 IR 방사율을 위한 적어도 하나의 캡 층을 포함하는 다층 스택에서] 금속 캡 층을 보호하기 위해, 추가적인 보호 캡 층이 개선된 IR 방사율을 위한 금속 캡 층의 최상부에 포함될 수 있다. 이 보호 캡 층은 EUV 플라즈마 환경에서 산화 및 에칭의 효과들을 줄일 수 있다. 이러한 보호 캡 층에 적절한 재료들의 예시들은 다음 재료들: Zr, Ti, Hf, Si, Rh, 또는 Ru의 산화물, 탄화물 또는 질화물(예를 들어, ZrO2, ZrN, ZrC 등)일 수 있다. 이 보호 캡 층들의 두께는 바람직하게는 1 내지 3 nm 정도이다.
통상적으로 IR 방사선을 반사시키는 금속 층들은 그 두께가 표피 깊이(skin depth)보다 얇은 경우에 더 흡수성이 되는 것으로 밝혀졌다. 1 nm만큼 얇은 금속 층들이 거의 평탄한 스펙트럼 응답 및 0.5의 이론적 한계에 가까운 방사율을 가질 수 있다. 층 두께의 감소에 따른 흡수 증가에 대한 이유는 금속-진공 및 금속-유전체 계면들에서의 상쇄 간섭으로 인해 무효화되는 반사 및 금속들에 대한 큰 흡수 계수일 수 있다.
본 발명의 일 실시형태에서, EUV 방사선에 대해 투과성인 멤브레인이 제공되고, 이는 IR 방사선에서의 금속의 표피 깊이보다 작은 두께의 금속 캡 층을 포함하는 개선된 IR 방사율을 위한 캡 층으로 코팅된다. IR 방사선에 대한 금속 캡 층의 표피 깊이 두께는 일반적으로 10 nm보다 작을 수 있지만, 10 nm보다 약간 더 큰 두께로 여전히 본 발명에 따라 작동할 수 있는 이트륨(Y)과 같은 금속들이 존재한다. 본 명세서에서, 표피 깊이는 빛이 그 세기의 63 % 손실되는(또는 세기 1/e를 갖는) 두께를 의미한다. 표피 깊이는 광 파장에 의존한다. 대부분의 금속들이 일반적으로 IR 방사선에서 약 10 nm의 표피 깊이를 갖는다(즉, 10 nm 금속 층을 관통하는 IR 방사선은 그 세기의 63 %를 손실할 것이다). 이 금속 캡 층들은 기본적으로 IR 흡수재들로서 작용하는 반면, EUV 방사선의 투과는 실질적으로 동일할 수 있다. 예를 들어, 60 nm 두께의 (폴리-)실리콘 펠리클에 대한 0.9의 투과율에 비해, 58 nm 두께의 코어를 갖는 (폴리-)실리콘 펠리클 및 펠리클의 각 면의 1 nm Ru의 층(Ru가 우수한 EUV 투명도를 갖기 때문임)이 13.5 nm EUV 방사선에 대하여 0.878의 투과율을 갖는다는 것이 결정되었다. 하지만, EUV 펠리클이 하나 또는 각각의 면에서 예를 들어 1 내지 2 nm Ru 캡 층으로 코팅되는 경우, (폴리-)실리콘 펠리클의 방사율은 10 배 이상만큼 상승할 수 있다. (폴리-)Si 멤브레인들 상의 Ru 또는 다른 금속들이 0.01 미만으로부터 0.4 이상까지 EUV 멤브레인 방사율을 향상시킬 수 있다. 하지만, Ru 또는 Mo가 EUV 방사선의 1/4 파장에 가까운 두께를 갖기 때문에, 이것이 EUV 방사선의 약 1 %를 반사시킬 수 있고, 이는 CD 균일성에 불리할 수 있다는 것을 주의하여야 한다. 계산들은 EUV 멤브레인들 상에서 1 nm에 가까운 두께를 갖는 Ru 캡 층들이 감소된 EUV 반사를 갖고 여전히 일부 IR 방사율을 가질 수 있음을 나타내었다. 또한, 반파장 두께(예를 들어, 13.5 nm EUV 방사선에 대해 6.7 nm 두께)를 갖는 Ru가 (EUV 반사를 갖지 않는) 반사-방지(AR) 코팅으로서 작용할 수 있다; 하지만, 이러한 경우 Ru 캡 층 두께가 약 1/4 EUV 파장이었을 때, EUV 반사가 최고 값을 가졌다.
개선된 IR 방사율 또는 여하한의 다른 기능을 위한 단일 금속 캡 층으로 코팅된 EUV 멤브레인의 EUV 반사(EUVR)를 감소시키는 전략으로서, 본 명세서에서 금속 층의 두께(D)가 리소그래피 노광에 사용되는 EUV 방사선(예를 들어, 13.5 nm, 6.7 nm 또는 4.37 nm EUV 방사선)의 반 파장(λ)의 배수인 것이 제안된다:
D = nλ/2 (1)
이때, n은 정수 = 3, 4, 5, 6 또는 그 이상이다. 바람직하게는, n은 금속 캡 층이 IR 방사선에서의 금속 표피 깊이보다 작은 두께를 갖도록 값을 갖는다.
낮은 EUVR을 위한 다른 AR 전략들은 1 내지 2 nm와 같은 2 nm 이하의 낮은 금속 캡 층 두께를 취하는 것(즉, EUV 반사가 더 낮도록 충분히 얇은 IR 방사율 향상 캡 층을 구성하는 것) 또는 거친, 뚜렷하지 않은 확산된 경계(rough, non-sharp diffuse boundary)들을 갖는 것일 수 있다.
개선된 방사율을 위한 짝수의 금속 캡 층들, 예컨대 2 개의 금속 캡 층들의 경우, 개별적인 금속 층들의 반사율은 하나의 금속 층에 대해서와 동일한 규칙들을 따른다. 본 명세서에서, EUV 방사선의 상쇄 간섭이 발생하여 서로 무효화하고 이로 인해 순(결과적인) EUV 반사가 0이도록, 2 개의 금속 캡 층들이 리소그래피 노광에 사용되는 EUV 방사선 파장의 절반(λ/2) 주위의 두께의 또 다른 코어 층에 의해 분리되는 반사-방지 구성의 EUV 멤브레인이 제안된다.
예를 들어, 2 nm Ru 또는 Mo의 두 층들이 8.4 nm, 15.1 nm, 21.9 nm, 28.6 nm, 35.4 nm, 41.5 nm, 48.7 nm, 및 55.7 nm(즉, 거의 6.7 nm의 단차)로부터 선택되는 두께를 갖는 (폴리-)Si 층에 의해 분리되는 경우, 제 2 Ru 캡 층 유도된 반사가 제 1 Ru 캡 층의 반사와 상쇄적으로 간섭하며, EUV 방사선 반사가 존재하지 않을 것이다. 폴리실리콘 코어 층의 두께는 금속 캡 층의 두께에 의해 영향을 받을 수도 있기 때문에, 4.37 nm 또는 6.7 nm 또는 13.5 nm의 EUV 방사선 파장의 정확히 절반은 아니라는 것이 언급된다. 그러므로, 금속 캡 층들의 1 이상의 쌍들로 덮이는 코어 층의 여하한의 조합에 대해 EUV 반사를 회피하기 위한 층 두께에 대한 일반적인 조건은 완전 상쇄 간섭이 금속 층들 사이에서 발생하여 EUV 방사선이 반사되지 않도록 이루어진다.
감소된 EUV 반사를 위한 앞선 모든 대안예들에서, 금속 캡 층으로 인해 높은 방사율은 여전히 유지될 수 있는 한편, EUV 반사가 최소화되어(즉, 이미지에 대한 영향이 최소화됨), 낮은 EUV 반사율을 유지하면서 EUV 펠리클들이 높은 IR 방사율을 가질 수 있게 한다.
자체로, 50 nm (폴리-)Si의 코어 층만으로도 이미 100 배 이상만큼 DUV 방사선을 억제할 수 있다. (폴리-)Si는 DUV 방사선이 예상되는 100 내지 400 nm의 범위에서 거의 투과가 없다. 하지만, (폴리-)Si 펠리클들은 IR 방사선 범위에서 투명하다. 코어 층에 Ru 또는 Mo와 같은 금속 캡 층을 추가함으로써, 50 nm (폴리-)Si 코어 층을 통한 IR 투과가 20 배만큼 억제될 수 있다는 것이 밝혀졌다. 또한, 금속 반사 및 흡수가 코어 층으로의 확산[예를 들어, (폴리-)Si에 확산하는 Ru 또는 Mo]으로 인해 손실되지 않도록 금속 캡 층들에 대해 (B4C 또는 SiNx로부터와 같은) 확산-방지 장벽 층들을 사용하는 것이 유리할 수 있다.
주어진 재료가 코어 층, 캡 층 또는 심지어 확산-방지 장벽 층에 대해서와 같은 다수 목적에 적절할 수 있지만, EUV 멤브레인에서의 층 두께 및 위치는 이러한 층의 기능을 정의하도록 유용한 기준을 제공할 수 있다. 상호확산 층의 두께는, 예를 들어 일반적으로 1 nm 이하이다.
예를 들어, 1 nm 이하의 두께를 갖고 코어 층과 인접한 캡 층 사이에 위치되는 B 또는 B4C의 층이 확산-방지 층의 역할을 할 수 있는 한편, 4 내지 11 nm의 두께를 갖는 동일한 재료의 층이 다른 층들과 비교하여 높은 인장 강도를 제공하는 경우 코어 층의 역할을 할 수 있다. 예를 들어, 10 nm B - (5 내지 10 nm) Mo - 10 nm B의 샌드위치-형 구성에서, 2 개의 B 층들이 코어 층들을 형성하고, 사이에 있는 Mo가 에칭으로부터 보호되는 개선된 IR 방사율을 위한 캡 층을 형성할 것이다.
동일한 방식으로, EUV 멤브레인의 최상부에 있거나 다른 (코어) 층들 사이에 개재되는 B 또는 B4C의 층이 주어진 기능을 갖는 캡 층의 역할을 할 수 있다. 또한, 총 스택으로서 50 MPa보다 큰 높은 항복 강도를 갖는 얇은 층들의 다층 스택이 코어 층을 형성할 수도 있다. 예를 들어, 10 nm B/3 nm 그래핀/10 nm B와 같은 붕소 사이의 그래핀의 층들(그래파이트 층들)의 최대 20 쌍들이 유리한 다층 EUV 멤브레인을 제공할 수 있는데, 이는 B가 EUV 및/또는 H2 분위기 하에서 화학적으로 저항적인 것으로 예상되고, 그래파이트가 개선된 방사율 및 기계적 강도를 제공할 것이기 때문이다. 다층 EUV 멤브레인의 또 다른 예시는, 기계적 강도를 제공하고, 방사율을 개선하며, EUV 및/또는 H2 분위기 하에서 EUV 멤브레인의 수명을 증가시키도록 SiNx 층의 최상부에 수 개(최대 20 개)의 그래핀 층들(또는 그래파이트 타입 층들) 또는 다른 멤브레인 캡 층들을 포함한다. 예를 들어, 2 nm 그래핀(즉, 2 nm의 두께를 달성하는 그래핀의 다층 또는 다수 시트들)/10 nm SiNx/2 nm 그래핀의 층들을 포함하는 다층 EUV 멤브레인이 유리한 EUV 멤브레인을 유사하게 형성할 수 있다. 당업자는 코어 층과 캡 층을 구별하는 방식을 안다.
IR 억제를 위해, 이를 작용하는 것은 원칙적으로 금속 캡 층이 증착되는 경우에 중요하지 않다. 이는 (샌드위치 구조와 같은) EUV 멤브레인 다층 스택의 최상부, 저부 또는 중간에 있을 수 있다.
(폴리-)Si가 EUV 환경에서 에칭될 수 있기 때문에, 대안적인 EUV 멤브레인으로서 2 개의 붕소 캡 층들 사이의 몰리브덴 캡 층의 샌드위치 멤브레인 구조(B-Mo-B)가 제안된다(EUV 방사선에 대해 Mo보다는 Ru가 3x 더 흡수성이기 때문이고; Mo가 주위에 노출되는 경우에 산화될 수 있기 때문이다). 붕소+금속의 조합은 (폴리-)Si+금속과 동등한 IR 억제를 가질 수 있지만, DUV 억제는 (폴리-)Si에 대해서보다 작다(100+ 팩터 대신에 7+ 팩터).
EUV에 투명한 금속들은, 예를 들어 Ru, Mo, La, Rh, Be, Y, Zr, Ce, Nb 및 Pr이다. 붕소의 캐핑 층들, B4C, Si3N4, ZrO2, Ru 또는 MoSi2 또는 다른 대안적인 캐핑들이 (폴리-)Si SPF 멤브레인들에 대해 유리할 수 있다.
적어도 1 nm의, 몇몇 조건들에서는 5 nm를 초과하는 금속 두께가 우수한 IR 흡수를 위해 필요할 수 있다. 너무 얇은 금속들은 벌크와 꽤 상이한 광학적 반응을 가질 것이다. 그러므로, 금속 층이 너무 얇아지는 경우에 금속들에 의한 IR 반사가 크게 감소될 수 있다.
일반적으로, IR 방사선에서의 금속의 표피 깊이보다 작은 두께를 갖는 여하한의 얇은 금속 캡 층이 본 발명에 따라 개선된 IR 방사율을 갖는 EUV 멤브레인에 적절하다. 하지만, EUV 멤브레인이 SPF 멤브레인으로서 사용되는 경우, 꽤 반사성이어서 IR 투과성 코어 층에 적용되는 금속 캡 층이 한 자릿수 이상만큼(by an order of magnitude or more) IR 투과를 감소시키도록 금속 캡 층 두께가 5 nm보다 큰 것이 유리하다. 더 두꺼운 금속 캡 층의 단점은 더 많은 EUV 방사선 손실이지만(최대 10 내지 15 %), IR 및 DUV 방사선의 필터링에 관하여 여전히 상당한 이득이 존재한다[예를 들어, 5 내지 10 nm 금속 캡 층을 갖는 (폴리-)Si 또는 B 코어 층에 대해 100x 이상 DUV(100 내지 400 nm) 억제 및 20x IR(10.6 미크론) 억제].
EUV 멤브레인이 투영 시스템(PS)과 웨이퍼 사이에 위치된 SPF 멤브레인으로서 사용되는 경우, 반사되는 대역외 IR 및 DUV 방사선이 리소그래피 장치의 투영 시스템(PS)으로 후방 반사되지 않도록 리소그래피 장치의 스캔 방향에서 작은 각도 하에 방위되는 멤브레인 구성을 갖는 것이 유리할 수 있다. 또한, 투영 시스템(PS)의 EUV 거울들 중 1 이상을 추가적인 DUV 및 IR 흡수 및 후방 반사로부터 보호하기 위해 이 위에 흡수 스크린이 필요할 수 있다.
최적의 IR 흡수(즉, 개선된 IR 방사율)를 위한 캡 층의 두께는 캡 층 재료에 의존하여 Ru에 대해 앞서 예시된 것과 상이한 범위에 있을 수 있다. 하지만, 실질적인 EUV 투과를 허용하기 위해, 일반적으로 가능한 한 작은 캡 층들의 두께를 유지하는 것이 유리하다. 바람직하게는, EUV 펠리클 상에 쌓인 모든 캡 층(들)의 두께는 재료들의 선택에 의존하여, 90 nm 이하, 바람직하게는 50 nm 이하, 더 바람직하게는 20 nm 이하, 훨씬 더 바람직하게는 10 nm 이하(거의 IR 방사선에서의 금속 표피 깊이) 및 가장 바람직하게는 5 nm 이하여야 한다.
표 1은 개선된 IR 방사율을 갖는 캡 층에 대한 앞서 열거된 재료들의 두께(nm 단위)의 예시들을 나타내며, 이 층 두께에 대해 이론적인 13.5 nm EUV 투과율은 약 90 %이다.
표 1
개선된 IR 방사율을 위한 2 개의 캡 층들이 사용되는 경우(예를 들어, EUV 펠리클의 각 측면에 대해 하나씩), 각각의 캡 층의 두께는 우수한 EUV 투과를 유지하기 위해 최적의 캡 층 두께의 절반으로서 간주될 수 있다. 유사한 방식으로, 개선된 IR 방사율을 위한 수 개(3 개 이상)의 캡 층들이 사용되는 경우, 우수한 EUV 투과가 여전히 유지되도록 캡 층들의 개별적인 및 총 두께가 조정되어야 할 것이다. 이상 캡 층들이 언급되지만, 표 1에 열거된 재료들은 독립형 펠리클을 제조하도록 적절한 기계적 강도가 달성될 수 있는 한 EUV 펠리클의 코어 층을 형성할 수도 있다.
또한, 산화가 이 캡 층 재료들 중 많은 것에 대한 관심사이다. 질화물[예를 들어, ZrN(13 nm) 또는 LaN(10 nm)]을 이용하는 것이 산화에 대항하여 도움이 될 수 있지만, 질화물은 더 많은 EUV 손실을 도입할 수 있다. 그러므로, 0.5 내지 5 nm, 바람직하게는 1 내지 3 nm, 더 바람직하게는 1 내지 2 nm 범위의 두께를 각각 갖는 Ru 코팅 층(들)이 IR 방사선에서 EUV 펠리클 방사율을 개선하는 바람직한 선택들 중 하나이다.
본 명세서에서, Ru는 (보호 캡 층에 대한) 우수한 산화-방지 특성들 및 우수한 EUV 투과율을 갖기 때문에 일 예시로서 주어진다. 여기에서, IR 방사율 향상 캡 층에 대한 재료로서 Ru에 대해 새로운 기능이 제안된다. 하지만, IR 방사율 향상은 여하한의 금속 캡 층(예를 들어, 금 또는 은)으로 얻어질 수 있지만, EUV 투과율은 더 악화될 수 있다. 발명자들은 실질적으로 EUV에 투명하고 전기 전도의 드루드 거동(이때, 전자들은 더 무거운, 비교적 부동인 양이온들로부터 바운싱 및 재-바운싱하는 자유 전하 운반체들로서 작용함)을 갖는 본 명세서에 예시된 수 개의 재료들을 발견하였다.
EUV 펠리클의 또 다른 예시는, 예를 들어 4 내지 7 nm의 두께를 갖는 펠리클 코어에 대한 탄소-계 재료이다. 본 명세서에서, 탄소-계 재료는 다양한 동소체 형태인 여하한의 탄소 구조체들을 의미하고, 또한 공, 튜브(실린더) 또는 시트 형태의 탄소 나노구조체들을 포함한다. 탄소-계 재료들의 예시들은 탄소 나노튜브들, 그래핀, 그래파이트, 다이아몬드-유사 탄소(DLC), (버크민스터-)풀러린 또는 다른 C 구조체들이다. 본 명세서에서, 탄소-계 재료들은 간명함을 위해 탄소라고도 칭해진다.
또한, 탄소-계 재료로부터의 코어 층을 갖는 EUV 펠리클들은 4.37 nm 파장의 EUV 방사선에 대해 우수하게 기능할 수 있다. 이러한 EUV 펠리클들은 비교적 낮은 IR 방사율을 가질 수 있다. Ru, Pd, Ag, Ti, Mo, Zr 또는 Nb 층들과 같은 얇은 금속 층들로 펠리클 코어를 코팅하는 것이 EUV 투과를 많이 저해하지 않고, IR 방사율을 크게 향상시킬 것이다.
2 nm Ru 캡 층으로 캐핑된 SiNx 코어(11 내지 12 nm)를 갖는 펠리클이 약 90% 투과를 제공하고, 높은 EUV 파워를 견딜 수 있다. SiNx 펠리클의 두 면들의 Ru 코팅이 약 4 % 추가 손실을 초래할 수 있다. 이러한 멤브레인들은 VIS 및 NIR 범위에서 상당한 흡수를 나타낸다. 예를 들어, 각각의 면에서 2 nm의 Ru 층으로 덮이는 13 nm 두께의 Si3N4 멤브레인 -이 멤브레인은 약 85 % EUV 투과를 가짐- 의 1 ㎠ 영역에서 90 Watt(λ=355 nm) 및 60 Watt(λ=810 nm) 펄스 레이저로 행해지는 열 부하 테스팅에 대해, 열 부하 테스팅 결과들은 이러한 멤브레인이 EUV 투과(EUVT)의 큰 변화 없이 200.000 이상의 레이저 샷(laser shot)들에 대한 170 Watt의 열 부하를 견딜 수 있다는 것을 나타내었다.
2 nm Ru 캡 층으로 캐핑된 B4C 또는 붕소(B) 코어(20 nm)를 갖는 펠리클은 약 90 % EUV 투과를 제공한다. (산화물이 붕소에서 매우 쉽게 확산하지 않기 때문에) 붕소계 EUV 펠리클(코어)은 자기-제한 산화물(self-limiting oxide)을 갖는다. 또한, 붕소는 매우 에칭-저항적이고, 루테늄(Ru)의 한 층만으로 작동할 수도 있다. 역으로, 개선된 IR 방사율을 위한 캡 층으로서 Ru 층이 2 개의 B 코어 층들 사이에 구현될 수도 있다.
일반적으로 말하면, 0.1 이하로부터 약 0.5까지 (여하한의 방식으로) IR 방사율이 증가되는 경우, 펠리클 온도는 약 800 ℃로부터 400 ℃까지 감소할 수 있다. 이는 펠리클 코어 멤브레인에서 열 유도된 응력들을 낮출 것이고, 그러므로 더 높은 EUV 소스 파워들에서 펠리클의 수명을 증가시킬 것이다. 이러한 수단들의 장점들은 다음: 펠리클들에 대한 적어도 10x 더 높은 방사율/복사 냉각, 노광 시 훨씬 더 차가운 펠리클들, 및 더 높은 열 부하들(즉, 더 높은 EUV 소스 파워)을 견디는 펠리클들 중 1 이상일 수 있다.
도 10은 EUV 소스 파워에 대한 EUV 펠리클 파워 흡수 및 최대 온도를 비교한다. (폴리-)Si 멤브레인이 약 40 W 소스 파워를 견딜 수 있는 경우, 개선된 IR 방사율을 위한 Ru 코팅을 갖는 (폴리-)Si 펠리클은 EUV 펠리클이 온전한 상태를 유지하도록 500 W 소스 파워로 파워 흡수를 향상시킬 수 있다. 도 10은 60 nm Si, 25 nm SiC, 12 nm Si3N4, 40 nm Si + 3 nm Ru, 19 nm ZrB2 및 20 nm ZrC의 EUV 펠리클들에 대해 흡수된 파워 및 평형 온도(단위 ℃)를 나타낸다.
도 11은 250 W의 EUV 소스 파워와 균등한 50 mj/㎠ 파워에 대하여 EUV 방사선 투과(EUVT) 및 방사율에 대한 평형 온도를 나타낸다. 250 Watt 소스를 이용하여, 90 % 투과를 갖는 펠리클은 약 1 Wcm-2 EUV 방사선을 흡수할 수 있고, 이는 평형 온도에서 다시 방출된다. 폴리실리콘 막들의 경우에 1 % 아래의 방사율은 1000 ℃를 넘는 온도 및 펠리클 고장을 유도한다. 0.4의 방사율을 갖는 Ru 코팅된 펠리클들은 이 온도를, 예를 들어 약 600 ℃의 더 관리가능한 온도로 감소시킬 수 있다.
또한, IR-방출 캡 층들로서 ZrSi2 또는 NbSi2와 같은 규화물 캡 층이 IR 방사율을 증가시키는 데 효과적일 수 있다. 이들은 각각 ZrO2 및 Nb2O5의 보호 캡 층으로 덮일 수 있다. 규화물은 EUV 방사선의 투과에 대해 Ru보다 훨씬 더 우수할 수 있다. 예를 들어, ZrSi2/ZrO2 캡 층들의 조합이 NbSi2/Nb2O5 캡 층들의 스택보다 EUV 방사선에 대해 더 높은 투과를 가질 수 있다.
13.5 nm EUV 방사선에서 높은 온도에 저항적인 펠리클들에 대한 적절한 재료들의 예시들은 ZrB2, ZrC, MoB2, MoC, RuB2 및 SiC이다.
6.7 nm EUV 방사선에서 높은 온도에 저항적인 펠리클들에 대한 적절한 재료들의 예시들은 ZrB2, ZrC, LaB2, LaC, TiB2, TiC, MoB2 및 MoC이다. 4.37 nm EUV 방사선에 대해, 적절한 재료는 예를 들어 TiC이다.
개선된 IR 방사율을 위한 캡 층이 외부 저하 요인(external degrading factor)들(예를 들어, H 라디칼, EUV 방사선 등)과 집적 접촉하도록 코어 층의 최상부에 위치되는 경우, EUV 노광 시 높은 펠리클 온도로 인해 캡 층/EUV 펠리클의 비교적 빠른 고장이 발생할 수 있다. 일 실시예에서, 저하를 회피하기 위해 개선된 IR 방사율을 위한 캡 층을 2 개의 화학적으로 저항적인 코어 층들 사이에(예컨대, 2 개의 붕소, 탄소 또는 B4C 층들 사이에) 개재하는 것이 제안된다. 캡 층은 바람직하게는 금속 층이다. 붕소 또는 B4C(5 내지 10 nm) - 금속(1 내지 10 nm) - 붕소 또는 B4C(5 내지 10 nm) 구성에서 적어도 90 % 투과를 위해 튜닝된 구성들의 예시들은:
· 붕소(B4C) 11 nm - Mo 5 nm - 붕소(B4C) 11 nm;
· 붕소(B4C) 11 nm - Y 10 nm - 붕소(B4C) 11 nm; 및
· 붕소(B4C) 10 nm - Ru 3 nm - 붕소(B4C) 10 nm이다.
EUV 펠리클 샌드위치 구조에서의 코어 층이 붕소 또는 B4C인 경우, 6.7 nm의 EUV 파장에 대해 개선된(향상된) IR 방사율을 위한 적절한 금속 캡 층 재료들은, 예를 들어 Nb, Mo, La, Zr, In, Ti, Ru, Te, Bi, Ce, Pd, Ag 및 Y이다.
샌드위치 구조에서의 코어 층이 탄소 또는 탄소-계 재료인 경우(예를 들어, 탄소-금속-탄소), 4.37 nm의 EUV 파장에 대해 개선된(향상된) IR 방사율을 위한 적절한 금속 캡 층 재료들은, 예를 들어 Be, La, Te, Ti, Pr, Rh, Eu, In, Ru, V, Pd, Al, Ru 및 Ag이다.
흥미롭게도, 붕소 코어 층을 갖는 EUV 펠리클들이 6.7 nm의 EUV 파장에 대해 튜닝된 펠리클들에 대해 훨씬 더 두껍게 만들어질 수 있다는 것이 발견되었다. 예를 들어, 140 nm 두께의 붕소 코어 층이 개선된 IR 방사율을 위한 추가 캡 층들을 필요로 하지 않고 그 자체에서 약 90 % EUV 투과를 제공한다.
우수한 EUV 투과(≥ 85 %)를 갖기 위한 적절한 재료 및 멤브레인 두께 선택을 통해 튜닝된 (폴리-)Si계 펠리클들은, 이들이 노광 방사선 스펙트럼에 잠재적으로 존재하는 DUV 방사선의 대부분을 반사시키고 DUV를 투과시키지 않는다는 추가적인 단점을 가질 수 있다(즉, 이들은 높은 DUV 반사율 및 열악한 DUV 투과율을 가짐). 또한, 이들은 열악한 IR 방사율로부터 불리할 수 있지만, 후자는 예를 들어 펠리클 코어 층의 최상부에 1 내지 2 nm Ru 캡 층과 같은 개선된 IR 방사율을 위한 캡 층을 추가함으로써 앞서 설명된 바와 같이 완화될 수 있다. 하지만, 이러한 Ru 캡 층은 DUV 반사율 및 투과율을 개선하지 않는다(또는 악화시킨다).
더 우수한 이미징을 위해 요구되는 DUV 반사율을 낮추는 것 외에, 더 높은 DUV 투과율이 EUV 노광 동안 웨이퍼 레벨에서 DUV 방사선 영향을 더 낮추는 데 도움이 될 수 있는 한편, DUV 마스크 검사도 허용한다.
스루 펠리클 검사 및 EUV 펠리클들의 높은 펠리클 DUV 반사가 아래에 나타낸 바와 같은 일련의 재료들로 완화될 수 있으며, 이 재료들은 알려진 마스크 검사 툴들에 대해 적절한 파장들인 157, 193, 또는 248 nm에서 DUV 반사를 완화하고, 동시에 DUV 투과를 향상시킨다.
웨이퍼에서 이미지를 덜 저하시키는 DUV, 및 ArF, KrF 및 F2 마스크 검사 툴들을 허용하는 수 개의 재료들이 아래에 예시된다:
· 결정질 이트륨은 우수한 193 nm 투과율 및 낮은 DUV 반사율을 갖는다.
· (폴리-)결정질 Zr(예를 들어, ZrN 및 ZrC) 및 (폴리-)결정질 Y은 모두 낮은 DUV 반사율을 갖는다.
· 비정질 및 흑연질 탄소계 펠리클들은 우수한 157 및 193 nm 투과율 및 낮은 DUV 반사율을 가질 수 있다.
· Si3N4 펠리클들은 여전히 낮은 DUV 반사율에서 248 nm 마스크 검사를 허용할 수 있다.
또한, 앞선 EUV 펠리클들은 모두 0.2보다 큰 우수한 IR 방사율을 갖는다.
결정질 이트륨이 193 nm에서 투과 피크를 갖고, 또한 높은 IR 방사율을 갖는 것으로 결정되었다. 예를 들어, 두 면들에서 1 nm Ru 캡 층으로 덮인 20 nm 두께의 이트륨 코어 EUV 펠리클이 다음을 갖는다(괄호로 Si+Ru 균등 펠리클과의 비교가 주어짐):
· (Si+Ru에 대한 0 %에 비해) 67 %의 DUV 193 nm 투과율(더블 패스 43 %)
· (Si+Ru에 대한 20 내지 50 %에 비해) 12 %보다 작은 DUV 반사율 100 내지 250 nm
· (Si+Ru에 대한 60 % 이상에 비해) 25 %보다 작은 DUV 반사율 250 내지 400 nm
· (Si+Ru에 대한 85 %에 비해) 92.5 %의 EUV 투과율.
이트륨 펠리클들은 90 % EUV 투과율에 대해 50 nm까지 두꺼울 수 있다. EUV 펠리클의 두 면들에 적용되는 Ru 캡 층들은 이 상한 두께를 약 36 nm로 제한할 것이다. 이트륨 코어가 두꺼울수록, 193 nm DUV 방사선이 더 많이 손실된다.
결정질 이트륨과 같은 이러한 높은 13.5 및 193 nm 투과를 갖는 다른 재료가 존재하지 않는 것으로 밝혀졌음을 유의하여야 하며, 이는 이 점에 있어서 유일한 재료이다.
폴리결정질 이트륨은 높은 193 nm 투과를 갖지 않는다. 하지만, Zr-계 및 Y-계 EUV 펠리클들이 둘 다 (폴리-)Si보다 훨씬 더 낮은 DUV 반사를 갖는다. 사실상, 여하한의 이유들로 낮은 DUV 반사가 요건인 경우, (폴리-)Si계 펠리클들은 유리하지 않을 수 있다. 193 nm 투과율 및 스루 펠리클 검사가 필요하지 않은 경우, Ru 캡 층(들)을 갖는 폴리결정질 지르코늄 또는 이트륨계 펠리클들이 (폴리-)Si에 대한 것보다 훨씬 더 낮은 값들로 DUV 반사율을 낮출 수도 있다.
또한, ZrN 및 ZrC는 (폴리-)Si보다 더 낮은 DUV 반사율을 가질 수 있다. 하지만, ZrN 및 ZrC의 DUV 투과가 Zr 또는 Y에 대한 것보다 적어서, 스루 펠리클 검사를 더 어렵게 만든다.
결정질, 비정질 및 흑연질 탄소 또는 탄소-계 재료는 157에서 DUV 투과 피크를 갖고, 또한 높은 IR 방사율을 갖는다. 흑연질 탄소는 다층 그래핀과 유사하다.
MoSi 다층 거울들의 반사율은 200 내지 300 nm 주위에서 최고이다. 이 범위에서, DUV는 (거의 EUV만큼 우수하게) 웨이퍼로 가장 잘 반사된다. 본 명세서에서 설명된 모든 EUV 펠리클들은 이 파장 범위에서 반사를 크게 감소시킨다. 반면에, (폴리-)Si, SiC 및 (폴리-)Si+Ru계 펠리클들은 DUV 반사 및 투과를 위한 더 나쁜 선택이다. SiNx 코어가 충분히 얇은 경우(예를 들어, 13 nm 이하), SiNx계 펠리클들이 200 nm 이상에서 더 우수한 반사율을 가질 수 있다.
도 12는 Ru 캡을 갖는 Si 펠리클들에 대한 Ru 캡을 갖는 Si3N4 펠리클들의 응답을 비교한다[파장에 대한 흡수도(absorbance)를 나타내는 도 12 참조, 이때 이론적인 데이터(점선)가 실험적 결과들(실선)에 비교됨]. FTIR로의 실험들은, 3 % EUV 손실을 갖는 2 nm의 Ru 층들이 약 0.001로부터 0.4로 방사율을 400 배 향상시킬 수 있음을 나타내었다. 그러므로, 몇 nm의 Ru 두께 층이 100 배 이상 SiNx 또는 Si 멤브레인의 흡수/방사율을 향상시킬 수 있다. Si3N4 펠리클들(22 nm)은 Si 펠리클들(60 nm)보다 훨씬 더 얇아서 충분한 EUV 투과율을 보장하였다. Si3N4계 펠리클들은 250 nm 주위에서 훨씬 더 낮은 DUV 반사 및 우수한 DUV 투과를 갖는 것이 밝혀졌다. 또한, 1 내지 2 nm Ru 캡 층을 갖는 Si3N4 펠리클들은 Si+Ru 펠리클들보다 훨씬 더 적은 DUV 방사선을 반사시키므로, Si3N4+Ru 캡 층에 기초한 펠리클들이 스루 펠리클 248 nm KRF 마스크 검사를 허용할 수 있음이 밝혀졌다.
Ru 또는 다른 금속 코팅들은 원칙적으로 Zr 및 Y 또는 흑연질/비정질 탄소들에 대해 방사율을 향상시키는 데 필요하지 않다. 하지만, 이들은 예를 들어 산화를 방지하도록 보호 캡 층들로서 사용될 수 있다. 또한, Zr 및 Y의 산화를 방지할 수 있는 여하한의 다른 적절한 (비-금속) 보호 캡 층이 우수하게 작용할 것이다. Ru 또는 다른 금속 캡 층들은 바람직하게는 IR 방사율을 향상시킬 목적으로 Si3N4 및 (폴리-)Si의 경우에 적용된다.
아래에서, EUV(13.5 nm), IR 및 DUV 방사선의 흡수, 투과, 및 방사율의 우수한 밸런스를 갖는 수 개의 펠리클들에 대해 주어진 시뮬레이션들에 의해 몇몇 특성들이 얻어진다:
예시 1: Ru 코팅된 결정질 이트륨계 펠리클들은:
· 최대 35 nm 두께에서 90 % EUV 투과율
· 70 % 193 nm 투과율(193 nm 검사가 가능함)
· Si보다 2 내지 5x 더 낮은 DUV 반사
· 0.25에 가까운 방사율을 갖는다.
예시 2: Ru 코팅된 폴리결정질 이트륨 또는 지르코늄계 펠리클들은:
· 최대 25 nm 두께(Zr) 및 35 nm 두께(Y)에서 90 % EUV 투과율
· 10 % 193 nm 투과율(193 nm 검사가 Zr 또는 Y 펠리클에 대해 가능하지 않음)
· 40 % 248 nm 투과율(248 nm 검사가 Zr의 경우에 작동할 수 있음)
· Si보다 2 내지 3x 더 낮은 DUV 반사
· 0.25에 가까운 방사율을 갖고,
· ZrC 및 ZrN계 펠리클들은 2 내지 8 배까지 DUV 반사를 감소시킬 수도 있다.
예시 3: Ru 코팅된 Si 3 N 4 (SiNx) 펠리클들(10 nm Si3N4(SiNx) + 2 nm Ru)은:
· 최대 10 nm 두께(2 nm Ru)에서 90 % EUV 투과율
· 25 % 193 nm 투과율
· 70 % 248 nm 투과율(248 nm 검사가 작동할 수 있음)
· 200 내지 400 nm 범위에서 최대 10x 더 낮은 DUV 반사율
· 0.5까지의 방사율을 갖는다.
예시 4: 비정질 및 흑연질 탄소(또는 다층 그래핀)은:
· 최대 16 nm 두께에서 90 % EUV 투과율
· 60 내지 80 % 157 nm 투과율(157 nm 검사가 가능함)
· 40 내지 70 % 193 nm 투과율(193 nm 검사가 가능함)
· 2 내지 10x 더 낮은 DUV 반사율
· 0.15 내지 0.4의 방사율을 갖는다.
도 13은 본 발명에 따른 또 다른 실시예를 개략적으로 나타내고, 이는 듀얼 멤브레인 또는 듀얼 EUV 펠리클이라고도 하는 두께(D)의 갭만큼 이격되는 EUV 방사선에 대해 투과성인 2 개의 멤브레인들의 멤브레인 조립체이다. 이러한 듀얼 펠리클은 본 명세서에 예시된 바와 같은 개선된 IR 방사율을 위한 재료의 2 이상의 EUV 멤브레인들, 예를 들어 IR 방사선의 금속 표피 층 두께보다 얇은 두께를 갖는 2 개의 금속 층을 포함한다. 이러한 듀얼 EUV 펠리클에서, 각각의 개별적인 금속 층은 바람직하게는, 큰 거리들에 대해 상쇄 간섭이 쉽게 제어될 수 없기 때문에 EUV 방사선 반사가 존재하지 않도록 선택된다.
도 13은 개선된 IR 방사율을 위한 층들이 1 내지 2 nm의 층 두께(D)를 갖는 Ru 또는 Mo 층들인 일 실시예를 나타낸다. 듀얼 펠리클의 개선된 IR 방사율 층들은 선택적으로 각각 Si 지지체 층과 같은 또 다른 지지체 층에 의해 지지되어, 기계적인 강도를 더 제공할 수 있다. EUV 멤브레인들은 1 내지 10 미크론들의 갭(D)만큼 분리되고, 바람직한 D는 약 1 내지 2 미크론 갭이다. 또한, 필요한 두께 범위에서 금속들 및 대응하는 갭들의 교번 층들을 포함한 다수 멤브레인이 가능하다. EUV 멤브레인들(예를 들어, 금속 표피 층 두께보다 작은 두께의 금속 층들) 사이에 앞서 언급된 두께(D)의 갭을 갖는 장점은 EUV 멤브레인들 사이에 IR 공명 모드(resonance mode)들을 유도한다는 것이며, 이는 멤브레인 조립체의 IR 방사율을 더 향상시킨다. 듀얼 EUV 펠리클의 또 다른 예시는 2 미크론 갭으로 분리되는 2x 10 nm ZrC 또는 ZrB2이다. 1x20 nm ZrC 펠리클에 비해, 방사율은 0.45로부터 (1의 이론적 한계에 가까운) 0.7까지 증가될 것이다.
통상적으로, (폴리-)실리콘 또는 또 다른 반도체 재료를 도핑함으로써 얻어지는 펠리클의 최적 방사율은 금속의 매우 얇은 층으로 코팅되는 펠리클과 유사하다. 두 경우들은 IR 방사율의 최대 약 10x 향상을 제공할 수 있다. 예를 들어, 도핑의 경우, 얻어지는 최대 스펙트럼 통합된 IR 방사율은 약 0.4인 것으로 밝혀진 반면, 1 nm 두께의 Ru 캡 층을 적용하는 경우, 얻어지는 최대 스펙트럼 통합된 IR 방사율은 약 0.5인 것으로 밝혀졌다.
요약하면, 이 기재내용은 EUV 멤브레인 성능, 및 이에 따른 EUV 펠리클 및 SPF의 성능을 증가시키는 간단하고 견고한 예시들을 제공한다. EUV 멤브레인 온도들 및 EUV 멤브레인에 걸친 온도 기울기들이 감소된다. 결과로서, EUV 멤브레인의 수명 및 EUV 방사선 파워에 대한 허용범위(tolerance)가 개선된다. 추가적으로, 높은 EUV 멤브레인 견고성이 EUV 방사선 세기들을 감소(제조 시스템 성능을 악화)시키지 않고 달성된다.
본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고, 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재 내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한 번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 칭할 수도 있다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다.
이상, 본 발명의 특정 실시예가 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것을 이해할 것이다. 상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 항목들 및 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수 있다는 것을 분명히 알 것이다.
1. EUV 방사선에 대해 투과성인 멤브레인으로:
상기 멤브레인이 높은 도펀트(dopant) 농도로 도핑(dope)되는 1 이상의 고농도 도핑된 구역(high doped region), 및
상기 멤브레인이 도핑을 갖지 않거나 낮은 도펀트 농도를 갖는 1 이상의 저농도 도핑된 구역(low doped region)
을 포함하고, 높은 도펀트 농도는 1017 cm-3보다 큰 도펀트 농도로서 정의되고, 낮은 도펀트 농도는 1017 cm-3보다 작은 도펀트 농도로서 정의된다.
2. 1 항의 멤브레인에서, 상기 멤브레인은 주 기판 및 1 이상의 추가적인 층을 포함하는 복수의 층들을 포함하고,
상기 주 기판은 낮은 도펀트 농도를 갖고 저농도 도핑된 구역을 형성하며,
상기 고농도 도핑된 구역들은 상기 추가적인 층들 중 일부 또는 전체 내에 구성된다.
3. 2 항의 멤브레인에서, 상기 추가적인 층들은 에칭제 또는 반응제로부터의 상기 멤브레인의 보호를 위한 1 이상의 커버 층을 포함하고, 상기 도핑된 구역들은 상기 커버 층들 내에 구성된다.
4. 2 항의 멤브레인에서, 상기 추가적인 층들은 1 이상의 커버 층 및 1 이상의 중간 층을 포함하고 -중간 층이 상기 주 기판과 커버 층 사이에 위치되도록 배치됨- , 상기 커버 층들은 에칭제 또는 반응제 재료로부터의 상기 멤브레인의 보호를 위한 것이고, 상기 중간 층들은 상기 주 기판과 상기 커버 층 사이에서 중간 격자 크기를 가져 상기 멤브레인 내의 응력을 감소시키며, 상기 고농도 도핑된 구역들은 상기 커버 층들 및/또는 상기 중간 층들 내에 구성된다.
5. 2 항 내지 4 항 중 어느 하나의 멤브레인에서, 상기 주 기판은 폴리-Si 재료로 구성된다.
6. 1 항 내지 5 항 중 어느 하나의 멤브레인에서, 상기 멤브레인, 또는 그 층은 중심 구역 및 상기 중심 구역 주위의 주변 구역을 포함하고, 상기 고농도 도핑된 구역은 상기 중심 구역을 포함하고, 상기 저농도 도핑된 구역은 상기 주변 구역을 포함한다.
7. 1 항 내지 6 항 중 어느 하나의 멤브레인에서, 상기 멤브레인, 또는 그 층은 상기 저농도 도핑된 구역들에 의해 분리되는 복수의 상기 고농도 도핑된 구역들을 포함한다.
8. 7 항의 멤브레인에서, 인접한 고농도 도핑된 구역들 간의 간격은 1 ㎛ 내지 5㎛이다.
9. 1 항 내지 8 항 중 어느 하나의 멤브레인에서, 도핑 농도는 등급화되고, 상기 멤브레인 또는 그 층의 중심을 향해 증가한다.
10. 1 항 내지 9 항 중 어느 하나의 멤브레인에서, 상기 고농도 도핑된 구역들은 1018 cm-3보다 큰 도펀트 농도로 도핑된다.
11. 1 항 내지 9 항 중 어느 하나의 멤브레인에서, 상기 고농도 도핑된 구역들은 1019 cm-3보다 큰 도펀트 농도로 도핑된다.
12. 1 항 내지 9 항 중 어느 하나의 멤브레인에서, 상기 고농도 도핑된 구역들은 1020 cm-3보다 큰 도펀트 농도로 도핑된다.
13. 1 항 내지 12 항 중 어느 하나의 멤브레인에서, 상기 저농도 도핑된 구역들은 1016 cm-3보다 작은 도펀트 농도로 도핑된다.
14. 1 항 내지 12 항 중 어느 하나의 멤브레인에서, 상기 저농도 도핑된 구역들은 1015 cm-3보다 작은 도펀트 농도로 도핑된다.
15. 1 항 내지 12 항 중 어느 하나의 멤브레인에서, 상기 저농도 도핑된 구역들은 1014 cm-3보다 작은 도펀트 농도로 도핑된다.
16. 1 항 내지 15 항 중 어느 하나의 멤브레인에서, 상기 멤브레인은 100 nm보다 얇은 두께를 갖는다.
17. 1 항 내지 16 항 중 어느 하나의 멤브레인에서, 상기 멤브레인의 하나 또는 두 표면들에 복수의 추가적인 피처들을 포함하고, 상기 피처들은 가로방향 열 전달을 증가시키도록 작동가능하다.
18. 17 항의 멤브레인에서, 상기 추가적인 피처들은 상기 멤브레인 표면으로부터 수직으로 연장되는 리브들 또는 와이어들을 포함한다.
19. 17 항 또는 18 항의 멤브레인에서, 상기 추가적인 피처들 간의 거리는 1 ㎛ 이하이다.
20. 17 항 내지 19 항 중 어느 하나의 멤브레인에서, 상기 추가적인 피처들은 에슐레트 격자와 비슷하도록 구성된다.
21. 20 항에 있어서, 상기 추가적인 피처들은 와이어들 또는 리브들의 반복적인 그룹들을 포함하고, 각각의 그룹은 높이가 점진적으로 내려가거나 증가하는 와이어들/리브들을 포함한다.
22. 6 항 내지 8 항 중 어느 하나의 멤브레인에서, 상기 멤브레인은 단일 층만을 포함한다.
23. 1 항 내지 22 항 중 어느 하나의 멤브레인에서, 상기 고농도 도핑된 구역들은: S, Te, As, O, Al, Sn, Sb, In, Ga, Br, Cl, I, C, B 및 N 중 1 이상을 포함하는 도펀트 재료로 도핑된다.
24. 1 항 내지 23 항 중 어느 하나의 멤브레인에서, 상기 도펀트는 N-타입 도핑을 위해 선택되고, 상기 높은 도펀트 농도는 (2 내지 3) x 1020 n/㎤ 도너 원자들을 포함한다.
25. 1 항 내지 24 항 중 어느 하나의 멤브레인에서, 상기 도펀트는 P-타입 도핑을 위해 선택되고, 상기 높은 도펀트 농도는 적어도 4 x 1020 n/㎤ 억셉터 원자들이다.
26. 적어도 0.1의 IR 방사선 방사율을 갖고 EUV 방사선에 대해 실질적으로 투과성인 리소그래피 장치에 대한 멤브레인으로, 상기 멤브레인은
60 nm 이하의 두께의 코어 층 -상기 코어 층은 (폴리-)Si, Si3N4, SiC, ZrN, ZrB2, ZrC, MoB2, MoC, RuB2, LaB2, LaC, TiB2, TiC, (폴리-)결정질 이트륨, (폴리-)결정질 Zr, Be, C, B 및 B4C의 리스트로부터 선택되는 EUV 방사선에 대해 실질적으로 투명한 재료를 포함함- , 및
IR 방사선을 흡수하는 재료를 포함하고 20 nm 이하의 층 두께를 갖는 개선된 IR 방사율을 위한 캡 층을 포함한다.
27. 26 항의 멤브레인에서, 상기 멤브레인은 캡 층 - 코어 층 - 캡 층 샌드위치형 구성을 갖는다.
28. 26 항의 멤브레인에서, 상기 멤브레인은 코어 층 - 캡 층 - 코어 층 샌드위치형 구성을 갖는다.
29. 26 항 내지 28 항 중 어느 하나의 멤브레인에서, 1 이상의 다른 중간 층 또는 캡 층을 더 포함한다.
30. 26 항 내지 29 항 중 어느 하나의 멤브레인에서, 상기 코어 층은 (폴리-)Si, Si3N4, SiC, ZrN, ZrB2, ZrC, MoB2, MoC, RuB2, LaB2, LaC, TiB2, TiC, (폴리-)결정질 이트륨, (폴리-)결정질 Zr, Be, C, B 및 B4C의 1 이상의 층을 포함하는 다층 스택이다.
31. 26 항 내지 29 항 중 어느 하나의 멤브레인에서, 상기 코어 층 재료는 금속 및 상기 금속 내에 분산된 비-금속의 EUV에 투명한 불순물들을 포함하는 조성물 재료이다.
32. 26 항 내지 31 항 중 어느 하나의 멤브레인에서, 상기 개선된 IR 방사율을 위한 캡 층은 금속 층이다.
33. 32 항의 멤브레인에서, 금속 캡 층은 IR 방사선에서의 상기 금속의 표피 깊이보다 작은 두께를 갖는다.
34. 33 항의 멤브레인에서, 금속 캡 층은 D = nλ/2의 두께를 가지며, n은 3 이상과 같은 정수이고, λ는 리소그래피 노광에 사용되는 EUV 방사선의 파장이다.
35. 26 항의 멤브레인에서, EUV 멤브레인은 코어 층에 의해 분리되는 개선된 IR 방사율을 위한 2 개의 금속 캡 층들을 포함하고, 상기 캡 층 및 상기 코어 층은 상기 2 개의 금속 캡 층들에서 EUV 방사선의 상쇄 간섭이 발생하여 결과적인 EUV 반사가 0이도록 배치된다.
36. 35 항의 멤브레인에서, 각각의 상기 금속 캡 층은 2 nm 두께의 Ru 또는 Mo 층을 포함하고, 상기 코어 층은 8.4 nm, 15.1 nm, 21.9 nm, 28.6 nm, 35.4 nm, 41.5 nm, 48.7 nm, 55.7 nm로부터 선택되는 두께의 (폴리-)실리콘 층을 포함한다.
37. 33 항 내지 36 항 중 어느 하나의 멤브레인에서, IR 방사선에서의 상기 금속의 표피 깊이는 약 10 nm이다.
38. 26 항 내지 37 항 중 어느 하나의 멤브레인에서, 상기 개선된 IR 방사율을 위한 캡 층의 재료는 Ru, Ti, Nd, Pr, Mo, Nb, La, Zr, B, Y 및 Be로부터 선택되는 금속을 포함하고, 상기 캡 층은 상기 코어 층과 상이한 재료로 이루어진다.
39. 26 항 내지 37 항 중 어느 하나의 멤브레인에서, 상기 개선된 IR 방사율을 위한 캡 층의 재료는 B4C, SiNx, ZrO2 또는 MoSi2를 포함하고, 상기 캡 층은 상기 코어 층과 상이한 재료로 이루어진다.
40. 26 항 내지 37 항 중 어느 하나의 멤브레인에서, 상기 개선된 IR 방사율을 위한 캡 층의 재료는 상기 코어 층과 상이한 규화물, 예컨대 ZrSi2 또는 NbSi2이다.
41. 28 항 내지 40 항 중 어느 하나의 멤브레인에서, 상기 코어 층은 (폴리-)Si를 포함하고, 상기 개선된 IR 방사율을 위한 캡 층은 5 nm 이하의 두께의 Mo 또는 Ru 층이다.
42. 26 항 내지 37 항 중 어느 하나의 멤브레인에서, 상기 코어 층은 (폴리-)Si를 포함하고, 상기 개선된 IR 방사율을 위한 캡 층은 Ti, Nd, Pr, Nb, La, Zr, B, Y, Be, ZrO2, MoSi2, ZrSi2 및 NbSi2 중 적어도 하나를 포함한다.
43. 26 항 내지 40 항 중 어느 하나의 멤브레인에서, 상기 코어 층은 B, B4C 또는 Be를 포함하고, 25 nm 이하의 두께를 갖는다.
44. 43 항의 멤브레인에서, 상기 개선된 IR 방사율을 위한 캡 층은 1 내지 10 nm의 두께의 금속 층이다.
45. 26 항의 멤브레인에서, 상기 코어 층은 B 또는 B4C 및 그래핀의 최대 20 쌍들을 포함한 다층 코어이고, 층 두께 비는 10 nm B 또는 B4C / 3 nm 그래핀이다.
46. 26 항의 멤브레인에서, 상기 코어 층은 SiNx 및 그래핀의 최대 20 쌍들을 포함한 다층 코어이고, 층 두께 비는 10 nm SiNx / 2 nm 그래핀이다.
47. 43 항 또는 44 항의 멤브레인에서, 상기 코어 층은 5 내지 15 nm 두께의 B 또는 B4C 층이고, 상기 개선된 IR 방사율을 위한 캡 층은 1 내지 3 nm 두께를 갖는 (폴리-)결정질 Y, Ru 또는 Mo 층이다.
48. 26 항 내지 40 항 중 어느 하나의 멤브레인에서, 상기 코어 층은 16 nm 이하의 두께를 갖고, 탄소-계 재료를 포함한다.
49. 48 항의 멤브레인에서, 상기 탄소-계 재료는 결정질, 비정질 또는 흑연질 탄소 층이다.
50. 48 항 또는 49 항의 멤브레인에서, 상기 캡 층은 Be, La, Te, Ti, Pr, Rh, Eu, In, Ru, V, Pd, Al, Mo, Zr, Nb 및 Ag로부터 선택되는 금속을 포함한다.
51. 26 항 내지 40 항 중 어느 하나의 멤브레인에서, 상기 코어 층은 실리콘 니트라이드를 포함하고, 15 nm 이하의 두께를 갖는다.
52. 51 항의 멤브레인에서, 상기 개선된 IR 방사율을 위한 캡 층은 3 nm 이하의 두께의 Ru 또는 Mo 층이다.
53. 26 항 내지 40 항 중 어느 하나의 멤브레인에서, 상기 코어 층은 (폴리-)결정질 이트륨을 포함하고, 50 nm 이하, 바람직하게는 35 nm 이하의 두께를 갖는다.
54. 26 항 내지 40 항 중 어느 하나의 멤브레인에서, 상기 코어 층은 폴리결정질 Zr을 포함하고, 25 nm 이하의 두께를 갖는다.
55. 53 항 또는 54 항의 멤브레인에서, 상기 개선된 IR 방사율을 위한 캡 층은 Ru 층이다.
56. 26 항 내지 55 항 중 어느 하나의 멤브레인에서, 상기 개선된 IR 방사율을 위한 캡 층은 다음 재료들: Zr, Ti, Hf, Si, Rh 및 Ru의 산화물, 탄화물 또는 질화물로부터 선택되는 에칭 및/또는 산화에 대해 보호하는 재료로부터의 보호 캡 층으로 보호된다.
57. 56 항의 멤브레인에서, 상기 보호 캡 층은 1 내지 3 nm의 두께를 갖는다.
58. 26 항 내지 40 항 중 어느 하나의 멤브레인에서, 상기 멤브레인은 13.5 nm의 파장을 갖는 EUV 방사선에 대해 투과성이고, 상기 코어 층은 ZrB2, ZrC, MoB2, MoC, RuB2 및 SiC 중 적어도 하나를 포함한다.
59. 26 항 내지 40 항 중 어느 하나의 멤브레인에서, 상기 멤브레인은 6.7 nm의 파장을 갖는 EUV 방사선에 대해 투과성이고, 상기 코어 층은 ZrB2, ZrC, LaB2, LaC, TiB2, TiC, MoB2 및 MoC 중 적어도 하나를 포함한다.
60. 26 항 내지 40 항 중 어느 하나의 멤브레인에서, 상기 멤브레인은 4.37 nm의 파장을 갖는 EUV 방사선에 대해 투과성이고, 상기 코어 층은 TiC를 포함한다.
61. 적어도 0.1의 IR 방사선 방사율을 갖고, 6.7 nm 파장의 EUV 방사선에 대해 실질적으로 투과성인 리소그래피 장치에 대한 멤브레인으로,
상기 멤브레인은 붕소를 포함한 재료로부터의 코어 층을 포함하고, 상기 코어 층은 20 내지 150 nm의 두께를 갖는다.
62. 적어도 0.1의 IR 방사선 방사율을 갖고, EUV 방사선에 대해 실질적으로 투과성인 리소그래피 장치에 대한 멤브레인으로,
상기 멤브레인은 Ru를 포함한 재료로부터의 코어 층을 포함하고, 상기 코어 층은 20 내지 30 nm의 두께를 갖는다.
63. 적어도 0.1의 IR 방사선 방사율을 갖고, EUV 방사선에 대해 실질적으로 투과성인 리소그래피 장치에 대한 멤브레인 조립체로,
상기 멤브레인 조립체는 개선된 IR 방사율을 위한 적어도 2 개의 금속 캡 층들을 포함하고, 상기 금속 캡 층들은 IR 방사선을 흡수하고 20 nm 이하의 층 두께를 갖는 금속을 포함하며, 상기 개선된 IR 방사율을 위한 금속 캡 층들은 10 미크론 이하의 두께를 갖는 갭에 의해 분리된다.
64. 63 항의 멤브레인 조립체에서, 상기 금속 캡 층들은 각각 추가 기계적 강도를 제공하는 지지체 층으로 지지된다.
65. 리소그래피 마스크 및 상기 마스크에 커플링된 프레임을 포함하는 마스크 조립체로,
상기 프레임은 제 1 항 내지 제 64 항 중 어느 한 항에 따른 멤브레인 또는 멤브레인 조립체를 지지하도록 배치된다.
66. 리소그래피 장치로, 1 항 내지 65 항 중 어느 하나에 따른 1 이상의 멤브레인들 또는 멤브레인 조립체를 포함한다.
67. 66 항의 리소그래피 장치에서, 상기 멤브레인들 중 적어도 하나는 오염으로부터 구성요소를 보호하는 펠리클로서 작동한다.
68. 67 항의 리소그래피 장치에서, 상기 장치는 패터닝 디바이스를 지지하도록 구성되는 지지체를 더 포함하고, 상기 패터닝 디바이스는 패터닝된 방사선 빔을 형성하기 위해 방사선 빔의 단면에 패턴을 부여할 수 있으며, 상기 멤브레인들 중 적어도 하나는 오염으로부터 상기 패터닝 디바이스를 보호하는 펠리클로서 작동한다.
69. 67 항 또는 68 항의 리소그래피 장치에서, 상기 장치는 웨이퍼 상으로 패터닝된 방사선 빔을 투영하도록 작동가능한 투영 시스템을 더 포함하고, 상기 멤브레인들 중 적어도 하나는 오염으로부터 상기 투영 시스템 내의 광학 구성요소들을 보호하는 펠리클로서 작동한다.
70. 66 항 내지 69 항 중 어느 하나의 리소그래피 장치에서, 상기 멤브레인들 중 적어도 하나는 방사선의 원치 않는 파장들을 차단하는 스펙트럼 필터 멤브레인으로서 작동한다.
71. 70 항의 리소그래피 장치에서, 상기 스펙트럼 필터 멤브레인은 상기 멤브레인에 의해 반사되는 방사선이 상기 투영 시스템으로 다시 반사되지 않도록 상기 리소그래피 장치의 스캔 방향에서 비스듬히 배치된다.
72. 70 항 또는 71 항의 리소그래피 장치에서, 방사선의 원치 않는 파장들을 차단하는 상기 스펙트럼 필터 멤브레인은 IR 방사선에 대한 표피 깊이보다 작고 5 nm보다 큰 두께를 갖는 금속 층을 포함한다.
73. 72 항의 리소그래피 장치에서, 상기 스펙트럼 필터 멤브레인은 Ru, Mo, La, Rh, Be, Y, Zr, Ce, Nb 및 Pr로부터 선택되는 EUV 방사선에 대해 실질적으로 투명한 금속을 포함한다.
74. 73 항의 리소그래피 장치에서, 상기 스펙트럼 필터 멤브레인은 5.5 내지 10 nm의 두께를 갖는 (폴리-)Si 코어 층 및 Ru 또는 Mo 캡 층을 포함한다.

Claims (17)

  1. 적어도 0.1의 IR 방사율을 갖고 EUV 방사선에 대해 실질적으로 투과성인 리소그래피 장치에 대한 멤브레인(membrane)에 있어서:
    상기 EUV 방사선에 대해 실질적으로 투명한 60nm 이하의 두께의 코어 층(core layer), 및
    IR 방사선을 흡수하는 재료를 포함하고 20 nm 이하의 층 두께를 갖는 개선된 IR 방사율을 위한 캡 층(cap layer)
    을 포함하고,
    상기 캡 층은 상기 멤브레인의 IR 방사율이 상기 코어 층의 IR 방사율보다 크도록 선택되는 멤브레인.
  2. 제 1 항에 있어서,
    1 이상의 다른 중간 층(intermediate layer) 또는 캡 층을 더 포함하는 멤브레인.
  3. 제 1 항에 있어서,
    상기 코어 층은 (폴리-)Si, Si3N4, SiC, ZrN, ZrB2, ZrC, MoB2, MoC, RuB2, LaB2, LaC, TiB2, TiC, (폴리-)결정질 이트륨, (폴리-)결정질 Zr, Be, C, B 및 B4C의 리스트로부터 선택되는 재료를 포함하는 멤브레인.
  4. 제 1 항에 있어서,
    상기 코어 층은 (폴리-)Si, Si3N4, SiC, ZrN, ZrB2, ZrC, MoB2, MoC, RuB2, LaB2, LaC, TiB2, TiC, (폴리-)결정질 이트륨, (폴리-)결정질 Zr, Be, C, B 및 B4C의 1 이상의 층을 포함하는 다층 스택인 멤브레인.
  5. 제 1 항에 있어서,
    상기 코어 층 재료는 금속 및 상기 금속 내에 분산된 비-금속의 EUV에 투명한 불순물들을 포함하는 조성물 재료인 멤브레인.
  6. 제 1 항에 있어서,
    상기 개선된 IR 방사율을 위한 캡 층은 금속 층인 멤브레인.
  7. 제 1 항에 있어서,
    상기 개선된 IR 방사율을 위한 캡 층은 1 내지 10 nm 두께의 금속 층인 멤브레인.
  8. 제 7 항에 있어서,
    상기 개선된 IR 방사율을 위한 캡 층의 재료는 Ru, Ti, Nd, Pr, Mo, Nb, La, Zr, B, Y 및 Be로부터 선택되는 금속을 포함하고, 상기 캡 층은 상기 코어 층과 상이한 재료로 이루어지는 멤브레인.
  9. 제 1 항에 있어서,
    상기 개선된 IR 방사율을 위한 캡 층의 재료는 B4C, SiNx, ZrO2 또는 MoSi2를 포함하고, 상기 캡 층은 상기 코어 층과 상이한 재료로 이루어지는 멤브레인.
  10. 제 1 항에 있어서,
    상기 개선된 IR 방사율을 위한 캡 층의 재료는 상기 코어 층과 상이한 규화물, 예컨대 ZrSi2 또는 NbSi2인 멤브레인.
  11. 제 1 항에 있어서,
    상기 코어 층은 (폴리-)Si를 포함하고, 상기 개선된 IR 방사율을 위한 캡 층은 5 nm 이하의 두께의 Mo 또는 Ru 층인 멤브레인.
  12. 제 1 항에 있어서,
    상기 코어 층은 (폴리-)Si를 포함하고, 상기 개선된 IR 방사율을 위한 캡 층은 Ti, Nd, Pr, Nb, La, Zr, B, Y, Be, ZrO2, MoSi2, ZrSi2 및 NbSi2 중 적어도 하나를 포함하는 멤브레인.
  13. 제 1 항에 있어서,
    상기 코어 층은 16 nm 이하의 두께를 갖고, 탄소-계 재료를 포함하는 멤브레인.
  14. 제 1 항에 있어서,
    상기 개선된 IR 방사율을 위한 캡 층은 다음 재료들: Zr, Ti, Hf, Si, Rh 및 Ru의 산화물, 탄화물 또는 질화물로부터 선택되는 에칭 및/또는 산화에 대해 보호하는 재료로부터의 보호 캡 층으로 보호되는 멤브레인.
  15. 제 14 항에 있어서,
    상기 보호 캡 층은 1 내지 3 nm의 두께를 갖는 멤브레인.
  16. 리소그래피 마스크 및 상기 마스크에 커플링된 프레임을 포함하는 마스크 조립체로,
    상기 프레임은 제 1 항 내지 제 15 항 중 어느 한 항에 따른 멤브레인을 지지하도록 배치되는 마스크 조립체.
  17. 제 1 항 내지 제 15 항 중 어느 한 항에 따른 1 이상의 멤브레인들을 포함하는 리소그래피 장치로,
    상기 멤브레인들 중 적어도 하나는 오염으로부터 구성요소를 보호하는 펠리클 또는 방사선의 원치 않는 파장들을 차단하는 스펙트럼 필터 멤브레인으로서 작동하는 리소그래피 장치.
KR1020237039427A 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치 KR102650131B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247008798A KR20240038172A (ko) 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
EP14175835.9 2014-07-04
EP14175835 2014-07-04
EP15169657.2 2015-05-28
EP15169657 2015-05-28
PCT/EP2015/065080 WO2016001351A1 (en) 2014-07-04 2015-07-02 Membranes for use within a lithographic apparatus and a lithographic apparatus comprising such a membrane
KR1020237025312A KR102604554B1 (ko) 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한멤브레인을 포함한 리소그래피 장치

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020237025312A Division KR102604554B1 (ko) 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한멤브레인을 포함한 리소그래피 장치

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247008798A Division KR20240038172A (ko) 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치

Publications (2)

Publication Number Publication Date
KR20230160962A KR20230160962A (ko) 2023-11-24
KR102650131B1 true KR102650131B1 (ko) 2024-03-21

Family

ID=53540734

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020247008798A KR20240038172A (ko) 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치
KR1020177003179A KR102438464B1 (ko) 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치
KR1020237039427A KR102650131B1 (ko) 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치
KR1020227029496A KR102560643B1 (ko) 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치
KR1020237025312A KR102604554B1 (ko) 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한멤브레인을 포함한 리소그래피 장치

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020247008798A KR20240038172A (ko) 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치
KR1020177003179A KR102438464B1 (ko) 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020227029496A KR102560643B1 (ko) 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치
KR1020237025312A KR102604554B1 (ko) 2014-07-04 2015-07-02 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한멤브레인을 포함한 리소그래피 장치

Country Status (8)

Country Link
US (2) US10228615B2 (ko)
EP (1) EP3164764B1 (ko)
JP (2) JP6741600B2 (ko)
KR (5) KR20240038172A (ko)
CN (3) CN106489084B (ko)
CA (3) CA3165048A1 (ko)
TW (5) TWI715104B (ko)
WO (1) WO2016001351A1 (ko)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170146902A1 (en) * 2014-01-27 2017-05-25 Luxel Corporation Monolithic euv transparent membrane and support mesh and method of manufacturing same
KR20240038172A (ko) * 2014-07-04 2024-03-22 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치
CA3225142A1 (en) 2015-07-17 2017-01-26 Asml Netherlands B.V. Method for manufacturing a membrane assembly
NL2017272A (en) * 2015-08-25 2017-03-01 Asml Netherlands Bv Suppression filter, radiation collector and radiation source for a lithographic apparatus; method of determining a separation distance between at least two reflective surface levels of a suppression filter
DE102015216443A1 (de) * 2015-08-27 2017-03-02 Carl Zeiss Smt Gmbh Anordnung einer Vorrichtung zum Schutz eines in einer Objektebene anzuordnenden Retikels gegen Verschmutzung
US11036128B2 (en) 2015-12-14 2021-06-15 Asml Netherlands B.V. Membrane assembly
EP3391138A1 (en) * 2015-12-14 2018-10-24 ASML Netherlands B.V. A membrane for euv lithography
US9759997B2 (en) 2015-12-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and method for advanced lithography
KR102186010B1 (ko) * 2016-01-26 2020-12-04 한양대학교 산학협력단 Euv 펠리클 구조체, 및 그 제조 방법
JP7009380B2 (ja) * 2016-04-25 2022-01-25 エーエスエムエル ネザーランズ ビー.ブイ. Euvリソグラフィ用のメンブレン
US10001701B1 (en) * 2016-12-15 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle structures and methods of fabricating thereof
JP6518801B2 (ja) * 2017-03-10 2019-05-22 エスアンドエス テック カンパニー リミテッド 極紫外線リソグラフィ用ペリクル及びその製造方法
US10048199B1 (en) * 2017-03-20 2018-08-14 Asml Netherlands B.V. Metrology system for an extreme ultraviolet light source
KR102310124B1 (ko) * 2017-03-28 2021-10-08 삼성전자주식회사 극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법
KR102264378B1 (ko) * 2017-06-13 2021-06-15 삼성디스플레이 주식회사 표시 장치
CN110809736B (zh) * 2017-06-15 2023-10-24 Asml荷兰有限公司 表膜和表膜组件
NL2021155B1 (en) * 2017-07-21 2019-05-20 Asml Netherlands Bv Optical Membrane
KR102499455B1 (ko) * 2017-08-08 2023-02-13 수프리야 자이스왈 리소그래피 및 기타 응용에서 극자외 방사선과 함께 사용하기 위한 재료, 부품 및 방법
US10880981B2 (en) * 2017-09-29 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Collector pellicle
JP2019070745A (ja) * 2017-10-10 2019-05-09 信越化学工業株式会社 ペリクルフレーム及びペリクル
NL2021927B1 (en) 2017-11-06 2019-10-04 Asml Netherlands Bv Metal-Silicide-Nitridation for Stress Reduction
KR102574161B1 (ko) * 2018-02-06 2023-09-06 삼성전자주식회사 펠리클 및 이를 포함하는 레티클
EP3533900A1 (en) 2018-03-02 2019-09-04 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Method and apparatus for forming a patterned layer of carbon
KR102209853B1 (ko) * 2018-03-08 2021-02-02 주식회사 나노프레임 반도체 제조용 막 및 이에 대한 제조 방법
KR102100029B1 (ko) * 2018-03-28 2020-04-10 한양대학교 에리카산학협력단 펠리클 구조체 및 이를 이용한 리소그래피용 마스크의 결함 검사 방법
WO2019186921A1 (ja) 2018-03-29 2019-10-03 ギガフォトン株式会社 極端紫外光生成装置及び電子デバイスの製造方法
NL2021848A (en) * 2018-04-09 2018-11-06 Stichting Vu Holographic metrology apparatus.
US11143951B2 (en) * 2018-04-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
NL2023213A (en) * 2018-07-05 2020-01-09 Asml Netherlands Bv A lithographic apparatus and cooling apparatus
EP3594665A1 (en) * 2018-07-13 2020-01-15 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Optical inspection device and method
US11016383B2 (en) 2018-08-31 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
WO2020081842A1 (en) * 2018-10-17 2020-04-23 Astrileux Corporation Photomask having reflective layer with non-reflective regions
US11703762B2 (en) 2018-10-31 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of reducing undesired light influence in extreme ultraviolet exposure
JP2022511904A (ja) 2018-12-20 2022-02-01 エーエスエムエル ネザーランズ ビー.ブイ. メンブレンアセンブリを製造する方法
EP3903044A1 (en) 2018-12-27 2021-11-03 Skycool Systems, Inc. Cooling panel system
EP3674797B1 (en) 2018-12-28 2021-05-05 IMEC vzw An euvl scanner
AU2020257428A1 (en) 2019-04-17 2021-11-11 SkyCool Systems, Inc. Radiative cooling systems
KR20210004544A (ko) 2019-07-05 2021-01-13 삼성전자주식회사 극자외선 리소그래피 장치
KR102242341B1 (ko) * 2019-08-05 2021-04-20 주식회사 에프에스티 펠리클 프레임의 제조방법 및 펠리클의 제조장치
KR102242356B1 (ko) * 2019-08-05 2021-04-20 주식회사 에프에스티 일체화된 프레임과 멤브레인을 포함하는 펠리클, 그 제조방법, 펠리클을 포함하는 노광장치 및 펠리클의 제조장치
KR102301568B1 (ko) * 2019-09-10 2021-09-14 주식회사 에프에스티 탄화규소 층을 포함하는 극자외선용 펠리클의 제조방법
KR102273266B1 (ko) * 2019-10-23 2021-07-06 주식회사 에프에스티 일체화된 프레임과 멤브레인을 포함하는 펠리클의 제조방법
KR102285721B1 (ko) * 2019-12-05 2021-08-05 주식회사 에스앤에스텍 극자외선 파장 간격으로 주기적 형상화된 금속 박막을 구비하는 극자외선 리소그래피용 펠리클 및 그 제조방법
NL2027098B1 (en) * 2020-01-16 2021-10-14 Asml Netherlands Bv Pellicle membrane for a lithographic apparatus
US11657323B2 (en) 2020-03-10 2023-05-23 International Business Machines Corporation Machine learning model accuracy fairness
KR20220154184A (ko) * 2020-04-17 2022-11-21 미쯔이가가꾸가부시끼가이샤 노광용 펠리클막, 펠리클, 노광 원판, 노광 장치 및 노광용 펠리클막의 제조 방법
KR102440483B1 (ko) * 2020-08-04 2022-09-07 주식회사 에스앤에스텍 2층 구조의 중심층을 구비한 극자외선 리소그래피용 펠리클
KR20220017137A (ko) * 2020-08-04 2022-02-11 주식회사 에스앤에스텍 극자외선 리소그래피용 펠리클 및 그 제조방법
KR20220062799A (ko) * 2020-11-09 2022-05-17 한국전자기술연구원 극자외선 노광용 펠리클
KR102375433B1 (ko) * 2020-12-02 2022-03-18 한국전자기술연구원 3성분계의 코어층을 포함하는 극자외선 노광용 펠리클
KR102278843B1 (ko) * 2020-12-15 2021-07-20 한국전자기술연구원 다성분계의 코어층을 포함하는 극자외선 노광용 펠리클
KR20220141378A (ko) * 2021-04-12 2022-10-20 한국전자기술연구원 이트륨계 기반의 극자외선 노광용 펠리클
TW202326288A (zh) * 2021-08-25 2023-07-01 日商信越化學工業股份有限公司 能夠高速地進行氣壓調整的曝光用防護膜及其製造方法、曝光遮罩、曝光方法與半導體裝置的製造方法
KR20230058044A (ko) 2021-10-20 2023-05-02 엔지케이 인슐레이터 엘티디 Euv 투과막
CA3235933A1 (en) * 2021-10-22 2023-04-27 Inci DONMEZ NOYAN Pellicle membrane for a lithographic apparatus
EP4231097A1 (en) * 2022-02-22 2023-08-23 ASML Netherlands B.V. Inspection tool and barrier for use therein
WO2023160896A1 (en) * 2022-02-24 2023-08-31 Universiteit Twente Pellicles and membranes for use in a lithographic apparatus
EP4318128A1 (en) * 2022-07-28 2024-02-07 S&S Tech Co., Ltd. Pellicle for euv lithography
KR20240038642A (ko) * 2022-09-15 2024-03-25 엔지케이 인슐레이터 엘티디 Euv 투과막 및 그 사용 방법과, 노광 방법

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005043895A (ja) 2003-07-25 2005-02-17 Asml Netherlands Bv フィルタ・ウィンドウ、リソグラフ投影装置、フィルタ・ウィンドウの製造方法、デバイスの製造方法、及びそれらによって製造されたデバイス
JP2011014899A (ja) 2009-06-30 2011-01-20 Asml Netherlands Bv スペクトル純度フィルタ、リソグラフィ装置およびスペクトル純度フィルタを製造する方法
US20130019944A1 (en) 2011-07-21 2013-01-24 International Business Machines Corporation Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
JP2013511827A (ja) 2009-11-20 2013-04-04 エーエスエムエル ネザーランズ ビー.ブイ. 多層ミラー
JP2013123018A (ja) 2011-12-12 2013-06-20 Fujitsu Ltd 極紫外露光マスク用防塵装置及び極紫外露光装置
US20130250360A1 (en) 2009-06-09 2013-09-26 Oki Data Corporation Image processing apparatus
WO2013152921A1 (en) 2012-04-12 2013-10-17 Asml Netherlands B.V. Pellicle, reticle assembly and lithographic apparatus
WO2014188710A1 (ja) 2013-05-24 2014-11-27 三井化学株式会社 ペリクル、及びこれらを含むeuv露光装置
KR102438464B1 (ko) * 2014-07-04 2022-09-01 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5110373A (en) 1988-09-13 1992-05-05 Nanostructures, Inc. Silicon membrane with controlled stress
US5051326A (en) 1989-05-26 1991-09-24 At&T Bell Laboratories X-Ray lithography mask and devices made therewith
US6300017B1 (en) 1998-08-20 2001-10-09 Micron Technology, Inc. Stencil masks and methods of manufacturing stencil masks
US6316150B1 (en) 1998-08-24 2001-11-13 Euv Llc Low thermal distortion extreme-UV lithography reticle
EP1381919A1 (en) 2001-04-17 2004-01-21 Koninklijke Philips Electronics N.V. Euv-transparent interface structure
US7232631B2 (en) * 2003-05-08 2007-06-19 Dai Nippon Prinitng Co., Ltd. Mask for charged particle beam exposure, and method of forming the same
JP4928494B2 (ja) 2008-05-02 2012-05-09 信越化学工業株式会社 ペリクルおよびペリクルの製造方法
NL2003157A1 (nl) * 2008-07-11 2010-01-12 Asml Netherlands Bv Radiation source, lithographic apparatus, and device manufacturing method.
JP5689059B2 (ja) * 2008-08-14 2015-03-25 エーエスエムエル ネザーランズ ビー.ブイ. スペクトル純度フィルタ、放射源モジュール、リソグラフィ装置およびデバイス製造方法
KR20110087269A (ko) 2008-09-26 2011-08-02 에이에스엠엘 네델란즈 비.브이. 스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터를 제조하는 방법
EP2396794A1 (en) * 2009-02-13 2011-12-21 ASML Netherlands BV Multilayer mirror and lithographic apparatus
JP2012531730A (ja) * 2009-06-30 2012-12-10 エーエスエムエル ネザーランズ ビー.ブイ. スペクトル純度フィルタ、リソグラフィ装置、及びスペクトル純度フィルタを製造する方法
SG182568A1 (en) * 2010-02-12 2012-08-30 Asml Netherlands Bv Spectral purity filter
US9726989B2 (en) * 2010-04-27 2017-08-08 Asml Netherlands B.V. Spectral purity filter
KR101968675B1 (ko) * 2010-06-25 2019-04-12 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 방법
EP2681625A1 (en) * 2011-03-04 2014-01-08 ASML Netherlands BV Lithograpic apparatus, spectral purity filter and device manufacturing method
WO2013174656A2 (en) * 2012-05-21 2013-11-28 Asml Netherlands B.V. Lithographic apparatus
NL2011237A (en) * 2012-08-03 2014-02-04 Asml Netherlands Bv Lithographic apparatus and method.

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005043895A (ja) 2003-07-25 2005-02-17 Asml Netherlands Bv フィルタ・ウィンドウ、リソグラフ投影装置、フィルタ・ウィンドウの製造方法、デバイスの製造方法、及びそれらによって製造されたデバイス
US20130250360A1 (en) 2009-06-09 2013-09-26 Oki Data Corporation Image processing apparatus
JP2011014899A (ja) 2009-06-30 2011-01-20 Asml Netherlands Bv スペクトル純度フィルタ、リソグラフィ装置およびスペクトル純度フィルタを製造する方法
JP2013511827A (ja) 2009-11-20 2013-04-04 エーエスエムエル ネザーランズ ビー.ブイ. 多層ミラー
US20130019944A1 (en) 2011-07-21 2013-01-24 International Business Machines Corporation Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
JP2013123018A (ja) 2011-12-12 2013-06-20 Fujitsu Ltd 極紫外露光マスク用防塵装置及び極紫外露光装置
WO2013152921A1 (en) 2012-04-12 2013-10-17 Asml Netherlands B.V. Pellicle, reticle assembly and lithographic apparatus
WO2014188710A1 (ja) 2013-05-24 2014-11-27 三井化学株式会社 ペリクル、及びこれらを含むeuv露光装置
KR102438464B1 (ko) * 2014-07-04 2022-09-01 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치

Also Published As

Publication number Publication date
CA2954307C (en) 2022-08-30
TWI825930B (zh) 2023-12-11
US20170205704A1 (en) 2017-07-20
KR102438464B1 (ko) 2022-09-01
JP2017522590A (ja) 2017-08-10
CN106489084B (zh) 2020-10-30
TWI777310B (zh) 2022-09-11
KR20230116956A (ko) 2023-08-04
US20190146332A1 (en) 2019-05-16
CN110501769B (zh) 2021-11-23
WO2016001351A1 (en) 2016-01-07
CA3165053A1 (en) 2016-01-07
US10698312B2 (en) 2020-06-30
CN114035254A (zh) 2022-02-11
TW201940980A (zh) 2019-10-16
CA3165048A1 (en) 2016-01-07
EP3164764B1 (en) 2021-02-24
KR20170029556A (ko) 2017-03-15
EP3164764A1 (en) 2017-05-10
KR20220123482A (ko) 2022-09-06
CA2954307A1 (en) 2016-01-07
US10228615B2 (en) 2019-03-12
TW202248768A (zh) 2022-12-16
JP6858817B2 (ja) 2021-04-14
CN106489084A (zh) 2017-03-08
KR20230160962A (ko) 2023-11-24
TW201606439A (zh) 2016-02-16
CN110501769A (zh) 2019-11-26
KR102604554B1 (ko) 2023-11-22
JP2019204132A (ja) 2019-11-28
KR102560643B1 (ko) 2023-07-27
KR20240038172A (ko) 2024-03-22
TW202411767A (zh) 2024-03-16
TWI667546B (zh) 2019-08-01
TWI715104B (zh) 2021-01-01
TW202111437A (zh) 2021-03-16
JP6741600B2 (ja) 2020-08-19

Similar Documents

Publication Publication Date Title
KR102650131B1 (ko) 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한 멤브레인을 포함한 리소그래피 장치
US11762281B2 (en) Membrane for EUV lithography
TWI400580B (zh) 微影裝置之光學元件、包含此光學元件之微影裝置及製造此光學元件之方法
TW201245902A (en) Radiation source-collector and lithographic apparatus
KR20130105292A (ko) 스펙트럼 퓨리티 필터
KR101797052B1 (ko) 스펙트럼 퓨리티 필터
NL2013557A (en) Membranes for use within a lithographic apparatus and a lithographic apparatus comprising such a membrane.

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant