JP2019204132A - リソグラフィ装置内で用いられる膜及びそのような膜を含むリソグラフィ装置 - Google Patents

リソグラフィ装置内で用いられる膜及びそのような膜を含むリソグラフィ装置 Download PDF

Info

Publication number
JP2019204132A
JP2019204132A JP2019161191A JP2019161191A JP2019204132A JP 2019204132 A JP2019204132 A JP 2019204132A JP 2019161191 A JP2019161191 A JP 2019161191A JP 2019161191 A JP2019161191 A JP 2019161191A JP 2019204132 A JP2019204132 A JP 2019204132A
Authority
JP
Japan
Prior art keywords
layer
film
euv
radiation
emissivity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019161191A
Other languages
English (en)
Other versions
JP6858817B2 (ja
Inventor
ニキペロフ,アンドレイ
Nikipelov Andrey
バニエ,バディム,エヴィジェンエビッチ
Vadim Yevgenyevich Banine
ベンショップ,ヨゼフ,ペトルス,ヘンリカス
Petrus Henricus Benschop Jozef
ブーガアード,アリエン
Boogaard Arjen
ダリュアン,フロリアン,ディディエ,アルビン
Didier Albin Dhalluin Florian
クズネツォフ,アレクセイ,セルゲービッチ
Sergeevich Kuznetsov Alexey
ペーテル,マリア
Peter Maria
スキャカバラロッツィ,ルイージ
Scaccabarozzi Luigi
ザンデ,ウィレム,ヨアン ヴァンデル
Joan Van Der Zande Willem
デル ザンデ,ウィレム,ヨアン ヴァン
ツヴォル,ピーター−ジャン ヴァン
Van Zwol Pieter-Jan
ツヴォル,ピーター−ジャン ヴァン
ヤクニン,アンドレイ,ミクハイロビッチ
Mikhailovich Yakunin Andrei
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2019204132A publication Critical patent/JP2019204132A/ja
Application granted granted Critical
Publication of JP6858817B2 publication Critical patent/JP6858817B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/208Filters for use with infrared or ultraviolet radiation, e.g. for separating visible light from infrared and/or ultraviolet radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70883Environment aspects, e.g. pressure of beam-path gas, temperature of optical system
    • G03F7/70891Temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1838Diffraction gratings for use with ultraviolet radiation or X-rays

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)
  • Optical Filters (AREA)

Abstract

【課題】冷却の改善及び/又はEUV膜内の温度勾配の最小化のように、EUV膜の温度特性を改善する。【解決手段】リソグラフィ装置においてペリクル又はスペクトルフィルタとして使用可能な、EUV放射に対して透過性の膜が開示される。この膜は、1017cm−3よりも高い高ドーパント濃度でドーピングされている1つ以上の高ドーピング領域と、低ドーピング(又はドーピングなし)の1つ以上の領域と、を含む。膜は、低ドーピングを有する主基板と1つ以上の追加層とを有することができ、高ドーピング領域は追加層のいくつか又は全ての内部に含まれる。【選択図】図9

Description

関連出願の相互参照
[0001] 本出願は、2014年7月4日に出願された欧州特許出願第14175835.9号及び2015年5月28日に出願された欧州特許出願第15169657.2号の利益を主張する。これらは引用により全体が本願に含まれるものとする。
[0002] 本発明は、リソグラフィ装置内で用いられる膜に関し、更に特定すれば、その装置内でペリクル(pellicle)又は光フィルタコンポーネントの一部を形成することができるEUV透過性膜と、そのような膜を備えるリソグラフィ装置と、に関する。
[0003] リソグラフィ装置は、所望のパターンを基板に、通常は基板のターゲット部分に適用する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に使用可能である。このような場合、代替的にマスク又はレチクルとも呼ばれるパターニングデバイスを使用して、ICの個々の層上に形成すべき回路パターンを生成することができる。このパターンを、基板(例えばシリコンウェーハ)上のターゲット部分(例えば1つ又は幾つかのダイの一部を含む)に転写することができる。パターンの転写は通常、基板に設けた放射感応性材料(レジスト)の層への結像により行われる。一般的に、1枚の基板は、順次パターンが付与される隣接したターゲット部分のネットワークを含んでいる。
[0004] リソグラフィは、IC及びその他のデバイス及び/又は構造を製造する際の主要なステップの1つとして広く認識されている。しかし、リソグラフィを使用して製造される特徴の寸法がより微細になると共に、リソグラフィは小型IC又はその他のデバイス、及び/又は構造の製造を可能にするためのより決定的なファクタになってきている。
[0005] パターン印刷の限界の理論的な推定値は式(1)に示すようなレイリーの解像基準によって得られる。
但し、λは使用される放射の波長、NAはパターンを印刷するために使用される投影システムの開口数、k1はレイリー定数とも呼ばれるプロセス依存調整係数であり、CDは印刷される特徴のフィーチャサイズ(すなわちクリティカルディメンション)である。式(1)から、特徴の印刷可能な最小サイズの縮小は3つの方法で達成できることがわかる。すなわち、露光波長λの短縮によるもの、開口数NAの増加によるもの、又はk1の値の減少によるものである。
[0006] 露光波長を短縮し、それによって印刷可能な最小サイズを縮小するため、極端紫外線(EUV)放射源を使用することが提案されてきた。EUV放射は、5〜20nmの範囲内、例えば13〜14nmの範囲内の波長を有する電磁放射である。更に、例えば6.7nm又は6.8nmのように5〜10nmの範囲内の、10nm未満の波長を有するEUV放射を使用できることが提案されている。このような放射は極端紫外線放射、又は軟x線放射と呼ばれている。利用可能な放射源には、例えばレーザ生成プラズマ源、放電プラズマ源、又は電子蓄積リングによって提供されるシンクロトロン放射に基づくかもしくは自由電子レーザに基づく放射源がある。
[0007] EUVリソグラフィ装置では、多くの理由で、薄い透過性EUV膜が必要となることが多々ある。そのような理由の1つは、例えばレチクル及び/又はリソグラフィコンポーネントを、(粒径がnmからμmの範囲内の)粒子による汚染から保護することである。別の理由として、発生したEUV放射から不要な放射波長をスペクトル的に除去することが挙げられる。
[0008] 透過性EUV膜(又は略してEUV膜)は、EUV放射に対して高度に透明である(transparent)必要があるので、極めて薄いことが要求される。典型的なEUV膜は、EUV放射の吸収を最小限に抑えるため、厚さが10〜100nmである。
[0009] EUV膜は、シリコンウェーハのエッチングによって生成された、ポリシリコン(poly−Si)等の材料を含む自由懸濁(すなわち自立型)膜(フィルム)を含み得る。また、EUV膜は、EUVにより誘発されるプラズマエッチング(例えば水素(H、H+、H 、及び/又はH )により誘発される)を防ぐため、一方又は双方の表面上に1層以上の保護コーティング(例えば保護キャップ層)を含み得る。
[0010] EUV膜によるEUV放射の吸収は小さいとしても、実際はゼロには至らず、残留EUV放射の吸収はEUV膜の温度上昇を引き起こす。ペリクルは真空内にあるので、ペリクル冷却のための主要プロセスは放射熱伝達である。もしもEUV膜の温度が損傷閾値(例えば約500〜700℃)を超えると、EUV膜の損傷が生じ得る。また、EUV膜内に大きい温度勾配がある場合にも、損傷が発生するか又は増幅する可能性がある。そのような損傷が深刻である場合、EUV膜が破損して、保護されていないレチクルや、ミラーのようなリソグラフィ装置の他の要素の損傷/汚染を招いたり、望ましくない非EUV波長放射へのフォトレジスト露光が生じて、著しい製造プロセスのダウンタイムを招いたりする恐れがある。
[0011] EUV膜の温度を損傷閾値未満に維持すること及び温度勾配を最小限に抑えることがEUV膜の耐用年数を延ばすことは明らかである。
[0012] 熱負荷によってペリクルが破損する理由は、特に125ワット及びそれ以上の放射源のような高パワーEUV放射源では、ペリクルがIR放射を充分に吸収/放出しないからである。熱放射はIR波長領域で放出されるので、高い分光(IR)半球放射率(hemispherical emissivity)は、EUV膜に著しい熱損失を生じる可能性がある。従って、高い分光放射率を有するEUVペリクルを製造することが望ましい。また、EUV膜を介して90%以上のような大量のEUV放射を透過すべきである場合、EUVペリクルは極めて薄いことが要求される。
[0013] 冷却の改善及び/又はEUV膜内の温度勾配の最小化のように、EUV膜の温度特性を改善することが望ましい。本明細書において、EUV膜とは、EUV放射に対して実質的に透過性である膜を意味し、EUVペリクルとも称する。本明細書において、EUV放射に対して実質的に透過性(又は単に透過性)であるとは、露光中に充分なEUV線量を与えるため、少なくとも65%のEUV放射、好ましくは少なくとも75%のEUV放射、より好ましくは少なくとも85%のEUV放射、最も好ましくは少なくとも90%のEUV放射について透過性であることが意図される。
[0014] EUV透過を大きく保ちながらIR放射に対するEUVペリクル放射率を上昇させるため、本明細書において、
a)EUVペリクルに不純物をドーピングすること、及び/又は
b)EUVペリクルにIR放射率向上のためのキャップ層をコーティングすること、が提案される。このキャップ層は、IR放射の良好な吸収部であるがEUV放射領域(EUV radiation regime)では透明である材料を含み、例えば金属キャップ層である。また、このようなキャップ層は好ましくは、酸化又は他の環境的な危険要因からペリクルを保護する。EUVペリクルは、例えば13.5nm又は6.8nm(又は他の任意のEUV放射波長)等、所与のEUV放射波長の90%以上について透過性であるように選択することができる。
[0015] 本明細書において、本発明に従ったEUV膜又はEUV膜アセンブリのIR放射率向上(IR放射率の上昇、強化、最適なIR放射率)とは、IR放射率が0.1より大きい、例えば0.15より大きい、好ましくは0.2より大きいことが意図される。好ましくは、EUV膜のIR放射率は、所与の温度に対して少なくとも2倍上昇する。
[0016] EUV膜(すなわちEUVペリクル)が、コア層(主基板層とも称する)及び1つ以上のキャップ層(カバー層とも称し、概して、保護キャップ層のような特定の機能を有する層である)によって形成され、その少なくとも1つのキャップ層がIR放射率向上の機能を有する場合、IR放射率向上のためのキャップ層とは、EUV膜のIR放射率がコア層のIR放射率よりも高いように選択されたIR放射率を有するキャップ層が意図される。例えば、コア層のEUV放射率が約0.1である場合、IR放射率向上のためのキャップ層の材料及び厚さは、同一条件で求められるEUV膜の全IR放射率が0.15よりも大きいように選択される。本明細書において、キャップ層は主としてコア層の上に提供され得るコーティングとして言及するが、キャップ層は、2つのコア層の間、コア層と別の(第2の)キャップ層との間、又は同一もしくは異なる機能性(例えば酸化防止層や拡散防止層等の保護、又はIR放射率向上のため)の2つのキャップ層の間の層であり得ることは理解されよう。
[0017] 本明細書において、概してコア層又は主基板層とは、EUV膜の機械的強度のほとんどを与える厚い方の層、多層スタック、又は高い降伏強度の材料の層と理解される。例えば、高い熱負荷のため露光中に発生し得る大きい応力に耐えるため、コア層は、少なくとも50MPa、好ましくは少なくとも100MPa、より好ましくは少なくとも150MPaの降伏強度を有する必要があり得る。一般に、50〜1000MPaの降伏強度は、材料に応じて、EUV膜に充分な機械的強度を与えることができる(例えばp−Siは約180MPa、SiNxは約500MPaの降伏強度を有する)。一般に、コア層の厚さは、放射率向上のためのキャップ層の厚さよりも大きくすることができる。コア層が多層スタックで形成される場合、多層スタック内の個々の層の厚さは放射率向上のためのキャップ層の厚さと同等であり得るが、スタックの全厚は放射率向上のためのキャップ層の厚さよりも大きくすることができる。しかしながら、EUV透過、DUV抑制、及び/又はIR放射率に対する所望の要件が満たされる限り、コア層とキャップ層の材料に応じて、EUV膜は、これらが同等の厚さを有するか、又は放射率向上のためのキャップ層をコア層よりもいくぶん厚く設計することも可能である。
[0018] EUV膜(EUVペリクル)がその放射率を上昇させるためにドーピングされる場合、EUV膜の放射率向上とは、ドーピングされたEUV膜のIR放射率が、同一条件における同一の材料及び厚さの非ドーピングEUV膜のIR放射率よりも大きいことが意図される。
[0019] 放射率向上の代替的な定義では、定義パラメータとして温度も採用することができる。例えば、EUV膜のIR放射率向上は、EUV膜の温度が100〜約1000℃の範囲であり、より特定すれば中程度の温度(500℃未満)である場合に、EUV膜によって吸収されるエネルギの65%超(好ましくは85%超)が放射されるように、これらの波長(例えば1〜10μm)でのEUV膜の熱放射率を上昇させることとして定義することができる。
[0020] 本明細書において、放射率とは一般に、特に指定のない限り、(半球IR放射吸収に基づく)半球放射率が意図される。
[0021] 本発明の一態様において、EUVペリクルのIR放射率を上昇させることに関して、ドナー及び/又はアクセプタ不純物がドーピングされたEUV放射に対して透過性の膜が提供される。IR放射率を最大化させることに関して、プラズモン共鳴をプランクスペクトルのピークに合致させる(すなわちプランク放射率ピークがプラズモン共鳴に相当する)ために必要なドーピング範囲を見出した。例えば、(ポリ)シリコンをドーピングすることで、IRにおけるプラズモンが約1〜10ミクロンで生成され、これはプランク黒体放射ピークと一致する。プラズモン周波数は伝導体数から容易に求められる。原子の体積密度は固体では概ね1022n/cmである。金属の場合、各原子は伝導帯の電子によって寄与し、このため約1022キャリア/cmとなる。金属は、約150nmの典型的なプラズマ波長を有する。
[0022] プラズマ周波数ωは、
に比例する。nは自由電荷キャリアである。10倍の波長が望ましい場合(すなわち周波数は10分の1になる)、100分の1の自由電荷キャリア密度が必要であり、これは1020キャリアに相当する。(ポリ)シリコンに0.1〜10%原子濃度のドーパントをドーピングすると、IR放射スペクトルにおいてプラズモン共鳴が生成され得るという結果になる。このプラズモンはプランク黒体スペクトルに結合し、付加的なIR吸収を生成する。
[0023] プラズモン共鳴周波数がプランク周波数(300Kで10ミクロン)よりもはるかに高い場合、EUVペリクルはいっそう反射性(すなわち金属状)となり得る。プラズモン共鳴周波数がプランク周波数よりもはるかに低い場合、EUVペリクルはいっそう透過性(すなわち誘電体状)となり得る。EUVペリクルに望まれる挙動は、プラズマ波長が1〜10ミクロンの間である半金属挙動である。
[0024] 理論上の計算から、60nm厚さのポリシリコンペリクルの最適なIR放射率は、少なくとも約(2〜3)x1020n/cmドナー原子によるEUVペリクル材料のN型ドーピングによって得られることがわかった。ペリクル温度が上がれば上がるほど、プランクスペクトルが高温側にシフトするので、ドーピング濃度を高くしなければならない。EUVペリクル材料のP型ドーピングの場合、最適ドーピングは、少なくとも4x1020n/cmアクセプタ原子であることがわかった。P型ドーピングによって、N型ドーピングよりもわずかに(約10%)高いIR放射率が得られる。60nm厚さのポリシリコンペリクルに比べ、もっと薄いペリクルでは、最適ドーピング濃度は高くなり(例えば20nm厚さのペリクルは最適ドーピングが約1e21である)、もっと厚いペリクルでは、最適ドーピング濃度は低くなる(200nm厚さのSiペリクルは最適ドーピングが約1e20である)。一般に、厚さが10〜250nmのEUVペリクルでは、最適ドーパント濃度は5x1019〜1x1021n/cm原子の範囲である。
[0025] 本発明の一態様において、EUV放射に対して透過性の膜が提供される。この膜は、この膜が高ドーパント濃度でドーピングされている1つ以上の高ドーピング領域と、この膜がドーピングされていないか又は低ドーパント濃度を有する1つ以上の低ドーピング領域と、を備え、高ドーパント濃度が、1017cm−3よりも高い、好ましくは1020cm−3よりも高いドーパント濃度と規定され、低ドーパント濃度が、1017cm−3未満の、好ましくは1020cm−3未満のドーパント濃度と規定される。
[0026] 本発明の一態様において、EUV放射に対して透過性の膜(EUVペリクル)が提供される。この膜は、(ポリ)Si、Si3N4、SiC、ZrN、ZrB、ZrC、MoB2、MoC、RuB、LaB、LaC、TiB、TiC、(多)結晶イットリウム、(多)結晶Zr、Be、C、B、及びBC、それらによる複合物、又は多層の組み合わせから選択された(コア)材料を有する。ZrB、ZrC等の半金属は、EUVペリクルの静電帯電を減少させ得る。EUVペリクルは好ましくは、充分なEUV透過を可能とするために60nm以下の厚さを有する。
[0027] 本発明の別の態様において、少なくとも0.1のIR放射の放射率を有し、6.7nm波長のEUV放射に対して実質的に透過性である、リソグラフィ装置のための膜が提供される。この膜は、ホウ素を含む材料のコア層を含み、コア層は20から150nmの厚さを有する。
[0028] 本発明の別の態様において、少なくとも0.1のIR放射の放射率を有し、EUV放射に対して実質的に透過性である、リソグラフィ装置のための膜が提供される。この膜は、Ruを含む材料のコア層を含み、コア層は20から30nmの厚さを有する。
[0029] 本発明の別の態様において、少なくとも0.1のIR放射の放射率を有し、EUV放射に対して実質的に透過性である、リソグラフィ装置のための膜アセンブリが提供される。この膜アセンブリは、IR放射率向上のための少なくとも2つの独立した金属層を含み、金属層は、IR放射を吸収すると共に、EUVに対して実質的に透明であるように20nm以下の層厚を有する金属を含み、IR放射率向上のための金属層は、10ミクロン以下の厚さDのギャップによって分離されている。金属層は、機械的強度を与える支持層によって支持してもよい。
[0030] 本発明の別の態様において、上述の実施形態に従った1つ以上のEUV膜を含むリソグラフィ装置が提供される。
[0031] 本明細書に組み込まれてその一部を形成する添付図面は、本発明を図示し、本記載と共に本発明の原理を更に説明すると共に、当業者による関連技術(複数の関連技術)の作成及び使用を可能とする機能を果たす。添付図面を参照して、本発明の実施形態を単なる例示として説明する。
反射投影光学部品を有するリソグラフィ装置を概略的に示す。 図1の装置の更に詳細な図である。 レチクル用のペリクルとして用いられる、本発明の第1の実施形態に従ったEUV膜を示す。 本発明の第2の実施形態に従ったEUV膜を示す。 本発明の第3の実施形態に従ったEUV膜を示す。 本発明の第4の実施形態に従ったEUV膜を示す。 平坦なEUV膜及び図6に示すEUV膜についての、EUV膜の横方向の距離Lに対する予想温度分布のグラフである。 本発明の第5の実施形態に従ったEUV膜を示す。 異なるドーピング濃度について、温度の関数としてポリシリコンEUV膜の放射率を示す。 EUV膜パワー吸収及び最大温度対EUV放射源パワーの比較を示す。 EUV膜温度に対するIR放射率の影響を示す。 (ポリ)SiのEUV膜と比較したIR放射率向上のためのRuキャップ層の影響を示す。 共鳴吸収によってIR放射率を向上させるデュアルEUVペリクル(すなわち膜アセンブリ)を示す。
[0032]本発明の特徴及び利点は、図面と併せて以下に述べる詳細な説明からより明らかになるであろう。
[0033] 図1は、本発明の一実施形態による放射源モジュールSOを含むリソグラフィ装置100を概略的に示す。この装置は以下のものを備えている。
− 放射ビームB(例えばEUV放射)を調節するように構成された照明システム(イルミネータ)ILと、
− パターニングデバイス(例えばマスク又はレチクル)MAを支持するように構成され、パターニングデバイスを正確に位置決めするように構成された第1のポジショナPMに接続された支持構造(例えばマスクテーブル)MTと、
− 基板(例えばレジストコートウェーハ)Wを保持するように構成され、基板を正確に位置決めするように構成された第2のポジショナPWに接続された基板テーブル(例えばウェーハテーブル)WTと、
− パターニングデバイスMAによって放射ビームBに与えられたパターンを基板Wのターゲット部分C(例えば1つ以上のダイを含む)に投影するように構成された投影システム(例えば反射投影レンズシステム)PSと、を備える。
[0034] 照明システムは、放射を誘導し、整形し、又は制御するための、屈折型、反射型、磁気型、電磁型、静電型、又はその他のタイプの光学コンポーネント、あるいはそれらの任意の組み合わせなどの様々なタイプの光学コンポーネントを含むことができる。
[0035] 支持構造MTは、パターニングデバイスの配向、リソグラフィ装置の設計及び、例えばパターニングデバイスが真空環境で保持されているか否か等の条件に応じた方法でパターニングデバイスMAを保持する。支持構造は、機械式、真空式、静電式又はその他のクランプ技術を用いて、パターニングデバイスを保持することができる。支持構造は、例えば、必要に応じて固定又は可動式にできるフレーム又はテーブルであってもよい。支持構造は、パターニングデバイスが例えば投影システムに対して確実に所望の位置に来るようにしてもよい。
[0036] 本明細書において使用する「パターニングデバイス」という用語は、基板のターゲット部分にパターンを生成するように、放射ビームの断面にパターンを付与するために使用し得る任意のデバイスを指すものとして広義に解釈されるべきである。放射ビームに付与されるパターンは、集積回路などのターゲット部分に生成されるデバイスの特定の機能層に相当する。
[0037] パターニングデバイスは透過性又は反射性でよい。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、及びプログラマブルLCDパネルがある。マスクはリソグラフィにおいて周知のものであり、これには、バイナリマスク、レベンソン型(alternating)位相シフトマスク、ハーフトーン型(attenuated)位相シフトマスクのようなマスクタイプ、更には様々なハイブリッドマスクタイプも含まれる。プログラマブルミラーアレイの一例として、小さなミラーのマトリクス配列を使用し、そのミラーは各々、入射する放射ビームを異なる方向に反射するよう個々に傾斜することができる。傾斜したミラーは、ミラーマトリクスによって反射する放射ビームにパターンを与える。
[0038] 照明システムのような投影システムは、使用する露光放射、又は真空の使用などの他の要因に合わせて適宜、例えば屈折、反射、磁気、電磁気、静電気型等の光学コンポーネント、又はその任意の組み合わせなどの種々のタイプの光学コンポーネントを含んでいてもよい。その他のガスは放射を吸収し過ぎるため、EUV放射用には真空を使用することが望ましいことがある。従って、真空環境は、真空壁及び真空ポンプを用いてビーム経路全体に提供してもよい。
[0039] 本明細書で示すように、本装置は反射タイプである(例えば反射マスクを使用する)。
[0040] リソグラフィ装置は、2つ(デュアルステージ)又はそれ以上の基板テーブル(及び/又は2つ以上のマスクテーブル)を有するタイプでよい。このような「マルチステージ」機械においては、追加のテーブルを並行して使用するか、1つ以上の他のテーブルを露光に使用している間に1つ以上のテーブルで予備工程を実行することができる。
[0041] 図1を参照すると、イルミネータILは放射源モジュールSOから極端紫外線放射ビームを受光する。EUV光を生成する方法は、EUV範囲に1つ以上の輝線を有する、例えばキセノン、リチウム、又はスズのような少なくとも1つの元素を有する材料を、プラズマ状態に変換することを含むが、必ずしもこれに限定されない。レーザ生成プラズマ(「LPP」)と呼ばれることが多いそのような1つの方法では、必要な輝線放出元素を有する材料の小滴、流れ、又はクラスタ等の燃料をレーザビームで照射することによって必要なプラズマを生成できる。放射源モジュールSOは、燃料を励起するレーザビームを提供するレーザ(図1には示さず)を含むEUV放射システムの一部であってもよい。結果として得られるプラズマは、放射源モジュール内に配置された放射コレクタを用いて収集される出力放射、例えばEUV放射を放出する。例えばCO2レーザを用いて燃料励起のためのレーザビームを供給する場合、レーザ及び放射源モジュールは別個の構成要素であり得る。
[0042] そのような場合、レーザはリソグラフィ装置の一部を形成すると見なされず、放射ビームは、例えば適切な誘導ミラー及び/又はビームエクスパンダを備えたビームデリバリシステムを利用して、レーザから放射源モジュールへと渡される。他の場合、例えば放射源が、DPP放射源と呼ばれることが多い放電生成プラズマEUV発生器である場合、これは放射源モジュールの一体的な部分とすることができる。
[0043] イルミネータILは、放射ビームの角度強度分布を調整するためのアジャスタを備えることができる。通常、少なくとも、イルミネータの瞳面における強度分布の外側及び/又は内側半径範囲(一般にそれぞれ、σ−outer及びσ−innerと呼ばれる)を調節することができる。また、イルミネータILは、ファセットフィールドミラーデバイス及びファセット瞳ミラーデバイスのような他の種々のコンポーネントを備えていてもよい。イルミネータを用いて放射ビームを調節し、その断面にわたって所望の均一性と強度分布とが得られるようにしてもよい。
[0044] 放射ビームBは、支持構造(例えばマスクテーブル)MT上に保持されたパターニングデバイス(例えばマスク)MAに入射し、パターニングデバイスによってパターンが付与される。パターニングデバイス(例えばマスク)MAで反射した後、放射ビームBは投影システムPSを通過し、投影システムPSはビームを基板Wのターゲット部分C上に合焦させる。第2のポジショナPWと位置センサPS2(例えば、干渉計デバイス、リニアエンコーダ、又は容量センサ)を利用して、基板テーブルWTは、例えば、様々なターゲット部分Cを放射ビームBの経路に位置決めするように正確に移動できる。同様に、第1のポジショナPMと別の位置センサPS1を利用して、放射ビームBの経路に対してパターニングデバイス(例えばマスク)MAを正確に位置決めできる。パターニングデバイス(例えばマスク)MA及び基板Wは、マスクアライメントマークM1、M2及び基板アライメントマークP1、P2を使用して位置合わせしてもよい。
[0045] システム内で粒子によるパターニングデバイスの汚染を防ぐため、例えばEUVペリクルPEのようなEUV膜が提供されている。このようなペリクルは、図示する箇所及び/又は他の箇所に設けることができる。不要な放射波長(例えばDUV)を除去するように動作可能なスペクトル純度フィルタとして、別のEUV膜SPFを提供することも可能である。そのような不要な波長は、ウェーハW上のフォトレジストに対して望ましくない影響を及ぼす可能性がある。また、SPFは任意選択的に、ガス放出中に解放された粒子による投影システムPS内の投影光学部品の汚染の防止に役立てることも可能である(あるいは、これを行うためSPFの代わりにペリクルを設けてもよい)。これらのEUV膜はどちらも、本明細書に開示されるEUV膜のうち任意のものを含むことができる。
[0046] 図2は、放射システム42、照明システムIL、及び投影システムPSを含むリソグラフィ装置の実施形態を更に詳細に示す。図2に示すような放射システム42は、放射源としてレーザ生成プラズマを用いるタイプのものである。EUV放射は、例えばXeガス、Li蒸気、又はSn蒸気のようなガス又は蒸気を用いて、極めて高温のプラズマを生成して、電磁スペクトルのEUV範囲内の放射を放出させることで生成可能である。極めて高温のプラズマは、例えばCOレーザ光を用いた光学的励起によって少なくとも部分的に電離したプラズマを生じることにより生成される。効率的な放射発生のために、例えば分圧が10PaのXe、Li、Snの蒸気、又は他のいずれかの適切なガスもしくは蒸気が必要となる場合がある。一実施形態では、EUV範囲内の放射を放出するため、Snを用いてプラズマを生成する。
[0047] 放射システム42は、図1の装置における放射源SOの機能を具現化する。放射システム42は放射源チャンバ47を備え、このチャンバは、この実施形態ではEUV放射源だけでなくコレクタ50も実質的に閉鎖している。コレクタ50は、図2の例では垂直入射コレクタであり、例えば多層ミラーである。
[0048] LPP放射源の一部として、レーザシステム61はレーザビーム63を供給するように構築及び配置されている。レーザビーム63は、ビームデリバリシステム65によって、コレクタ50に設けられたアパーチャ67を介して誘導される。また、放射システムは、ターゲット材料供給71によって供給されるSn又はXeのようなターゲット材料69を含む。この実施形態では、ビームデリバリシステム65は、所望のプラズマ形成位置73上でほぼ集束するビーム経路を確立するように配置されている。
[0049] 動作において、燃料と称されることもあるターゲット材料69は、小滴の形態でターゲット材料供給71により供給される。放射源チャンバ47の反対側にトラップ72を設けて、何らかの理由でプラズマに変わらない燃料を捕獲する。このようなターゲット材料69の小滴がプラズマ形成位置73に到達すると、レーザビーム63が小滴に衝突し、放射源チャンバ47内部でEUV放射を発するプラズマが形成される。パルス状レーザの場合、これは、小滴が位置73を通過するのと一致するようにレーザ放射パルスをタイミング調整することを伴う。前述のように、燃料は例えばキセノン(Xe)、スズ(Sn)、又はリチウム(Li)とすればよい。これらは、数10Kの電子温度を有する高度に電離したプラズマを生成する。例えばTb及びGdのような他の燃料材料によって、もっと高いエネルギのEUV放射を発生させることも可能である。これらのイオンの下方遷移及び再結合の間に発生した高エネルギEUV放射は、必要なEUVを含み、このEUVは位置73でプラズマから放出される。プラズマ形成位置73及びアパーチャ52は、それぞれコレクタ50の第1及び第2の焦点に位置付けられ、EUV放射は、垂直入射コレクタミラー50によって中間焦点IFに集束される。
[0050] 放射源チャンバ47から発する放射ビームは、図2に放射ビーム56で示すように、いわゆる垂直入射リフレクタ53、54を介して照明システムILを横断する。垂直入射リフレクタは、ビーム56を、ペリクルPEを介して、支持体(例えばレチクル又はマスクテーブル)MT上に位置決めされたパターニングデバイス(例えばレチクル又はマスク)上に誘導する。パターン付与されたビーム57が形成され、反射要素58、59を介して、投影システムPSによって、ウェーハステージ又は基板テーブルWTに支持された基板上に結像される。一般的に、照明システムIL及び投影システムPSには、図示するよりも多くの要素が存在し得る。例えば、図2に示す2つの要素58及び59よりも、1つ、2つ、3つ、4つ、又はそれ以上多くの反射要素が存在することがある。放射コレクタ50と同様の放射コレクタは従来技術において既知である。
[0051] 当業者に知られているように、装置、その様々なコンポーネント、及び放射ビーム55、56、57の幾何学的形状及び挙動を測定し記述するために、基準軸X、Y、Zを規定することができる。装置の各部分では、X、Y、Z軸の局所的基準系を規定することができる。Z軸は、システムの所与のポイントにおける光軸Oの方向と概ね一致し、概してパターニングデバイス(レチクル)MAの面に垂直であると共に基板Wの面に垂直である。放射源モジュール(装置)42では、X軸は、燃料の流れ(69、以下で説明する)の方向と概ね一致し、Y軸はこれに直交し、図示するように紙面の外へ向かう。一方、レチクルMAを保持する支持構造MTの近傍では、X軸は、Y軸と並んだスキャン方向を概ね横切る。便宜上、図2の概略図のこのエリアでは、X軸は同様に図示するように紙面の外へ向かう。これらの呼称は当技術分野において従来通りであり、本明細書では便宜のために採用する。原則として、装置及びその挙動を記述するために任意の基準系を選択することができる。
[0052] プラズマは、望ましいEUV放射の他に、例えば可視光範囲、UV範囲、及びDUV範囲のような他の放射波長も生成する。また、レーザビーム63からのIR(赤外線)放射も存在する。これらの非EUV波長は照明システムIL及び投影システムPSには不要であるので、非EUV放射を阻止するために様々な対策を講じることができる。図2に概略的に示すように、IR、DUV、及び/又は他の不要な波長のため、仮想放射源点IFの上流で、スペクトル純度フィルタSPFの形態のEUV膜フィルタ(すなわちSPF膜)を適用することができる。図2に示す具体例では、2つのスペクトル純度フィルタが、1つは放射源チャンバ47内に、1つは投影システムPSの出力に示されている。一実施形態では、1つだけのスペクトル純度フィルタSPF膜を、これらの箇所のいずれかに、又はプラズマ形成位置73とウェーハWとの間のどこか他の場所、例えばレチクルレベルに設けることも可能である。
[0053] しかしながら、レチクルレベルでは、この箇所での帯域外放射の後方反射が望ましくないので(レチクル形状に影響を及ぼす可能性があるので)、大幅なDUV抑制は困難である場合がある。従ってレチクルレベルでは、EUV膜(例えばEUVペリクル)によってDUV及びIRを抑制するための好適な機構は、吸収のみである。
[0054] 別の実施形態では、第1のEUV膜をレチクルレベルで用いてレチクル上に堆積する粒子デブリを抑制し、第2のEUV膜を投影システムPSの出力で(すなわちウェーハと投影システムPSの最終ミラーとの間で)SPF膜として用いることができる。SPF膜は、不要な放射波長を阻止するためのスペクトルフィルタとして動作するEUV膜である。SPF膜は、ウェーハの近傍で反射及び吸収の双方を用いて不要な放射を抑制できるので、帯域外のIR及びDUV放射を抑制するために追加され得る。
[0055] 開示されるのは、現在のEUV膜に比べて熱特性を改善したEUV放射透過のためのEUV膜である。そのようなEUV膜は、例えば(ポリ)SiのEUV膜を含むことができる。これらの膜は、スペクトル純度フィルタ(SPF)又はペリクル内に含まれ得る。SPF及び/又はペリクルは、既に述べたように、リソグラフィシステム内の多くの箇所に提供することができる。
[0056] EUV膜は、使用中に放射を吸収すると高温になる。もしもその温度が上昇し過ぎた場合、又は膜内の温度勾配が大きくなり過ぎた場合、EUV膜は損傷する可能性がある。従って、EUV膜内の温度及び温度勾配を最小限に抑えることが望ましい。EUV膜は極めて低圧(真空)の環境で用いられるので、唯一の冷却手段は放射である。従って、EUV膜の温度が約100〜約1000℃、より好ましくは数百(例えば少なくとも200℃)〜約1000℃、より具体的には中程度の温度(500℃未満、例えば100〜500℃)の範囲である場合、ほとんどのエネルギが放射される波長(例えば1〜10μm)で、EUV膜の熱放射率を上昇させる(すなわちIR放射率を向上させる)ことが望ましい。こういった条件において、例えば(ポリ)シリコン材料の純粋(すなわちバルク)層は、全ての自由電荷キャリアが拘束されているために熱放射率が低い。
[0057] 半球赤外線吸収(放射率に関連する)を計算するために多層フレネル反射係数及びプランクの法則に基づいたシミュレーションを実行して、薄膜の厚さの関数としてそのIR吸収(熱放射率)の変化を理解した。そのようなシミュレーションでは、SiC及びSiのような誘電材料のフィルムは、薄くなるにつれてIR放射吸収性が低くなることが示された。従って、誘電材料によるEUV膜(顕著なEUV透過を得るために薄いことが要求される)は概して、単独ではIRの吸収/放出がわずかである。
[0058] 半導体材料を含むEUV膜の放射率を上昇させるには、EUV膜材料にドーピングを行って、材料内の自由電荷キャリア数を増やせばよい。これは、ドーピング膜の放射吸収係数を増大させ、放射率の上昇を引き起こす。ドナー及び/又はアクセプタによる半導体材料のドーピングが中程度の温度において自由電荷キャリア濃度(電子及び/又はホール)を変化させることは、当業者には知られている。
[0059] 大きな効果を得るため、半導体膜にドーピングされる不純物の濃度は、1017cm−3より高くなければならない。濃度は、好ましくは1018cm−3、1019cm−3、又は1020cm−3より高くすることができる。ドーパント濃度を1017cm−3から1020cm−3に上昇させると、1.2μmより長い放射波長で、吸収係数が1000倍に増大することが示される。これは、p型ドーパント及びn型ドーパントによるドーピングに等しく当てはまる。
[0060] しかしながら、ドーパント添加は、ポリシリコン等の半導体材料の強度を低下させる傾向がある。これは、最少量の損失でEUV放射を透過させるために著しく薄いことが要求されるEUV膜には、特に問題である。従って、これに対処するため多数の解決策が提案されている。
[0061] 図3は、レチクルMAのパターンが付与されたエリアの前方に位置決めされたEUV膜300の概略図である。ここではEUV膜300は、EUV放射ビーム305の透過を可能としながら、レチクルMAのパターンが付与されたエリアに粒子Dを入れないように設計されたペリクルの一部を形成するものとして図示されている。そのような例では、EUV膜300は、ペリクルフレーム(図示せず)内のEUV膜を含み得る。EUV膜300は更に、(例えば)ペリクルフレームをレチクルに取り付けるための固定要素(図示せず)を含み得る。汚染物質がウェーハ上に結像されないように、EUV膜300は、レチクルMAからある程度の距離をあけて焦点面の外に配置することができる。
[0062] 他の実施形態では、EUV膜は、リソグラフィ装置内の別の箇所で用いられるペリクル、又はSPFの一部を形成することができる。
[0063] EUV膜300は多数の層を含み得る。これらの層は、主基板層310、カバー層311、312、例えば拡散防止層313、314とすることができる中間層313、314を含み得る。主基板層310は、例えば(ポリ)Si層とすればよい。この構成は例示として図示するだけであり、図示する層の他の組み合わせも可能である。例えばEUV膜300は、中間層なしでカバー層311、312を含んでもよい。別の例示的な代替案では、主基板層の一方の表面上のみに1つだけカバー層が存在してもよい(カバー層と基板層との間に中間層がある場合もない場合もある)。また、主基板層の一方又は双方の表面上に3つ以上の層があってもよい。
[0064] 典型的に、カバー層311、312は、例えばOラジカル及びHラジカル、H及びEUVのような、主基板層310を傷つける恐れのあるエッチング剤又は反応剤の影響を受けにくい(不活性)材料から作製される。そのような材料の例は、MoSi、Si、C、ZrN、SiCを含む。そのような材料は典型的に、広い禁制エネルギ帯(forbidden energy zone)を有し、特性がセラミックスに似ている。このため、そのような材料は、例えば500℃未満の中程度の温度であっても高い放射率を有する。更に、これらの材料は、純シリコンの吸収に匹敵するEUV低吸収の元素から生成される。従って、カバー層311、312が主基板層310に比べて著しく薄いならば、EUV膜300の全体的なEUV吸収を大幅に増大させることはない。また、カバー層311、312は、主基板層310の機械的特性を維持するため、あまり大きい応力を主基板層310に加えてはならない。
[0065] 中間層313、314は、応力を低減するために提供することができる。例えば中間層313、314は、主基板層310とカバー層311、312との中間の格子サイズを有する材料を含み得る。中間層313、314は、カバー層311、312と同様、EUVに対して高度に透明でなければならない。
[0066] ある実施形態では、既に述べたように、カバー層311、312及び/又は中間層313、314(存在する場合)にドーピングを行って自由電荷キャリアの濃度を上げることができる。従って、カバー層311、312及び/又は中間層313、314は、膜内で高ドーピング領域を形成する。主基板層310は、強度を維持するため低ドーピング領域として形成することができる。他の層311、312、313、314の1層以上のドーピングは、既に述べたように、EUV膜300の放射率を著しく上昇させる。
[0067] 高ドーピング領域は少なくとも1017cm−3のドーパント濃度を有し、低ドーピング領域は1017cm−3未満のドーパント濃度を有する。高ドーピング領域のドーピングレベルは、半導体膜のドーピングに関して上述したもののいずれかとすればよいので、例えば1018cm−3超、1019cm−3超、又は1020cm−3超であり得る。主基板層(すなわちコア層)のような低ドーピング領域のドーピングレベルは、例えば1016cm−3未満、1015cm−3未満、又は1014cm−3未満であり得る。低ドーピング領域は、ドーピングを行わず、従って(意図的な)添加ドーパントを含まない場合もある。
[0068] 図4は、EUV膜400を示す代替的な実施形態を示し、これはEUV膜300と同じ層構造を有するが、図4に示すようにカバー層311、312上に配置された追加カバー層411、412も含む。これらの追加カバー層411、412は、カバー層311、312の代わりに(又はこれらに加えて)高ドーピング領域とすることができる。追加カバー層411、412のドーピング濃度は、前の段落で述べたもののいずれかとすればよい。
[0069] 主基板層310でなく、カバー層311、312、411、412、又は中間層313、314のみにドーピングすることで、ドーピングの脆弱化効果(weakening effect)が軽減され、結果としてEUV膜300全体の強度が増す。
[0070] 図5は別の実施形態を示す。ここに示すEUV膜500は、単一の主基板層のみを含むか、あるいは層311、312、313、314等のカバー層/中間層を含み、場合によっては層411、412も含むことができる。この実施形態では、主基板層及び(存在する場合は)カバー層/中間層のうち1つ以上がドーピングを含む(これは既に述べた濃度とすればよい)が、高ドーピング領域はドーピング層の中央領域510のみに限定される。このドーピング層の周辺部520は低ドーピング領域であり、フレームによって保持することができる。これにより、フレームで保持されるために大きな応力を受ける周辺部で、EUV膜500の強度が増大する。EUVは、大部分又は全部が中央領域510を透過するので、周辺エリア520はEUVをほとんど又は全く透過させないことは認められよう。このため、周辺エリア520はほとんど熱を受けず、その熱特性は重要性が低くなる。
[0071] 任意選択的に、中央に近付くほどドーピングを高度にするようにドーピングの勾配を設けることができる。そのような構成では、EUV膜又はその層の半径全体にわたって勾配を設けることができる(すなわち、ドーピングは膜のエッジで開始し、中央に近付くほど増大する)。あるいは、ドーピングは中央領域510のエッジで開始し、中央に近付くほど増大するが、周辺領域520にはドーピングを行わないことも可能である。又は、ドーピングの勾配は、ドーピングを行わない周辺領域と高ドーピングを行う中央領域との間の中間セクションにのみ設けてもよい。
[0072] 前の段落で述べたものと同様の原理を用いて、スポットドーピングの形態で任意の層にドーピングを導入することができる。スポットドーピングは、非ドーピング又は低ドーピングの(従って強度が高い)領域によって分離された複数の高ドーピング(高放射率)領域を含む。また、この概念は、単一の主基板層のみを含むEUV膜500と、カバー層及び/又は中間層のような追加層を含むEUV膜500とに適用することができる。後者の場合、これらの層の1つ以上にドーピングを導入することができる。ある例では、高ドーピング領域は相互に約1μm〜5μm離間され得る。高ドーピング領域への熱流速は、これに匹敵するか又はもっと長い波長のフォノンによることは認められよう。熱は2つの機構によって伝達される。すなわち、放射(光子)と熱伝導(格子内の原子の振動、フォノン)である。パワーが堆積される場所(ドーピングされていない領域)とパワーが除去される場所(高ドーピング領域)との間の距離が近い場合、パワーは著しく高速で伝達される。ここで、近いとは、典型的なエネルギを有するフォノンの波長に匹敵するものとして定義することができる(温度によって定義され、そのような波長は数ミクロンの範囲内である)。
[0073] むろん、前の段落で述べた概念を組み合わせて、スポットドーピングをEUV膜又はその層の中央領域510のみに限定し、周辺領域520にはドーピングを行わないことも可能である。また、ドーピング濃度は、高ドーピング領域において周辺部に近付くほど中央部の近くよりも低度のドーピングとなるように勾配を設けることも可能である。これは、熱的に誘発される応力及び冷却率(双方ともドーパント濃度の関数である)を制御するのに役立つ。また、これは、しわ又は折り目の形成のような変形を制御するのに役立つ。EUV膜の温度が上昇すると、膜を構成する材料は膨張する。EUV膜の公称形状である平坦面は、膨張した材料に適応できず、折り目又はしわが形成される。EUV放射がある角度の傾斜でEUV膜を横切り、従って有効吸収経路が長くなると、折り目によるEUV放射吸収が増大する。折り目は、約10マイクロメータ以上(差し渡し)の横方向規模を有する場合があり、ウェーハ上に結像される。スポットドーピングを用いると、温度プロファイル制御と機械的特性制御の効果の組み合わせにより、折り目の典型的な規模は、高ドーピング領域及び低ドーピング領域の幾何学的形状と規模によって画定される。温度が上昇した場合、スポットドーピングを行った膜の折り目の角度は同じであるが、横方向サイズが縮小し、従ってそのような折り目は結像されなくなる。
[0074] 過去の研究によって、例えば、放射物体間の分離距離が主要な熱波長よりも小さい場合、近視野放射エネルギ伝達では光子トンネリング及び表面ポラリトン(surface polariton)が重要な役割を果たし得ることが示された。例えば、B. Liu等による研究(Phys. Rev. B87、115403、2013年)は、いくつかの材料の近視野放射熱伝達が、エバネセント波を介したエネルギ伝達のため、黒体放射限界(blackbody radiation limit)を数オーダー超える可能性があることを実証した。研究された材料は、IR領域において表面ポラリトンをサポートした(例えばドーピングしたSi材料、SiC、BN、又はカバー層510及び514の候補材料として使用され得る任意の適切な材料)。
[0075] B. Liu等の研究では、SiC及び金で作製された2枚の半無限板間の近視野放射熱伝達を距離dの関数として比較したグラフも見ることができる(図1)。距離dは、2枚の板間の真空ギャップサイズを表す。B. Liu等の研究の図1からわかるように、SiC及び金で作製された板間の近視野放射熱伝達は、2枚のSiC板間の熱伝達よりも3桁小さい。
[0076] このため、ペリクルに沿った横方向放射熱伝達を更に向上させるため、ある実施形態では、EUV膜表面の1つに複数の追加フィーチャを設けることが提案される。これらの追加フィーチャは、エッチングプロセス中に成長又は形成することができる。追加フィーチャは、任意の適切な形状とすればよい。一例では、追加フィーチャは、EUV膜表面から垂直に延出する周期的又は非周期的なワイヤ又は薄い壁又はリブを含む。追加フィーチャは、ドーピングされたSiもしくはSiベースの材料、又は任意の適切なカバー層材料を含むことができ、例えば本明細書に開示されるドーパント濃度及び構成のいずれかを有する材料の任意のものである。各追加フィーチャのフィーチャサイズは、これらのフィーチャが画定するエリアのサイズよりも大幅に小さくなければならない。追加フィーチャ間の距離が1μm以下である場合、放射熱伝達は黒体限界の10〜10000倍となると予想されることが示される。
[0077] 図6は、(例えば周期的又は非周期的な壁又はワイヤ構造620で形成された)複数の追加フィーチャ620を含むEUV膜600を示す。追加フィーチャ620は、EUV膜600の下側(EUV放射に露光される側)に配置することができる。レチクルに対向するEUV膜の側は、純度を維持するため平坦にすることができる。縦の矢印630によって放射熱伝達を表す。横の矢印640は、追加フィーチャ620により生成される横方向放射熱伝達を表す。照射EUV放射(図示せず)は、ペリクルPに対してほぼ垂直に伝搬することに留意すべきである。従って、追加フィーチャ620(ここに図示する形態、すなわちワイヤ又はリブ)がレチクルMA及び/又はウェーハW上に形成する影は最小限である。
[0078] EUV膜内の横方向温度勾配は、それだけで高温と同程度の損傷を膜に与えると考えられる。本明細書に記載する全ての実施形態は、EUV放射に露光中のEUV膜の温度勾配を著しく低減させるが、図6に示す実施形態は特に有効である。その理由は、別の機構すなわち放射熱伝達を追加することで、平坦な膜の場合(温度はフォノンによってのみ伝達される)に比べ、横方向熱伝達が増大するからである。典型的な規模が小さいので、EUV膜から追加フィーチャ620への熱伝達は限定的でないと考えられる。効率的な横方向熱伝達によって、これらの温度勾配が最小限に抑えられ、ペリクルの耐用年数が長くなる。
[0079] 図7は、EUV膜の横方向の距離Lに対する予想温度分布のグラフである。線PEUVは、ペリクルの横方向のEUV放射パワー分布を表す。線Tは、平坦なEUV膜の温度分布を表す。線Tは、図6に示すEUV膜の横方向の温度分布を表す。図7からわかるように、EUV膜の横方向の温度勾配は、平坦なEUV膜に比べ、図6の例では低下している。
[0080] 図8は、図6に示す実施形態の改善点を含むEUV膜800の別の実施形態を示す。この実施形態における追加フィーチャ820は、エシェレット格子(echelett grating)とよく似た形状及び/又は配列を含む。この具体例では、追加フィーチャは繰り返しグループのワイヤ又はリブ820を含み、図示するように、各グループの個々のワイヤ/リブ820は徐々に高さが低くなる(又は高くなる)。この結果、点線で示すエシェレット格子の近似が得られる。エシェレット格子のような構造は、レチクルMAからウェーハへのパターン転写の間に、各ワイヤ/リブ820によって個別にEUV放射の散乱から発する不要な放射830を、EUV放射840の次数(例えば0次及び1次)から離れるように誘導するのに役立つ。
[0081] 図9は、60nm厚さのドーピングしたEUVポリシリコンペリクルの放射率(図9の左側のグラフ)と、真性ポリシリコンペリクル対ドーピングペリクルの温度(K)に対する積分放射率(図9の右側のグラフ)とを示す。放射率を0.1よりも大きくするため、60nmのポリシリコンペリクルに少なくとも5x1019cm−3のドーピングを行った。
[0082] 上述の実施形態の全てにおいて、ドーピング材料は、EUVに対して透明であり、強度及び信頼性のためSi格子との不整合が最小であるものに限定することができる(例えば炭素、ホウ素、及び窒素)。他の実施形態では、13.5nmに対して透明でないが、他のEUV/BUV波長がリソグラフィシステムに適している場合にこれらの波長に対して透明であるドーパントを使用可能である。これらのドーパント材料には、S、Te、As、O、Al、Sn、Sb、In、Ga、Br、Cl、I、C、B、Nが含まれ得る。
[0083] EUVペリクルコア層材料の主な例として、上記ではポリシリコンを取り上げた(これが13.5nmEUV放射において最も透明な材料であるため)が、放射率を上げるためのEUVペリクル材料の不純物ドーピングは、任意の半導体に対して実行することができる。ドーピングは、双方ともEUV領域で透明材料であるB又はPを用いて実行可能である。シリコンにB又はPをドーピングする場合、EUV損失は無視できる程度である。
[0084] 大きなEUV透過性を保ちながらIR放射に対するEUVペリクル放射率を上げるため、本明細書では、ドーピングの代わりに又はドーピングに加えて、IR放射率の向上のために、IR放射の良好な吸収部であるがEUV放射領域では透明である材料を含む例えば金属キャップ層のようなキャップ層によって、EUVペリクルをコーティングすることが提案される。このようなキャップ層は、更に、酸化又は他の環境的な危険要因からペリクルを保護することも可能である。
[0085] 金属キャップ層は閉鎖フィルムでなければならない。すなわち、金属アイランドは一般的に好適でない。これは、抵抗率が10,000倍に上昇する可能性があり、ドルーデ吸収項(Drude absorption term)が打ち消されるからである。このような不均質フィルムは透明になり、そのため吸収が不充分となる恐れがある。
[0086] EUVペリクルは、13.5nm又は6.8nm(又は他の任意のEUV放射波長)のような所与のEU波長の90%以上に対して透過性であるように選択すればよい。一例として、3nmのSi3N4が両側にコーティングされた45nm厚さのポリシリコンペリクルは、約85%のEUV透過率を有し、IR放射率は低く(ほとんどゼロ)(すなわちこれは極めて高温になり得る)、これは、放射スペクトルに存在するDUV放射の多くを反射し(結像の目的には望ましくない)、DUV放射をほとんど透過させない(この結果、粒子デブリを検出するためスルーペリクル検査(through−pellicle inspection)を行う選択肢がなくなる)。
[0087] 本発明の一態様では、EUV放射に対して透過性の膜(すなわちEUVペリクル)が提供され、この膜は、(ポリ)Si、Si3N4、SiC、ZrN、ZrB、ZrC、MoB2、MoC、RuB、LaB、LaC、TiB、TiC、(多)結晶イットリウム、(多)結晶Zr、Be、C、B、及びBC、それらによる複合物、又は多層の組み合わせから選択されたコア層材料を有する。ZrB、ZrC等の半金属は、EUVペリクルの静電帯電を減少させ得る。シリコン窒化物Si3N4(SiNxとも称する)は、本明細書において、アモルファスシリコン窒化物を指し、化学量論的(3:4比、x=1.33)及び非化学量論的SiNx合金(0<x<1.6)の双方を組み込む。
[0088] EUVペリクルは、充分なEUV透過(好ましくは少なくとも90%のEUV放射透過)を可能とするため、好ましくは厚さが60nm以下である。EUV膜に充分な強度を与えるため、コア層は、最小厚さが少なくとも5nm、好ましくは少なくとも10nm、より好ましくは少なくとも15nmであることが要求され得る。
[0089] EUVペリクルコア層(主基板層とも称される)は、一方側又は両側に、IR放射率を上げるのに適切な厚さの材料による金属層又は別のキャップ層(カバー層とも称される)を被せることができる。良好なEUV透過率を有する適切なキャップ層金属の例は、Ru、Ti、Nd、Pr、Mo、Nb、La、Zr、B、Y、及びBeである。これら及び他の金属は、EUVペリクル(更に特定すればコア層)をコーティングするため同様に用いることができ、IR放射率の向上を達成することができる。例えば、B又はBeコア層を有し、Ru、Moの層、又は他の金属(複合物)キャップ層を被せたペリクルは、IR放射率の著しい向上を得ることができる。
[0090] 金属の薄い層は、プラズマ周波数によって大きく影響される放射率を有する。伝導性が低いRu等の金属は、自由電荷キャリアが少なく、このためプラズマ周波数が低いので、もっと伝導性の高いAu又はAg等の金属に比べ、IR放射率向上のためのより良い選択肢である。プラズマ周波数の最高値は、Alでは約10eVである。Auフィルムは、フィルム品質に応じて7〜9eVまで変動するプラズマ周波数を有する。
[0091] また、キャップ層は、金属及びEUV透明不純物を含む複合材料とすることも可能である。非金属又は伝導性の低いEUV透明不純物を加えることで、プラズマ周波数を低い値に調整することができる。この場合には、多くの金属が、IR放射率を向上させたEUVペリクルキャップ層の良好な候補となる。伝導性の低い不純物の例は、ホウ素、窒素、炭素、シリコン、ストロンチウムカルシウム、及びリンである。不純物を加えてプラズマ波長を低下させることで、金属層の厚さを増大させることができる。そのような場合の不純物濃度は、好ましくは10%原子パーセント未満である。
[0092] ペリクル膜スタック(すなわち、1つ以上のコア(多)層及びIR放射率向上のための少なくとも1つのキャップ層を含む多層スタック)において金属キャップ層を保護するため、IR放射率向上のための金属キャップ層の上に追加の保護キャップ層を含めてもよい。この保護キャップ層は、EUVプラズマ環境における酸化及びエッチングの効果を軽減させ得る。このような保護キャップ層に適した材料の例として、Zr、Ti、Hf、Si、Rh、又はRuの酸化物、炭化物、又は窒化物が挙げられる(例えばZrO、ZrN、ZrC等)。これらの保護キャップ層の厚さは、好ましくは約1〜3nmである。
[0093] IR放射を垂直に反射する金属層は、厚さが表皮厚さ(skin depth)未満である場合に吸収性が高くなることがわかっている。1nmの薄さの金属層は、ほぼ平坦なスペクトル応答を有し、0.5の理論的限界に近い放射率を有し得る。層厚が薄くなると吸収性が高くなる理由としては、金属の大きな吸収係数と、金属−真空及び金属−誘電体界面での相殺的干渉による反射の打ち消しが考えられる。
[0094] 本発明の一態様では、IR放射率向上のためのキャップ層でコーティングされた、EUV放射に対して透過性の膜が提供される。このキャップ層は、IR放射における金属の表皮厚さよりも薄い金属キャップ層を含む。IR放射の金属キャップ層の表皮厚さは概ね10nmより小さいが、10nmよりもわずかに厚くても本発明に従って機能し得るイットリウム(Y)等の金属も存在する。本明細書において表皮厚さとは、光がその強度の63%を失う(又は強度1/eを有する)厚さを意味する。表皮厚さは光波長に依存する。ほとんどの金属は概して、IR放射において約10nmの表皮厚さを有する(すなわち、10nm金属層を貫通するIR放射はその強度の63%を失う)。
薄い金属キャップ層は基本的にIR吸収部として機能するが、EUV放射の透過性は実質的に同一であり得る。例えば、58nm厚さのコアとペリクルの各側の1nmのRu層とを有する(ポリ)シリコンペリクルは、(Ruが良好なEUV透明性を有するので)13.5nmEUV放射に対して透過率が0.878であり、これに対して、60nm厚さの(ポリ)シリコンペリクルでは透過率が0.9であることが明らかになっている。しかしながら、EUVペリクルの一方側又は両側を、例えば1〜2nmのRuキャップ層でコーティングした場合、(ポリ)シリコンペリクルの放射率は10倍以上高くなる可能性がある。(ポリ)Si膜上のRu又は他の金属は、EUV膜放射率を0.01未満から0.4以上までに上昇させ得る。しかしながら、EUV放射の4分の1波長に近い厚さを有するRu又はMoは、EUV放射の約1%を反射することがあり、これがCD均一性に有害となり得るので、注意しなければならない。計算によって、EUV膜上の1nmに近い厚さのRuキャップ層では、EUV反射が低減し、それでもなおある程度のIR放射率を有し得ることが示されている。また、半波長の厚さ(例えば13.5nmEUV放射では6.7nm厚さ)を有するRuは、反射防止(AR)コーティングとして機能し得る(EUV反射なし)。しかしながら、このような場合にRuキャップ層の厚さがほぼ4分の1EUV波長であると、EUV反射は最高値を示した。
[0095] IR放射率向上のため又は他の機能のための単一金属キャップ層でコーティングしたEUV膜のEUV反射(EUVR)を低減させるための戦略として、本明細書では、金属層Dの厚さを、リソグラフィ露光に用いられるEUV放射(例えば13.5nm、6.7nm、又は4.37nmのEUV放射)の半波長λの倍数とすることが提案される。
D=nλ/2 (1)
nは整数であり、3、4、5、6、又はそれ以上である。好ましくは、nは、金属キャップ層がIR放射の金属表皮厚さよりも薄くなるような値である。
[0096] EUVR低減のための他のAR戦略は、例えば1〜2nmのような2nm以下の薄い金属キャップ層厚を採用すること(すなわち、IR放射率強化キャップ層(IR emissivity enhancing cap layer)を充分に薄くすることでEUV反射を低減させる)、又は、粗く、シャープでない拡散境界を有することである。
[0097] 2つの金属キャップ層のように、放射率向上のための金属キャップ層が偶数である場合、個々の金属層の反射率は1つの金属層と同じ法則に従う。本明細書において、反射防止構成のEUV膜が提案される。この構成では、2つの金属キャップ層が、リソグラフィ露光に用いられるEUV放射の半波長λ/2に近い厚さを有する別のコア層によって分離されて、EUV放射の相殺的干渉が発生して相互に打ち消し合うようになっており、これによって正味の(結果としての)EUV反射がゼロになる。
[0098] 例えば、2nmのRu又はMoの2つの層が、8.4nm、15.1nm、21.9nm、28.6nm、35.4nm、41.5nm、48.7nm、及び55.7nm(すなわちほぼ6.7nm刻み)から選択された厚さの(ポリ)Si層によって分離されている場合、第2のRuキャップ層が誘発した反射は、第1のRuキャップ層の反射と相殺的に干渉し、EUV放射反射はなくなる。ポリシリコンコア層の厚さは、金属キャップ層の厚さによって影響され得るので、4.37nm、又は6.7nm、又は13.5nmのEUV放射波長の正確な半分でないことが述べられている。従って、1対以上の金属キャップ層でカバーされたコア層の任意の組み合わせについて、EUV反射を回避するための一般的な層厚の条件は、EUV放射の反射がなくなるように完全に相殺的な干渉が金属層間で発生するというものである。
[0099] EUV反射低減のための上記の全ての代替案では、EUV反射が最小限に抑えられる(すなわち結像に対する影響が最小限に抑えられる)と同時に、金属キャップ層によって高い放射率が維持されるので、低いEUV反射率を維持しながら高いIR放射率を有するEUVペリクルが可能となる。
[00100] 50nmの(ポリ)Siのコア層は、単独でもDUV放射を100分の1以上に抑制することができる。(ポリ)Siは、DUV放射が予想される100〜400nmの範囲ではほとんど全く透過性がない。しかしながら、(ポリ)SiペリクルはIR放射範囲では透明である。50nmの(ポリ)Siコア層を介したIR透過は、コア層にRu又はMo等の金属キャップ層を追加することで20分の1に抑制できることがわかった。更に、コア層への拡散(例えば(ポリ)Siに拡散するRu又はMo)のため金属反射及び吸収が失われないように、金属キャップ層に拡散防止バリア層(B4C又はSiNxによるもの等)を用いることが有利であり得る。
[00101] コア層、キャップ層、又は拡散バリア層のため等、多数の目的のために所与の材料が適切であり得るが、EUV膜における層の厚さ及び位置は、そういった層の機能を規定する有用な判断基準となることができる。例えば、相互拡散層の厚さは一般に1nm以下である。
[00102] 例えば、1nm以下の厚さを有し、コア層と隣接キャップ層との間に配置されたB又はB4Cの層は、拡散防止層として機能することができるが、4〜11nmの厚さを有する同じ材料の層は、他の層に比べて高い引張強度を与える場合にコア層として機能することができる。例えば、10nmのB−(5〜10nmの)Mo−10nmのBのサンドイッチ状構成では、2つのB層はコア層を形成し、それらの間のMoはIR放射率向上のためのキャップ層を形成し、エッチングから保護される。
[00103] 同様に、EUV膜の上にあるか、又は他の(コア)層間に挟まれたB又はB4Cの層は、所与の機能を有するキャップ層として機能することができる。更に、スタック全体として50MPaを超える高い降伏強度を有する薄い層の多層スタックは、コア層を形成することができる。例えば、最大で20対のホウ素間グラフェン層(黒鉛タイプの層)、例えば10nmのB/3nmのグラフェン/10nmのBによって、有利な多層EUV膜を提供することができる。これは、BがEUV及び/又はH雰囲気のもとで化学的耐性を有すると考えられると共に、黒鉛が放射率及び機械的強度の向上をもたらすからである。多層EUV膜の別の例は、SiNx層上のいくつか(最大で20)のグラフェン層(又は黒鉛タイプの層)又は他の膜キャップ層を含むことで、機械的強度の達成、放射率の向上、EUV及び/又はH雰囲気のもとでのEUV膜の耐用年数の延長を得る。例えば、2nmのグラフェン(すなわち2nmの厚さを達成するグラフェンの多層又は多数のシート)/10nmのSiNx/2nmのグラフェンの層を含む多層EUV膜は、同様に有利なEUV膜を形成することができる。当業者は、コア層及びキャップ層をどのように区別するか知っている。
[00104] IR抑制を機能させるため、どこに金属キャップ層を堆積するかは基本的に重要でない。金属キャップ層は、EUV膜多層スタックの上、下、又は中間(サンドイッチ構造等)に配することができる。
[00105] (ポリ)SiはEUV環境でエッチングされる場合があるので、代替的なEUV膜として、2つのホウ素キャップ層間にモリブデンキャップ層を含むサンドイッチ膜構造(B−Mo−B)が上記で提案される(RuはEUV放射の吸収性がMoの3倍であるため、更に、Moは周囲に露呈されると酸化する可能性があるため)。ホウ素と金属の組み合わせは、(ポリ)Siと金属の組み合わせに等しいIR抑制を有し得るが、DUV抑制は、(ポリ)Siよりも小さくなる(100分の1以上でなく7分の1以上)。
[00106] EUV透明金属は、例えばRu、Mo、La、Rh、Be、Y、Zr、Ce、Nb、及びPrである。(ポリ)SiのSPF膜では、ホウ素、B4C、Si3N4、ZrO2、Ru、もしくはMoSi2のキャッピング層、又は他の代替的なキャッピングが有利であり得る。
[00107] 良好なIR吸収のため、少なくとも1nm、いくつかの条件では5nnmを超える金属厚さが要求され得る。薄すぎる金属は、バルクとは極めて異なる光学応答を有する。従って、金属層が薄すぎる場合、金属によるIR反射は大幅に低減する可能性がある。
[00108] 一般に、IR放射における金属の表皮厚さよりも小さい厚さを有する薄い金属キャップ層は、本発明によるIR放射率を向上させたEUV膜に適している。しかしながらEUV膜をSPF膜として用いる場合は、金属キャップの厚さを5nm超として、反射性を極めて高くし、IR透過性コア層に適用された金属キャップ層がIR透過を1桁以上低減させることが有利である。厚い金属キャップ層の欠点はEUV放射損失が増大することである(最大で10〜15%)が、5〜10nm金属キャップ層を有する(ポリ)Si又はBのコア層では、IR及びDUV放射の除去に関して、それでもなお著しい利点がある(例えば100倍以上のDUV100〜400nmの抑制、及び20倍のIR(10.6ミクロン)抑制)。
[00109] 投影システムPSとウェーハとの間に配置されたSPF膜としてEUV膜を用いる場合、リソグラフィ装置のスキャン方向で小さい角度に配向した膜構成を用いて、反射した帯域外IR及びDUV放射がリソグラフィ装置の投影システムPS内に戻らないようにすることが有利であり得る。また、投影システムPSのEUVミラーの1つ以上を追加のDUV及びIRの吸収及び後方反射から保護するため、それらに吸収スクリーンが必要となる場合がある。
[00110] 最適なIR吸収(すなわちIR放射率の向上)のためのキャップ層の厚さは、キャップ層材料にもよるが、Ruについて先に例示したものとは異なる範囲であり得る。しかしながら、実質的なEUV透過を可能とするため、キャップ層の厚さをできるだけ小さくすることが一般的に有利である。EUVペリクル上に積層されたキャップ層(複数のキャップ層)の全厚は、材料の選択に応じて、好ましくは90nm以下、好ましくは50nm以下、より好ましくは20nm以下、更に好ましくは10nm以下(ほぼIR放射における金属表皮厚さ)、最も好ましくは5nm以下である。
[00111] 表1は、IR放射率を向上させたキャップ層について先に挙げた材料の厚さ(単位はnm)の例を示す。これらの層厚では、理論上の13.5nmEUV透過率は約90%である。
[00112] IR放射率向上のための2つのキャップ層を用いる場合(例えばEUVペリクルの各側に1つずつ)、良好なEUV透過を維持するため、各キャップ層の厚さは、最適なキャップ層厚さの半分を採用することができる。同様に、IR放射率向上のためのいくつかの(3つ以上の)キャップ層を用いる場合、キャップ層の個々の厚さ及び全厚は、良好なEUV透過が維持されるように調整しなければならない。上記ではキャップ層に言及したが、表1に列挙した材料は、自立型ペリクルを製造するために適切な機械的強度を達成できるならば、EUVペリクルのコア層も形成することができる。
[00113] また、これらのキャップ層材料の多くのものにとって、酸化は重要な問題である。窒化物(例えばZrN(13nm)又はLaN(10nm))を用いることは酸化防止に役立つが、窒化物は大きなEUV損失を招く可能性がある。従って、それぞれが0.5〜5nm、好ましくは1〜3nm、より好ましくは1〜2nmの範囲内の厚さを有するRuコーティング層(複数のRuコーティング層)が、IR放射のEUVペリクル放射率を向上させるための好適な選択肢の1つである。
[00114] 本明細書では、(保護キャップ層としての)良好な酸化防止特性と良好なEUV透過率を有することから、Ruを一例として挙げている。本明細書では、IR放射率強化キャップ層の材料として、Ruに新たな機能が提案される。IR放射率強化は、いかなる金属キャップ層(例えば金又は銀)でも得られるが、EUV透過率が悪化する可能性がある。本発明者らは、本明細書に例示したいくつかの材料が、実質的にEUV透明であり、かつ電気伝導のドルーデ挙動(電子は自由電荷キャリアとして作用し、もっと重く比較的動きづらい陽イオンの間で衝突を繰り返す)を有することを見出した。
[00115] EUVペリクルの別の例は、例えば4〜7nmの厚さを有するペリクルコア向けの炭素ベースの材料である。本明細書において、炭素ベースの材料とは、様々な同素体の形態の任意の炭素構造を意味し、ボール、チューブ(円筒形)、又はシートの形態のカーボンナノ構造も含む。炭素ベースの材料の例は、カーボンナノチューブ、グラフェン、黒鉛、ダイモンド状炭素(DLC)、(バックミンスター)フラーレン、又はその他のC構造である。本明細書では、炭素ベースの材料を簡略化のために炭素とも称する。
[00116] 炭素ベースの材料のコア層を有するEUVペリクルは、4.37nm波長のEUV放射に対しても充分に機能することができる。そのようなEUVペリクルは比較的低いIR放射率を有し得る。このペリクルコアを、Ru、Pd、Ag、Ti、Mo、Zr、又はNb層のような薄い金属キャップ層でコーティングすると、EUV透過を大きく妨げることなくIR放射率が著しく上昇する。
[00117] 2nmのRuキャップ層を被せたSiNxコア(11〜12nm)を有するペリクルは、約90%の透過を与え、高いEUVパワーに耐えることができる。SiNxペリクルの両側のRuコーティングは、約4%の追加の損失を生じ得る。そのような膜は、VIS及びNIR範囲で著しい吸収を示す。例えば、各側が2nmのRu層で覆われ、約85%のEUV透過を有する13nm厚さのSi3N4膜の1cmエリアで、パルス状の90ワット(λ=355nm)及び60ワット(λ=810nm)レーザを用いて行った熱負荷試験の結果、このような膜が、EUV透過(EUVT)が大幅に変化することなく、200,000のレーザショットで170ワットの熱負荷に耐えられることが示された。
[00118] 2nmのRuキャップ層を被せたB4C又はホウ素(B)のコア(20nm)を有するペリクルは、約90%の透過を与える。ホウ素ベースのEUVペリクル(コア)は、自己限定的な酸化物を有する(酸化物はホウ素内であまり容易に拡散しないので)。また、ホウ素は極めてエッチ耐性が高いので、1層のみのルテニウム(Ru)でも機能を果たすことができる。逆に、IR放射率向上のためのキャップ層としてのRu層を、2つのコア層間に埋め込むことも可能である。
[00119] 一般的に言って、IR放射率が0.1未満から約0.5に(任意の方法で)上昇した場合、ペリクル温度は約800℃から400℃まで低下し得る。このため、ペリクルコア膜内で熱により誘発される応力が低減し、従って、高いEUV放射源パワーでのペリクルの耐用年数が長くなる。このような膜の利点として、少なくとも10倍のペリクルの放射率/放射冷却、露光中のペリクル低温化、及び高い熱負荷(すなわち高いEUV放射源パワー)に耐えるペリクルのうち1つ以上が得られる。
[00120] 図10は、EUVペリクルパワー吸収及び最大温度対EUV放射源パワーの比較である。(ポリ)Si膜が約40Wの放射源パワーに耐え得る場合、IR放射率向上のためのRuコーティングを有する(ポリ)Siペリクルでは、EUVペリクルが損傷を受けない状態のままで、500Wの放射源パワーに対するパワー吸収を向上させることができる。図10は、60nmのSi、25nmのSiC、12nmのSi3N4、40nmのSiと3nmのRu、19nmのZrB2、及び20nmのZrCのEUVペリクルについて、吸収されたパワーと平衡温度(単位は℃)を示す。
[00121] 図11は、250WのEUV放射源パワーと同等の50mj/cm2パワーについて、平衡温度対EUV放射透過(EUVT)及び放射率を示す。250ワット放射源では、90%透過のペリクルは約1Wcm−2EUV放射を吸収する可能性があり、これは平衡温度で再放出される。ポリシリコンフィルムの場合の1%未満の放射率では、温度が1000℃超となり、ペリクルの破損が生じる。放射率が0.4のRuコーティングペリクルでは、この温度は、例えばより扱いやすい約600℃の温度まで低減させることができる。
[00122] また、IR放射率の向上には、IR放出キャップ層としてZrSi2又はNbSi2等のシリサイドキャップ層が効果的であり得る。これらのキャップ層を、それぞれZrO2及びNb2O5の保護キャップ層で覆ってもよい。シリサイドは、EUV放射の透過に関してRuよりも更に良好であり得る。例えば、ZrSi2/ZrO2キャップ層の組み合わせは、NbSi2/Nb2O5キャップ層のスタックよりも高いEUV放射透過を有する場合がある。
[00123] 13.5nmのEUV放射での高温耐性ペリクルに適した材料の例は、ZrB2、ZrC、MoB2、MoC、RuB2、及びSiCである。
[00124] 6.7nmのEUV放射での高温耐性ペリクルに適した材料の例は、ZrB2、ZrC、LaB2、LaC、TiB2、TiC MoB2、及びMoCである。4.37nmのEUV放射では、適切な材料は例えばTiCである。
[00125] IR放射率向上のためのキャップ層がコア層の上に配置されて、外部の劣化要因(例えばHラジカル、EUV放射等)と直接接触する場合、EUV露光中の高いペリクル温度により、キャップ層/EUVペリクルの比較的急速な破損が生じる可能性がある。ある実施形態では、劣化を回避するため、2つの化学的耐性を有するコア層の間(2つのホウ素層、炭素層、又はB4C層の間等)にIR放射率向上のためのキャップ層を挟むことが提案される。キャップ層は好ましくは金属層である。ホウ素又はB4C(5〜10nm)−金属(1〜10nm)−ホウ素又はB4C(5〜10nm)構成において、少なくとも90%透過を得るために調整した構成(及び適切な厚さ範囲)の例は以下の通りである。
・ホウ素(B4C)11nm−Mo5nm−ホウ素(B4C)11nm
・ホウ素(B4C)11nm−Y10nm−ホウ素(B4C)11nm
・ホウ素(B4C)10nm−Ru3nm−ホウ素(B4C)10nm
[00126] UEVペリクルサンドイッチ構造のコア層がホウ素又はB4Cである場合、6.7nmのEUV波長に対するIR放射率の向上(強化)に適した金属キャップ層材料は、例えばNb、Mo、La、Zr、In、Ti、Ru、Te、Bi、Ce、Pd、Ag、及びYである。
[00127] サンドイッチ構造のコア層が炭素又は炭素ベースの材料である場合(例えば炭素−金属−炭素)、4.37nmのEUV波長に対するIR放射率の向上(強化)に適した金属キャップ層材料は、例えばBe、La、Te、Ti、Pr、Rh、Eu、In、Ru、V、Pd、Al、Ru、及びAgである。
[00128] 興味深いことに、ホウ素コア層を有するEUVペリクルは、6.7nmのEUV波長向けに調整したペリクルでは、はるかに厚く作製され得ることがわかった。例えば、140nm厚さのホウ素コア層は、それ自体で、IR放射率向上のための別のキャップ層を必要とすることなく約90%のEUV透過を与える。
[00129] 良好なEUV透過(≧85%)を有するように適切な材料と膜厚の選択によって調整した(ポリ)Siベースのペリクルは、露光放射スペクトル内に潜在的に存在するDUV放射の多くを反射し、DUVを透過させない(すなわち高いDUV反射率と低いDUV透過率を有する)という更なる欠点を有し得る。また、それらは低いIR放射率を有し得るが、これは、例えばペリクルコア層上の1〜2nmのRuキャップ層等、IR放射率向上のためのキャップ層を追加することで上述のように軽減することができる。しかしながら、そのようなRuキャップ層によってDUV反射率と透過率は改善しない(悪化もしない)。
[00130] より良い結像のために望まれるDUV反射率の低下に加えて、DUV透過率の上昇は、EUV露光中のウェーハレベルでのDUV放射の影響を更に軽減することに役立ち、かつDUVマスク検査も可能とする。
[00131] EUVペリクルのスルーペリクル検査及び高いペリクルDUV反射は、以下に示すような一連の材料によって軽減することができる。これらの材料は、既知のマスク検査ツールに適した波長である157nm、193nm、又は248nmで、DUV反射を低減させると同時にDUV透過を向上させる。
[00132] ArF、KrF、及びF2マスク検査ツールの使用を可能とし、ウェーハでの画像劣化を引き起こすDUVの軽減を可能とするいくつかの材料を、以下に例示する。
・結晶イットリウムは、良好な193nm透過率と低いDUV反射率を有する。
・(多)結晶Zr(例えばZrN及びZrC)並びに(多)結晶Yは全て、低いDUV反射率を有する。
・非晶質及び黒鉛炭素ベースのペリクルは、良好な157nm及び193nm透過率及び低いDUV反射率を有する。
・Si3N4ペリクルは、更に低いDUV反射率で248nmマスク検査が可能である。
上記のEUVペリクルは全て、0.2超の良好なIR放射率を有する。
[00133] 結晶イットリウムは、193nmに透過ピークを有し、高いIR放射率も有することが明らかになっている。例えば、両側が1nmのRuキャップ層で覆われた20nm厚さのイットリウムコアEUVペリクルは、以下を有する(カッコ内に、Si+Ruの同等のペリクルとの比較を示す)。
・DUV193nm透過率67%(複光路(double pass)43%)(Si+Ruでは0%)
・DUV反射率100〜250nm<12% (Si+Ruでは20〜50%)
・DUV反射率250〜400nm<25% (Si+Ruでは>60%)
・EUV透過率92.5% (Si+Ruでは85%)
[00134] イットリウムペリクルは、90%EUV透過率では最大で50mnの厚さであり得る。EUVペリクルの両側にRuキャップ層を適用すると、この上限の厚さが36nmに限定される。イットリウムコアが厚くなればなるほど、193nmDUV放射の損失が大きくなる。
[00135] 現在まで、結晶イットリウムのような高い13.5nm透過率及び193nm透過率を有する他の材料は見つかっていないことに留意すべきである。この点で、結晶イットリウムは他に類のない材料である。
[00136] 多結晶イットリウムは、高い193nm透過率を持たない。しかしながら、Zrベース及びYベースのEUVペリクルは双方とも、(ポリ)Siよりもはるかに低いDUV反射を有する。実際、いずれかの理由で低いDUV反射が必要条件である場合、(ポリ)Siベースのペリクルは有利でないことがある。193nm透過率及びスルーペリクル検査が必要ない場合、Ruキャップ層(複数のRuキャップ層)を有する多結晶ジルコニウム又はイットリウムベースのペリクルによって、(ポリ)Siよりもはるかに低い値にDUV反射を低下させることができる。
[00137] また、ZrN及びZrCは、(ポリ)Siよりも低いDUV反射率を有し得る。しかしながら、ZrN及びZrCのDUV透過はZr又はYよりも低いので、スルーペリクル検査が難しくなる。
[00138] 結晶、非晶質、及び黒鉛炭素又は炭素ベースの材料は、157でDUV透過ピークを有し、高いIR放射率も有する。黒鉛炭素は多層グラフェンと同様である。
[00139] MoSi多層ミラーの反射率は、約200〜300nmで最も高い。この範囲内で、DUVはウェーハに対して最大に(ほとんどEUVと同程度に)反射される。本明細書に記載される全てのEUVペリクルは、この波長範囲内の反射を著しく低減させる。これに対して、(ポリ)Si、SiC、及び(ポリ)Si+Ruベースのペリクルは、DUV反射及び透過に関して、もっと悪い選択肢である。SiNxベースのペリクルは、SiNxコアが充分に薄い場合(例えば13nm以下)、200nm超でより高い反射率を有し得る。
[00140] 図12は、Ruキャップを有するSi3N4ペリクル及びRuキャップを有するSiペリクルの応答の比較である(吸収対波長を示す図12を見ると、理論データ(破線)が実験結果(実線)と比較されている)。FTIRを用いた実験により、3%EUV損失を有する正確に2nmのRu層は、放射率を0.001から0.4に400倍上昇させ得ることが示された。従って、数nmのRu厚さの層は、SiNx又はSi膜の吸収/放射率を100倍超に上昇させ得る。Si3N4ペリクル(22nm)は、充分なEUV透過率を保証するためのSiペリクル(60nm)よりもはるかに薄かった。Si3N4ベースのペリクルは、約250nmで極めて低いDUV反射と良好なDUV透過を有することがわかった。1〜2nmのRuキャップ層を有するSi3N4ペリクルは、Si+Ruペリクルに比べてDUV放射の反射が著しく小さく、従って、Si3N4+Ruキャップ層に基づくペリクルでは、スルーペリクル248nmKRFマスク検査が可能となる。
[00141] Zr及びY又は黒鉛/非晶質炭素では、Ru又はその他の金属コーティングは、放射率向上のためには原則として必要ない。しかしながらそれらは、例えば酸化を防止するための保護キャップ層として使用され得る。また、Zr及びYの酸化を防止することができる他の任意の適切な(非金属)保護キャップ層も充分に機能する。Ru又はその他の金属キャップ層は、Si3N4及び(ポリ)Siの場合、IR放射率向上の目的のため好適に使用される。
[00142] 以下は、EUV(13.5nm)、IR、及びDUV放射の放射率、透過率、及び吸収の良好なバランスを有するいくつかのペリクルに対して行ったシミュレーションで得られたいくつかの特性である。
実施例1:Ruでコーティングした結晶イットリウムベースのペリクル
・最大で35nm厚さまで90%のEUV透過率を有する
・70%の193nm透過率を有する(193nm検査が可能である)
・Siより2〜5倍低いDUV反射を有する
・0.25に近い放射率を有する
実施例2:Ruでコーティングした多結晶イットリウム又はジルコニウムベースのペリクル
・最大で25nm厚さ(Zr)及び35nm厚さ(Y)まで90%のEUV透過率を有する
・10%の193nm透過率を有する(ZrペリクルでもYペリクルでも193nm検査は可能でない)
・40%の248nm透過率を有する(Zrの場合248nm検査は実行し得る)
・Siより2〜3倍低いDUV反射を有する
・0.25に近い放射率を有する
・ZrC及びZrNベースのペリクルはDUV反射を2分の1〜8分の1まで低減し得る
実施例3:RuでコーティングしたSi3N4(SiNx)ペリクル(10nmのSi3N4(SiNx)+2nmのRu)
・最大で10nm厚さ(2nmのRu)まで90%のEUV透過率を有する
・25%の193nm透過率を有する
・70%の248nm透過率を有する(248nm検査は実行し得る)
・200〜400nm範囲で最大で10分の1のDUV反射を有する
・0.5に近い放射率を有する
実施例4:非晶質及び黒鉛炭素(又は多層グラフェン)
・最大で16nm厚さまで90%のEUV透過率を有する
・60〜80%の157nm透過率を有する(157nm検査は可能である)
・40〜70%の193nm透過率を有する(193nm検査は可能である)
・2分の1〜10分の1のDUV反射率を有する
・放射率0.15〜0.4を有する
[00143] 図13は、本発明に従った別の実施形態を概略的に示す。これは、厚さDのギャップで分離されたEUV放射に透過性の2つの膜による膜アセンブリであり、デュアル膜又はデュアルEUVペリクルとも称する。このようなデュアルペリクルは、本明細書に例示したようなIR放射率向上のための材料のEUV膜を2つ以上含み、これらは例えば、IR放射の金属表皮厚さよりも薄い厚さを有する2つの金属層である。このようなデュアルEUVペリクルにおいて、それぞれの金属層は好ましくはEUV放射反射が存在しないように選択される。これは、大きな距離では相殺的干渉を容易に制御できないからである。
[00144] 図13は、IR放射率向上のための層が1〜2nmの層厚を有するRu又はMo層である実施形態を示す。任意選択として、デュアルペリクルのIR放射率向上層の各々をSi支持層のような別の支持層で支持して、より大きい機械的強度を与えてもよい。EUV膜は、1〜10ミクロンのギャップDで分離され、Dは好ましくは約1〜2ミクロンのギャップである。また、必要な厚さ範囲内で金属層と対応するギャップとを交互に含む多重膜も可能である。EUV膜(例えば厚さが金属表皮厚さよりも薄い金属層)の間に上述の厚さDのギャップを有する利点は、EUV膜間にIR共振モードを誘発することであり、これによって膜アセンブリのIR放射率は更に向上する。デュアルEUVペリクルの別の例は、2ミクロンのギャップで分離された2つの10nmのZrC又はZrBである。1つの20nmのZrCペリクルに比べると、放射率は0.45から0.7に上昇する(理論的限界の1に近い)。
[00145] 典型的に、(ポリ)シリコン又は別の半導体材料をドーピングすることで得られるペリクルの最適な放射率は、極めて薄い金属層でコーティングしたペリクルと同様である。双方の場合、最大で約10倍のIR放射率の向上が達成され得る。例えばドーピングの場合、得られる最大のスペクトル積分IR放射率は約0.4であることがわかった。これに対し、1nm厚さのRuキャップ層を適用する場合、得られる最大のスペクトル積分IR放射率は約0.5であることがわかった。
[00146] まとめると、本開示は、EUV膜性能を向上させ、従ってEUVペリクル及びSPFの性能を向上させるための、簡単かつロバストな例を与える。EUV膜の温度及びEUV膜における温度勾配は低減する。結果として、EUV膜の耐用年数及びEUV放射パワーに対する公差が改善される。更に、EUV放射強度を低下させる(製造システム性能を劣化させる)ことなく、高いEUV膜ロバスト性が達成される。
[00147] 本文ではICの製造におけるリソグラフィ装置の使用に特に言及しているが、本明細書で説明するリソグラフィ装置には他の用途もあることを理解されたい。例えば、これは、集積光学システム、磁気ドメインメモリ用誘導及び検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッドなどの製造である。こうした代替的な用途に照らして、本明細書で「ウェーハ」又は「ダイ」という用語を使用している場合、それぞれ、「基板」又は「ターゲット部分」という、より一般的な用語と同義と見なしてよいことが、当業者には認識される。本明細書に述べている基板は、露光前又は露光後に、例えばトラック(通常はレジストの層を基板に塗布し、露光したレジストを現像するツール)、メトロロジーツール及び/又はインスペクションツールで処理することができる。適宜、本明細書の開示は、以上及びその他の基板処理ツールに適用することができる。更に基板は、例えば多層ICを生成するために、複数回処理することができ、従って本明細書で使用する基板という用語は、既に複数の処理済み層を含む基板も指すことができる。
[00148] 「レンズ」という用語は、状況が許せば、屈折、反射、磁気、電磁気及び静電気光学コンポーネントを含む様々なタイプの光学コンポーネントのいずれか一つ、又はその組み合わせを指すことができる。
[00149] 以上、本発明の特定の実施形態を説明したが、説明とは異なる方法でも本発明を実践できることが理解される。上記の説明は例示的であり、限定的ではない。従って、請求の範囲から逸脱することなく、記載されたような本発明を変更できることが当業者には明白である。

Claims (74)

  1. EUV放射に対して透過性の膜であって、
    前記膜が高ドーパント濃度でドーピングされている1つ以上の高ドーピング領域と、
    前記膜がドーピングされていないか又は低ドーパント濃度を有する1つ以上の低ドーピング領域と、を備え、
    高ドーパント濃度が1017cm−3よりも高いドーパント濃度と規定され、低ドーパント濃度が1017cm−3未満のドーパント濃度と規定される、膜。
  2. 主基板と1つ以上の追加層とを含む複数の層を備え、
    前記主基板が低ドーパント濃度を有し、低ドーピング領域を形成し、
    前記追加層のいくつか又は全ての内部に前記高ドーピング領域が含まれる、請求項1に記載の膜。
  3. 前記追加層が前記膜をエッチング剤又は反応剤から保護するための1つ以上のカバー層を含み、前記カバー層内に前記ドーピング領域が含まれる、請求項2に記載の膜。
  4. 前記追加層が1つ以上のカバー層及び1つ以上の中間層を含み、これらがカバー層と前記主基板との間に中間層が配置されるように構成され、前記カバー層が前記膜をエッチング剤材料又は反応剤材料から保護するためのものであり、前記膜内の応力を低減するように前記中間層が前記主基板と前記カバー層との間の中間格子サイズを有し、
    前記カバー層及び/又は前記中間層内に前記高ドーピング領域が含まれる、請求項2に記載の膜。
  5. 前記主基板が、ポリシリコン材料から構成されている、請求項2から4の何れか一項に記載の膜。
  6. 前記膜又はその層が、中央領域と前記中央領域の周りの周辺領域とを含み、
    前記高ドーピング領域が前記中央領域を含み、前記低ドーピング領域が前記周辺領域を含む、請求項1から5のいずれかに記載の膜。
  7. 前記膜又はその層が、前記低ドーピング領域によって分離された複数の前記高ドーピング領域を含む、請求項1から6のいずれかに記載の膜。
  8. 隣接する高ドーピング領域間の分離が1μmから5μmの間である、請求項7に記載の膜。
  9. 前記ドーピング濃度に勾配が設けられ、前記膜又はその層の中央に近付くほど前記ドーピング濃度が増大する、請求項1から8のいずれかに記載の膜。
  10. 前記高ドーピング領域が1018cm−3よりも高いドーパント濃度でドーピングされている、請求項1から9のいずれかに記載の膜。
  11. 前記高ドーピング領域が1019cm−3よりも高いドーパント濃度でドーピングされている、請求項1から9のいずれかに記載の膜。
  12. 前記高ドーピング領域が1020cm−3よりも高いドーパント濃度でドーピングされている、請求項1から9のいずれかに記載の膜。
  13. 前記低ドーピング領域が1016cm−3よりも低いドーパント濃度でドーピングされている、請求項1から12のいずれかに記載の膜。
  14. 前記低ドーピング領域が1015cm−3よりも低いドーパント濃度でドーピングされている、請求項1から12のいずれかに記載の膜。
  15. 前記低ドーピング領域が1014cm−3よりも低いドーパント濃度でドーピングされている、請求項1から12のいずれかに記載の膜。
  16. 前記膜が100nm未満の厚さを有する、請求項1から15のいずれかに記載の膜。
  17. 横方向熱伝達を増大するように動作可能である、前記膜の一方又は双方の表面上の複数の追加フィーチャを備える、請求項1から16のいずれか一項に記載の膜。
  18. 前記追加フィーチャが前記膜表面から垂直に延出するリブ又はワイヤを含む、請求項17に記載の膜。
  19. 前記追加フィーチャ間の距離が1μm以下である、請求項17又は18に記載の膜。
  20. 前記追加フィーチャがエシェレット格子に似ているように構成されている、請求項17、18、又は19に記載の膜。
  21. 前記追加フィーチャが繰り返しグループのワイヤ又はリブを含み、各グループが、徐々に高さが低くなるか又は高くなるワイヤ/リブを含む、請求項20に記載の膜。
  22. 単一の層だけを含む、請求項6、7、又は8に記載の膜。
  23. 前記高ドーピング領域が、S、Te、As、O、Al、Sn、Sb、In、Ga、Br、Cl、I、C、B、及びNの1つ以上を含むドーパント材料でドーピングされている、請求項1から22のいずれかに記載の膜。
  24. 前記ドーパントがN型ドーピング向けに選択され、前記高ドーパント濃度が(2から3)x1020n/cmドナー原子を含む、請求項1から23のいずれかに記載の膜。
  25. 前記ドーパントがP型ドーピング向けに選択され、前記高ドーパント濃度が少なくとも4x1020n/cmアクセプタ原子である、請求項1から24のいずれかに記載の膜。
  26. 少なくとも0.1のIR放射の放射率を有し、EUV放射に対して実質的に透過性である、リソグラフィ装置のための膜であって、
    60nm以下の厚さのコア層であって、(ポリ)Si、Si3N4、SiC、ZrN、ZrB、ZrC、MoB2、MoC、RuB、LaB、LaC、TiB、TiC、(多)結晶イットリウム、(多)結晶Zr、Be、C、B、及びBCのリストから選択される、EUV放射に実質的に透明な材料を含む、コア層と、
    IR放射を吸収する材料を含み、20nm以下の層厚を有する、IR放射率向上のためのキャップ層と、
    を備える、膜。
  27. 前記膜が、キャップ層−コア層−キャップ層のサンドイッチ状構成を有する、請求項26に記載の膜。
  28. 前記膜が、コア層−キャップ層−コア層のサンドイッチ状構成を有する、請求項26に記載の膜。
  29. 1つ以上の他の中間層又はキャップ層を更に備える、請求項26から28のいずれか1項に記載の膜。
  30. 前記コア層が、(ポリ)Si、Si3N4、SiC、ZrN、ZrB、ZrC、MoB2、MoC、RuB、LaB、LaC、TiB、TiC、(多)結晶イットリウム、(多)結晶Zr、Be、C、B、及びBCの1つ以上の層を含む多層スタックである、請求項26から29のいずれか一項に記載の膜。
  31. 前記コア層材料が、金属と、その中に分散した非金属EUV透明不純物と、を含む複合材料である、請求項26から29のいずれか一項に記載の膜。
  32. IR放射率向上のための前記キャップ層が、金属層である、請求項26から31のいずれか一項に記載の膜。
  33. 金属キャップ層が、IR放射における前記金属の表皮厚さ未満の厚さを有する、請求項32に記載の膜。
  34. 金属キャップ層が、D=nλ/2の厚さを有し、nが、3以上の整数であり、λが、リソグラフィ露光に用いられる前記EUV放射の波長である、請求項33に記載の膜。
  35. 前記EUV膜が、コア層によって分離されたIR放射率向上のための2つの金属キャップ層を含み、
    前記キャップ及びコア層が、前記2つの金属キャップ層でEUV放射の相殺的干渉が発生することにより結果としてEUV反射がゼロになるように構成されている、請求項26に記載の膜。
  36. 各金属キャップ層がRu又はMoの2nm厚さの層を含み、前記コア層が、8.4nm、15.1nm、21.9nm、28.6nm、35.4nm、41.5nm、48.7nm、及び55.7nmから選択される厚さの(ポリ)シリコン層を含む、請求項35に記載の膜。
  37. IR放射における前記金属の前記表皮厚さが約10nmである、請求項33から36のいずれか1項に記載の膜。
  38. IR放射率向上のための前記キャップ層の前記材料が、Ru、Ti、Nd、Pr、Mo、Nb、La、Zr、B、Y、及びBeから選択される金属を含み、前記キャップ層が前記コア層とは異なる材料のものである、請求項26から37のいずれか1項に記載の膜。
  39. IR放射率向上のための前記キャップ層の前記材料が、B4C、SiNx、ZrO2、又はMoSi2を含み、前記コア層とは異なる材料のものである、請求項26から37のいずれか1項に記載の膜。
  40. IR放射率向上のための前記キャップ層の材料が、ZrSi2又はNbSi2等、前記コア層とは異なるシリサイドである、請求項26から37のいずれか1項に記載の膜。
  41. 前記コア層が(ポリ)Siを含み、IR放射率向上のための前記キャップ層が厚さ5nm以下のMo又はRu層である、請求項28から40のいずれか1項に記載の膜。
  42. 前記コア層が、(ポリ)Siを含み、
    IR放射率向上のための前記キャップ層が、Ti、Nd、Pr、Nb、La、Zr、B、Y、Be、ZrO2、MoSi2、ZrSi2、及びNbSi2の少なくとも1つを含む、請求項26から37のいずれか一項に記載の膜。
  43. 前記コア層がB、B4C、又はBeを含み、25nm以下の厚さを有する、請求項26から40のいずれか1項に記載の膜。
  44. IR放射率向上のための前記キャップ層が1〜10nmの厚さの金属層である、請求項43に記載の膜。
  45. 前記コア層が最大で20対のB又はB4C及びグラフェンを含む多層コアであり、層厚の比が10nmのB又はB4C/3nmのグラフェンである、請求項26に記載の膜。
  46. 前記コア層が最大で20対のSiNx及びグラフェンを含む多層コアであり、層厚の比が10nmのSiNx/2nmのグラフェンである、請求項26に記載の膜。
  47. 前記コア層が厚さ5〜15nmのB又はB4C層であり、IR放射率向上のための前記キャップ層が1〜3nmの厚さの(多)結晶Y、Ru、又はMo層である、請求項43又は44に記載の膜。
  48. 前記コア層が16nm以下の厚さを有し、炭素ベースの材料を含む、請求項26から40のいずれか1項に記載の膜。
  49. 前記炭素ベースの材料が結晶炭素、非晶質炭素、又は黒鉛炭素の層である、請求項48に記載の膜。
  50. 前記キャップ層が、Be、La、Te、Ti、Pr、Rh、Eu、In、Ru、V、Pd、Al、Mo、Zr、Nb、及びAgから選択される材料を含む、請求項48又は49に記載の膜。
  51. 前記コア層がシリコン窒化物を含み、15nm以下の厚さを有する、請求項26から40のいずれか1項に記載の膜。
  52. IR放射率向上のための前記キャップ層が厚さ3nm以下のRu又はMo層である、請求項51に記載の膜。
  53. 前記コア層が(多)結晶イットリウムを含み、50nm以下、好ましくは35nm以下の厚さを有する、請求項26から40のいずれか1項に記載の膜。
  54. 前記コア層が多結晶Zrを含み、25nm以下の厚さを有する、請求項26から40のいずれか1項に記載の膜。
  55. IR放射率向上のための前記キャップ層がRu層である、請求項53又は54に記載の膜。
  56. IR放射率向上のための前記キャップ層が、以下の材料すなわちZr、Ti、Hf、Si、Rh、及びRuの酸化物、炭化物、又は窒化物から選択された、酸化及び/又はエッチングに対して保護する材料の保護キャップ層によって保護される、請求項26から55のいずれか1項に記載の膜。
  57. 前記保護キャップ層が1から3nmの厚さを有する、請求項56に記載の膜。
  58. 前記膜が13.5nmの波長を有するEUVに対して透過性であり、前記コア層がZrB2、ZrC、MoB2、MoC、RuB2、又はSiCの少なくとも1つを含む、請求項26から40のいずれか1項に記載の膜。
  59. 前記膜が6.7nmの波長を有するEUVに対して透過性であり、前記コア層がZrB2、ZrC、LaB2、LaC、TiB2、TiC、MoB2、又はMoCの少なくとも1つを含む、請求項26から40のいずれか1項に記載の膜。
  60. 前記膜が4.37nmの波長を有するEUVに対して透過性であり、前記コア層がTiCを含む、請求項26から40のいずれか1項に記載の膜。
  61. 少なくとも0.1のIR放射の放射率を有し、6.7nm波長のEUV放射に対して実質的に透過性である、リソグラフィ装置のための膜であって、ホウ素を含む材料のコア層を含み、前記コア層が20から150nmの厚さを有する、膜。
  62. 少なくとも0.1のIR放射の放射率を有し、EUV放射に対して実質的に透過性である、リソグラフィ装置のための膜であって、
    Ruを含む材料のコア層を含み、前記コア層が20から30nmの厚さを有する、膜。
  63. 少なくとも0.1のIR放射の放射率を有し、EUV放射に対して実質的に透過性である、リソグラフィ装置のための膜アセンブリであって、
    IR放射率向上のための少なくとも2つの金属キャップ層を含み、
    前記金属キャップ層が、IR放射を吸収し20nm以下の層厚を有する金属を含み、
    IR放射率向上のための前記金属キャップ層が、10ミクロン以下の厚さのギャップによって分離されている、膜アセンブリ。
  64. 前記金属キャップ層が各々、更に機械的強度を与える支持層によって支持されている、請求項63に記載の膜アセンブリ。
  65. リソグラフィマスクと、前記マスクに結合されたフレームと、を備えるマスクアセンブリであって、
    前記フレームが、請求項1から64のいずれか一項に記載の膜又は膜アセンブリを支持するように構成されている、マスクアセンブリ。
  66. 請求項1から64のいずれか一項に記載の1つ以上の膜又は膜アセンブリを含む、リソグラフィ装置。
  67. 前記膜の少なくとも1つが、汚染からコンポーネントを保護するペリクルとして動作する、請求項66に記載のリソグラフィ装置。
  68. パターニングデバイスを支持するように構成された支持体を更に備え、前記パターニングデバイスが、放射ビームの断面にパターンを付与してパターン付与された放射ビームを形成することができ、前記膜の少なくとも1つが、汚染から前記パターニングデバイスを保護するペリクルとして動作する、請求項67に記載のリソグラフィ装置。
  69. パターン付与された放射ビームをウェーハに投影するように動作可能な投影システムを更に備え、前記膜の少なくとも1つが、汚染から前記投影システム内の光学コンポーネントを保護するペリクルとして動作する、請求項67又は68に記載のリソグラフィ装置。
  70. 前記膜の少なくとも1つが、放射の不要な波長を阻止するためのスペクトルフィルタ膜として動作する、請求項66から69のいずれかに記載のリソグラフィ装置。
  71. 前記スペクトルフィルタ膜が、前記リソグラフィ装置のスキャン方向においてある角度に配置されて、前記膜によって反射される放射が前記投影システム内に戻らないようになっている、請求項70に記載のリソグラフィ装置。
  72. 放射の不要な波長を阻止するための前記スペクトルフィルタ膜が、IR放射の表皮厚さ未満であって5nmよりも大きい厚さを有する金属層を含む、請求項70又は71のいずれか1項に記載のリソグラフィ装置。
  73. 前記スペクトルフィルタ膜が、Ru、Mo、La、Rh、Be、Y、Zr、Ce、Nb、及びPrから選択される、EUV放射に対して実質的に透明な金属を含む、請求項72に記載のリソグラフィ装置。
  74. 前記スペクトルフィルタ膜が、(ポリ)Siコア層と、5.5から10nmの厚さを有するRu又はMoキャップ層と、を含む、請求項73に記載のリソグラフィ装置。
JP2019161191A 2014-07-04 2019-09-04 リソグラフィ装置内で用いられる膜及びそのような膜を含むリソグラフィ装置 Active JP6858817B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP14175835.9 2014-07-04
EP14175835 2014-07-04
EP15169657.2 2015-05-28
EP15169657 2015-05-28

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016572809A Division JP6741600B2 (ja) 2014-07-04 2015-07-02 リソグラフィ装置内で用いられる膜及びそのような膜を含むリソグラフィ装置

Publications (2)

Publication Number Publication Date
JP2019204132A true JP2019204132A (ja) 2019-11-28
JP6858817B2 JP6858817B2 (ja) 2021-04-14

Family

ID=53540734

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016572809A Active JP6741600B2 (ja) 2014-07-04 2015-07-02 リソグラフィ装置内で用いられる膜及びそのような膜を含むリソグラフィ装置
JP2019161191A Active JP6858817B2 (ja) 2014-07-04 2019-09-04 リソグラフィ装置内で用いられる膜及びそのような膜を含むリソグラフィ装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2016572809A Active JP6741600B2 (ja) 2014-07-04 2015-07-02 リソグラフィ装置内で用いられる膜及びそのような膜を含むリソグラフィ装置

Country Status (8)

Country Link
US (2) US10228615B2 (ja)
EP (1) EP3164764B1 (ja)
JP (2) JP6741600B2 (ja)
KR (5) KR102604554B1 (ja)
CN (3) CN106489084B (ja)
CA (3) CA3165048A1 (ja)
TW (4) TWI715104B (ja)
WO (1) WO2016001351A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023027051A1 (ja) * 2021-08-25 2023-03-02 信越化学工業株式会社 高速で気圧調整が可能な露光用ペリクル

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170146902A1 (en) * 2014-01-27 2017-05-25 Luxel Corporation Monolithic euv transparent membrane and support mesh and method of manufacturing same
CN106489084B (zh) * 2014-07-04 2020-10-30 Asml荷兰有限公司 用于光刻设备内的膜和包括这种膜的光刻设备
CN113777894A (zh) 2015-07-17 2021-12-10 Asml荷兰有限公司 用于euv光刻的隔膜组件和用于制造隔膜组件的方法
JP2018527612A (ja) * 2015-08-25 2018-09-20 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置のための抑制フィルタ、放射コレクタ及び放射源、並びに抑制フィルタの少なくとも2つの反射面レベル間の分離距離を決定する方法
DE102015216443A1 (de) * 2015-08-27 2017-03-02 Carl Zeiss Smt Gmbh Anordnung einer Vorrichtung zum Schutz eines in einer Objektebene anzuordnenden Retikels gegen Verschmutzung
CA3008474A1 (en) * 2015-12-14 2017-06-22 Asml Netherlands B.V. A membrane for euv lithography
NL2017912B1 (en) 2015-12-14 2018-01-11 Asml Netherlands Bv A membrane assembly
US9759997B2 (en) * 2015-12-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and method for advanced lithography
KR102186010B1 (ko) * 2016-01-26 2020-12-04 한양대학교 산학협력단 Euv 펠리클 구조체, 및 그 제조 방법
KR102408195B1 (ko) * 2016-04-25 2022-06-13 에이에스엠엘 네델란즈 비.브이. Euv 리소그래피를 위한 멤브레인
US10001701B1 (en) * 2016-12-15 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle structures and methods of fabricating thereof
JP6518801B2 (ja) 2017-03-10 2019-05-22 エスアンドエス テック カンパニー リミテッド 極紫外線リソグラフィ用ペリクル及びその製造方法
US10048199B1 (en) * 2017-03-20 2018-08-14 Asml Netherlands B.V. Metrology system for an extreme ultraviolet light source
KR102310124B1 (ko) * 2017-03-28 2021-10-08 삼성전자주식회사 극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법
KR102264378B1 (ko) * 2017-06-13 2021-06-15 삼성디스플레이 주식회사 표시 장치
CA3066546A1 (en) * 2017-06-15 2018-12-20 Asml Netherlands B.V. Pellicle and pellicle assembly
CN110945432B (zh) * 2017-07-21 2022-12-27 Asml荷兰有限公司 光学隔膜
CN111868570B (zh) * 2017-08-08 2023-04-25 贾斯瓦尔·苏普里亚 在光刻与应用中使用极端紫外线辐射的材料、元件及方法
US10880981B2 (en) * 2017-09-29 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Collector pellicle
JP2019070745A (ja) * 2017-10-10 2019-05-09 信越化学工業株式会社 ペリクルフレーム及びペリクル
KR20200077527A (ko) * 2017-11-06 2020-06-30 에이에스엠엘 네델란즈 비.브이. 응력 감소를 위한 금속 실리사이드 질화
KR102574161B1 (ko) * 2018-02-06 2023-09-06 삼성전자주식회사 펠리클 및 이를 포함하는 레티클
EP3533900A1 (en) * 2018-03-02 2019-09-04 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Method and apparatus for forming a patterned layer of carbon
KR102209853B1 (ko) * 2018-03-08 2021-02-02 주식회사 나노프레임 반도체 제조용 막 및 이에 대한 제조 방법
KR102100029B1 (ko) * 2018-03-28 2020-04-10 한양대학교 에리카산학협력단 펠리클 구조체 및 이를 이용한 리소그래피용 마스크의 결함 검사 방법
WO2019186921A1 (ja) 2018-03-29 2019-10-03 ギガフォトン株式会社 極端紫外光生成装置及び電子デバイスの製造方法
NL2021848A (en) * 2018-04-09 2018-11-06 Stichting Vu Holographic metrology apparatus.
US11143951B2 (en) * 2018-04-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
CN112384863A (zh) * 2018-07-05 2021-02-19 Asml荷兰有限公司 光刻装置和冷却装置
EP3594665A1 (en) * 2018-07-13 2020-01-15 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Optical inspection device and method
US11016383B2 (en) * 2018-08-31 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
CN113302554A (zh) * 2018-10-17 2021-08-24 阿斯特鲁公司 具有含非反射区的反射层的光掩模
US11703762B2 (en) * 2018-10-31 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of reducing undesired light influence in extreme ultraviolet exposure
NL2024461B1 (en) * 2018-12-20 2021-08-02 Asml Netherlands Bv Method of manufacturing a membrane assembly
US11835255B2 (en) 2018-12-27 2023-12-05 SkyCool Systems, Inc. Cooling panel system
EP3674797B1 (en) 2018-12-28 2021-05-05 IMEC vzw An euvl scanner
US11359841B2 (en) 2019-04-17 2022-06-14 SkyCool Systems, Inc. Radiative cooling systems
KR20210004544A (ko) 2019-07-05 2021-01-13 삼성전자주식회사 극자외선 리소그래피 장치
KR102242356B1 (ko) * 2019-08-05 2021-04-20 주식회사 에프에스티 일체화된 프레임과 멤브레인을 포함하는 펠리클, 그 제조방법, 펠리클을 포함하는 노광장치 및 펠리클의 제조장치
KR102242341B1 (ko) * 2019-08-05 2021-04-20 주식회사 에프에스티 펠리클 프레임의 제조방법 및 펠리클의 제조장치
KR102301568B1 (ko) * 2019-09-10 2021-09-14 주식회사 에프에스티 탄화규소 층을 포함하는 극자외선용 펠리클의 제조방법
KR102273266B1 (ko) * 2019-10-23 2021-07-06 주식회사 에프에스티 일체화된 프레임과 멤브레인을 포함하는 펠리클의 제조방법
KR102285721B1 (ko) * 2019-12-05 2021-08-05 주식회사 에스앤에스텍 극자외선 파장 간격으로 주기적 형상화된 금속 박막을 구비하는 극자외선 리소그래피용 펠리클 및 그 제조방법
NL2027098B1 (en) * 2020-01-16 2021-10-14 Asml Netherlands Bv Pellicle membrane for a lithographic apparatus
US11657323B2 (en) 2020-03-10 2023-05-23 International Business Machines Corporation Machine learning model accuracy fairness
WO2021210432A1 (ja) * 2020-04-17 2021-10-21 三井化学株式会社 露光用ペリクル膜、ペリクル、露光原版、露光装置及び露光用ペリクル膜の製造方法
KR20220017137A (ko) * 2020-08-04 2022-02-11 주식회사 에스앤에스텍 극자외선 리소그래피용 펠리클 및 그 제조방법
KR102440483B1 (ko) * 2020-08-04 2022-09-07 주식회사 에스앤에스텍 2층 구조의 중심층을 구비한 극자외선 리소그래피용 펠리클
KR20220062799A (ko) * 2020-11-09 2022-05-17 한국전자기술연구원 극자외선 노광용 펠리클
KR102375433B1 (ko) * 2020-12-02 2022-03-18 한국전자기술연구원 3성분계의 코어층을 포함하는 극자외선 노광용 펠리클
KR102278843B1 (ko) * 2020-12-15 2021-07-20 한국전자기술연구원 다성분계의 코어층을 포함하는 극자외선 노광용 펠리클
KR20220141378A (ko) * 2021-04-12 2022-10-20 한국전자기술연구원 이트륨계 기반의 극자외선 노광용 펠리클
KR20230058044A (ko) 2021-10-20 2023-05-02 엔지케이 인슐레이터 엘티디 Euv 투과막
WO2023066685A1 (en) * 2021-10-22 2023-04-27 Asml Netherlands B.V. Pellicle membrane for a lithographic apparatus
EP4231097A1 (en) * 2022-02-22 2023-08-23 ASML Netherlands B.V. Inspection tool and barrier for use therein
WO2023160896A1 (en) * 2022-02-24 2023-08-31 Universiteit Twente Pellicles and membranes for use in a lithographic apparatus
EP4318128A1 (en) * 2022-07-28 2024-02-07 S&S Tech Co., Ltd. Pellicle for euv lithography
EP4365676A1 (en) * 2022-09-15 2024-05-08 NGK Insulators, Ltd. Euv-transmissive film, method for using same, and exposure method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005043895A (ja) * 2003-07-25 2005-02-17 Asml Netherlands Bv フィルタ・ウィンドウ、リソグラフ投影装置、フィルタ・ウィンドウの製造方法、デバイスの製造方法、及びそれらによって製造されたデバイス
JP2011530818A (ja) * 2008-08-14 2011-12-22 エーエスエムエル ネザーランズ ビー.ブイ. 放射源、リソグラフィ装置およびデバイス製造方法
WO2013152921A1 (en) * 2012-04-12 2013-10-17 Asml Netherlands B.V. Pellicle, reticle assembly and lithographic apparatus
WO2014188710A1 (ja) * 2013-05-24 2014-11-27 三井化学株式会社 ペリクル、及びこれらを含むeuv露光装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5110373A (en) 1988-09-13 1992-05-05 Nanostructures, Inc. Silicon membrane with controlled stress
US5051326A (en) 1989-05-26 1991-09-24 At&T Bell Laboratories X-Ray lithography mask and devices made therewith
US6300017B1 (en) 1998-08-20 2001-10-09 Micron Technology, Inc. Stencil masks and methods of manufacturing stencil masks
US6316150B1 (en) 1998-08-24 2001-11-13 Euv Llc Low thermal distortion extreme-UV lithography reticle
KR20030076238A (ko) 2001-04-17 2003-09-26 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 극자외선 투과 계면 구조체 및 극자외선 리소그래피 투사장치
US7232631B2 (en) * 2003-05-08 2007-06-19 Dai Nippon Prinitng Co., Ltd. Mask for charged particle beam exposure, and method of forming the same
JP4928494B2 (ja) 2008-05-02 2012-05-09 信越化学工業株式会社 ペリクルおよびペリクルの製造方法
US9195144B2 (en) * 2008-07-11 2015-11-24 Asml Netherlands B.V. Spectral purity filter, radiation source, lithographic apparatus, and device manufacturing method
EP2329323A1 (en) 2008-09-26 2011-06-08 ASML Netherlands BV Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
KR101694283B1 (ko) * 2009-02-13 2017-01-09 에이에스엠엘 네델란즈 비.브이. 다층 거울 및 리소그래피 장치
JP4907696B2 (ja) 2009-06-09 2012-04-04 株式会社沖データ 画像形成装置及びネットワークシステム
WO2011000622A1 (en) * 2009-06-30 2011-01-06 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
NL2004787A (en) * 2009-06-30 2011-01-04 Asml Netherlands Bv Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter.
NL2005460A (en) 2009-11-20 2011-05-23 Asml Netherlands Bv Multilayer mirror, lithographic apparatus, and methods for manufacturing a multilayer mirror and a product.
EP2534537B1 (en) * 2010-02-12 2016-05-04 ASML Netherlands B.V. Spectral purity filter
CN102859444B (zh) * 2010-04-27 2015-04-08 Asml荷兰有限公司 光谱纯度滤光片
CN105700300B (zh) 2010-06-25 2019-06-18 Asml荷兰有限公司 光谱纯度滤光片
EP2681625A1 (en) * 2011-03-04 2014-01-08 ASML Netherlands BV Lithograpic apparatus, spectral purity filter and device manufacturing method
US8778448B2 (en) 2011-07-21 2014-07-15 International Business Machines Corporation Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
JP5867046B2 (ja) 2011-12-12 2016-02-24 富士通株式会社 極紫外露光マスク用防塵装置及び極紫外露光装置
WO2013174656A2 (en) * 2012-05-21 2013-11-28 Asml Netherlands B.V. Lithographic apparatus
US9606445B2 (en) * 2012-08-03 2017-03-28 Asml Netherlands B.V. Lithographic apparatus and method of manufacturing a device
CN106489084B (zh) * 2014-07-04 2020-10-30 Asml荷兰有限公司 用于光刻设备内的膜和包括这种膜的光刻设备

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005043895A (ja) * 2003-07-25 2005-02-17 Asml Netherlands Bv フィルタ・ウィンドウ、リソグラフ投影装置、フィルタ・ウィンドウの製造方法、デバイスの製造方法、及びそれらによって製造されたデバイス
JP2011530818A (ja) * 2008-08-14 2011-12-22 エーエスエムエル ネザーランズ ビー.ブイ. 放射源、リソグラフィ装置およびデバイス製造方法
WO2013152921A1 (en) * 2012-04-12 2013-10-17 Asml Netherlands B.V. Pellicle, reticle assembly and lithographic apparatus
WO2014188710A1 (ja) * 2013-05-24 2014-11-27 三井化学株式会社 ペリクル、及びこれらを含むeuv露光装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023027051A1 (ja) * 2021-08-25 2023-03-02 信越化学工業株式会社 高速で気圧調整が可能な露光用ペリクル

Also Published As

Publication number Publication date
KR102438464B1 (ko) 2022-09-01
CN106489084B (zh) 2020-10-30
TW202248768A (zh) 2022-12-16
CN110501769B (zh) 2021-11-23
CN114035254A (zh) 2022-02-11
TWI667546B (zh) 2019-08-01
TW201606439A (zh) 2016-02-16
KR102560643B1 (ko) 2023-07-27
KR20220123482A (ko) 2022-09-06
TW202111437A (zh) 2021-03-16
KR102604554B1 (ko) 2023-11-22
TWI777310B (zh) 2022-09-11
TW201940980A (zh) 2019-10-16
KR20230116956A (ko) 2023-08-04
JP6741600B2 (ja) 2020-08-19
CN110501769A (zh) 2019-11-26
US20170205704A1 (en) 2017-07-20
CA2954307A1 (en) 2016-01-07
WO2016001351A1 (en) 2016-01-07
CA3165048A1 (en) 2016-01-07
EP3164764A1 (en) 2017-05-10
CN106489084A (zh) 2017-03-08
JP2017522590A (ja) 2017-08-10
TWI715104B (zh) 2021-01-01
US10698312B2 (en) 2020-06-30
JP6858817B2 (ja) 2021-04-14
CA3165053A1 (en) 2016-01-07
KR20230160962A (ko) 2023-11-24
KR20170029556A (ko) 2017-03-15
EP3164764B1 (en) 2021-02-24
US20190146332A1 (en) 2019-05-16
US10228615B2 (en) 2019-03-12
KR102650131B1 (ko) 2024-03-21
KR20240038172A (ko) 2024-03-22
TWI825930B (zh) 2023-12-11
CA2954307C (en) 2022-08-30

Similar Documents

Publication Publication Date Title
JP6858817B2 (ja) リソグラフィ装置内で用いられる膜及びそのような膜を含むリソグラフィ装置
TWI737658B (zh) 用於極紫外線微影之薄膜、圖案化器件總成及動態氣鎖總成
WO2013152921A1 (en) Pellicle, reticle assembly and lithographic apparatus
KR20130105292A (ko) 스펙트럼 퓨리티 필터
NL2008391A (en) Radiation source-collector and lithographic apparatus.
KR101797052B1 (ko) 스펙트럼 퓨리티 필터
TWI585542B (zh) 微影裝置、感測器及方法
TW202411767A (zh) 用於一微影設備內之膜及包括此膜之微影設備
NL2013557A (en) Membranes for use within a lithographic apparatus and a lithographic apparatus comprising such a membrane.

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190905

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190905

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200624

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200714

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201014

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210203

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210301

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210324

R150 Certificate of patent or registration of utility model

Ref document number: 6858817

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250