KR102310124B1 - 극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법 - Google Patents

극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법 Download PDF

Info

Publication number
KR102310124B1
KR102310124B1 KR1020170039301A KR20170039301A KR102310124B1 KR 102310124 B1 KR102310124 B1 KR 102310124B1 KR 1020170039301 A KR1020170039301 A KR 1020170039301A KR 20170039301 A KR20170039301 A KR 20170039301A KR 102310124 B1 KR102310124 B1 KR 102310124B1
Authority
KR
South Korea
Prior art keywords
layer
carbon
pellicle
boron
based main
Prior art date
Application number
KR1020170039301A
Other languages
English (en)
Other versions
KR20180109498A (ko
Inventor
전환철
김문자
권성원
김희범
정창영
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170039301A priority Critical patent/KR102310124B1/ko
Priority to US15/627,916 priority patent/US10437143B2/en
Priority to CN201810264409.2A priority patent/CN108663898B/zh
Publication of KR20180109498A publication Critical patent/KR20180109498A/ko
Application granted granted Critical
Publication of KR102310124B1 publication Critical patent/KR102310124B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G03F1/64Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2008Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the reflectors, diffusers, light or heat filtering means or anti-reflective means used

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

본 발명은 상기 첫 번째 기술적 수단을 이루기 위하여, 펠리클 멤브레인; 및 상기 펠리클 멤브레인에 부착된 프레임을 포함하고, 상기 펠리클 멤브레인은 대향하는 두 표면인 제 1 표면 및 제 2 표면을 갖는 탄소계 메인층; 및 상기 제 1 표면 및 제 2 표면 중 적어도 한 표면을 피복하는 붕소계 강화층을 포함하는 극자외선(extreme ultraviolet light, EUVL) 노광용 펠리클을 제공한다. 본 발명의 펠리클은 극자외선 노광 환경에서도 장기간 사용 가능한 효과가 있다.

Description

극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법 {Pellicle for exposure to extreme ultraviolet light, photomask assembly and method of manufacturing the pellicle}
본 발명은 극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법에 관한 것으로서, 더욱 구체적으로는 극자외선 노광 환경에서도 장기간 사용 가능한 극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법에 관한 것이다.
펠리클은 외부 파티클로부터 포토마스크의 표면을 보호하기 위해 소정 간격을 두고 포토마스크 상에 배치되며, 리소그래피를 위한 노광 환경에서 일정 시간 이상 변형이나 파손 없이 유지되어야 한다. 노광 환경에서 펠리클이 노출되는 수소 플라스마에 대하여 장시간 견딜 수 있는 펠리클에 대한 필요가 있다.
본 발명이 이루고자 하는 첫 번째 기술적 과제는 극자외선 노광 환경에서도 장기간 사용 가능한 극자외선 노광용 펠리클을 제공하는 것이다.
본 발명이 이루고자 하는 두 번째 기술적 과제는 극자외선 노광 환경에서도 장기간 사용 가능한 극자외선 노광용 펠리클의 제조 방법을 제공하는 것이다.
본 발명이 이루고자 하는 세 번째 기술적 과제는 극자외선 노광 환경에서도 장기간 사용 가능한 극자외선 노광용 포토마스크 조립체를 제공하는 것이다.
본 발명은 상기 첫 번째 기술적 수단을 이루기 위하여, 펠리클 멤브레인; 및 상기 펠리클 멤브레인에 부착된 프레임을 포함하고, 상기 펠리클 멤브레인은 반대되는 두 표면인 제 1 표면 및 제 2 표면을 갖는 탄소계 메인층; 및 상기 제 1 표면 및 제 2 표면 중 적어도 한 표면을 피복하는 붕소계 강화층을 포함하는 극자외선(extreme ultraviolet light, EUVL) 노광용 펠리클을 제공한다.
본 발명의 다른 태양은 펠리클 멤브레인; 및 상기 펠리클 멤브레인에 부착된 프레임을 포함하는 극자외선 노광용 펠리클을 제공한다. 여기서, 상기 펠리클 멤브레인은 반대되는 두 표면인 제 1 표면 및 제 2 표면을 갖는 탄소계 메인층; 및 상기 제 1 표면 및 제 2 표면 중 적어도 한 표면을 피복하는 수소 플라스마 내성 화학적 강화층을 포함한다. 또한, 상기 펠리클에서, 상기 탄소계 메인층으로부터 유래한 성분과 상기 화학적 강화층으로부터 유래한 성분이 공존하는 인터믹싱층이 상기 탄소계 메인층과 상기 화학적 강화층의 계면에 걸쳐 존재한다.
본 발명은 상기 두 번째 기술적 수단을 이루기 위하여, 지지 기판 상에 촉매층을 형성하는 단계; 상기 촉매층 상에 붕소계 물질층을 형성하는 단계; 상기 붕소계 물질층 상에 탄소계 메인층을 형성하는 단계; 및 상기 지지 기판 및 촉매층을 제거하는 단계를 포함하는 극자외선 노광용 펠리클의 제조 방법을 제공한다.
본 발명은 상기 세 번째 기술적 수단을 이루기 위하여, 펠리클 멤브레인 및 상기 펠리클 멤브레인에 부착된 프레임을 포함하는 펠리클; 및 상기 펠리클이 고정된 표면을 가지는 포토마스크를 포함하고, 상기 펠리클 멤브레인은 반대되는 두 표면인 제 1 표면 및 제 2 표면을 갖는 탄소계 메인층; 및 상기 제 1 표면 및 제 2 표면 중 적어도 한 표면을 피복하는 붕소계 강화층을 포함하는 극자외선 노광용 포토마스크 조립체를 제공한다.
본 발명의 펠리클은 극자외선 노광 환경에서도 장기간 사용 가능한 효과가 있다.
도 1은 본 발명의 기술적 사상에 의한 실시예들에 따른 펠리클을 설명하기 위한 단면도이다.
도 2는 도 1의 II로 표시된 부분을 확대하여 각 층의 농도 프로파일을 나타낸 개략도이다.
도 3은 본 발명의 다른 실시예에 따른 펠리클을 설명하기 위한 단면도이다.
도 4는 도 3의 IV로 표시된 부분을 확대하여 각 층의 농도 프로파일을 나타낸 개략도이다.
도 5는 본 발명의 다른 실시예에 따른 펠리클을 설명하기 위한 단면도이다.
도 6은 도 5의 VI로 표시된 부분을 확대하여 각 층의 농도 프로파일을 나타낸 개략도이다.
도 7a 및 도 7b는 각각 본 발명의 또 다른 실시예에 따른 펠리클들을 설명하기 위한 단면도이다.
도 8은 본 발명의 일 실시예에 따른 펠리클의 제조 방법을 나타낸 흐름도이다.
도 9a 내지 도 9e는 본 발명의 일 실시예에 따른 펠리클의 제조 방법을 순서에 따라 나타낸 측면도들이다.
도 10a는 기판 상에 그라파이트 층을 형성하고 수소 플라스마 처리를 수행하기 전과 후에 대하여 투과 전자 현미경(transmission electron microscope, TEM) 분석 및 라만 분광 분석을 수행한 결과를 나타낸 이미지들이다.
도 10b는 그라파이트 위에 B4C의 층을 형성하고 수소 플라스마 처리를 수행하기 전과 후에 대하여 TEM 분석 및 라만 분광 분석을 수행하고 그 결과를 나타낸 이미지들이다.
도 11은 본 발명의 기술적 사상에 의한 펠리클과 결합 가능한 예시적인 포토마스크의 개략적인 구조를 도시한 평면도이다.
도 12는 본 발명의 기술적 사상에 의한 실시예에 따른 포토마스크 조립체를 예시한 단면도이다.
도 13은 본 발명의 기술적 사상에 의한 다른 실시예에 따른 포토마스크 조립체를 예시한 단면도이다.
도 14는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자 제조 장치의 개략적인 구성을 도시한 단면도이다.
도 15는 본 발명의 일 실시예에 의한 펠리클이 부착된 레티클을 이용하여 반사형 포토리소그래피 공정을 수행하는 것을 개념적으로 도시한 도면이다.
도 16은 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 플로차트이다.
극자외선 (extreme ultraviolet, EUV) 광 또는 전자선 (Electron Beam)을 이용한 포토리소그래피 기술을 양산 단계에 적용하기 위하여 해결해야 할 문제들 중 하나로서, 포토리소그래피 환경에서 열 및 수소 플라스마로 인해 펠리클에 가해지는 손상을 줄이는 것이다. 포토리소그래피 공정 중에 가해지는 열이 적절히 제거되지 않으면 누적적으로 축적되는 열로 인해 열 응력이 발생하게 되고 이는 펠리클 손상의 원인이 될 수 있다. 또한 포토리소그래피 과정에서 펠리클은 수소 플라스마에 노출될 수 있는데, 펠리클 표면의 결정학적 결함들은 수소 플라스마에 의한 손상이 시작되고 발전되는 시작점이 될 수 있다. 이와 같은 펠리클의 손상은 펠리클의 수명 단축을 가져오게 된다. 따라서 보다 용이하게 열을 방출할 수 있고 표면이 수소 플라스마에 내성을 갖는 펠리클이 요구된다.
본 발명의 기술적 사상에 의한 실시예들에서는 노광 공정시 포토마스크를 외부의 결함 요소로부터 보호하여 이물질로 인한 피해를 막을 수 있는 펠리클(pellicle)을 제공한다. 특히, 펠리클 멤브레인이 원활하게 열을 방출할 수 있고 극자외선 광을 이용하는 노광 환경에서 수소 플라스마에 대한 화학적 내성을 가짐으로써 화학적 변형(chemical deformation)을 최소화할 수 있고 일정 수준 이상으로 수명이 연장된 펠리클이 제공된다. 또한 이종막들이 적층된 펠리클 멤브레인에 있어서 이종막 상호간의 접착력을 크게 개선함으로써 펠리클의 수명이 더욱 연장될 수 있다.
도 1은 본 발명의 기술적 사상에 의한 실시예들에 따른 펠리클(100)을 설명하기 위한 단면도이다.
도 1을 참조하면, 펠리클(100)은 펠리클 멤브레인(110)과, 상기 펠리클 멤브레인(110)에 부착되어 상기 펠리클 멤브레인(110)을 지지하는 프레임(150)을 포함한다.
상기 프레임(150)은 접착층(160)에 의해 상기 펠리클 멤브레인(110)에 접착될 수 있다. 상기 펠리클 멤브레인(110)은 상기 프레임(150) 상에 프리스탠딩(free-standing) 구조로 편평하게 유지될 수 있다.
상기 펠리클 멤브레인(110)은 탄소계 메인층(112)과 상기 탄소계 메인층(112)의 두 표면(FS, RS) 중 적어도 한 표면을 피복하는 화학적 강화층(114, 116)을 포함할 수 있다. 도 1에서는 화학적 강화층(114, 116)이 상기 탄소계 메인층(112)의 두 표면(FS, RS)의 모두 위에 형성된 것을 도시하였지만, 상기 화학적 강화층(114, 116)은 상기 탄소계 메인층(112)의 두 표면(FS, RS) 중 어느 하나의 표면 위에만 존재할 수도 있다.
탄소계 메인층
상기 탄소계 메인층(112)은 탄소를 주성분으로 하여 0.4 이상의 방사율(emissivity)를 갖는 물질일 수 있다. 특히 상기 탄소계 메인층(112)은 sp2 결합이 sp3 결합에 비하여 현저히 높은 비율을 갖는 물질일 수 있다. 예를 들면 상기 탄소계 메인층(112)은 sp2 결합이 sp3 결합에 비하여 10배 이상 더 많거나 또는 전부 sp2 결합으로 이루어진 물질일 수 있다.
일부 실시예들에 있어서, 상기 탄소계 메인층(112)은 그래핀(graphene), 그라파이트(graphite), 또는 탄소나노튜브(carbon nanotube, CNT)를 포함할 수 있다. 상기 탄소나노튜브는 단일벽 탄소나노튜브(single wall CNT, SWCNT) 및/또는 다중벽 탄소나노튜브(multi-wall CNT, MWCNT)를 포함할 수 있다. 하지만 본 발명이 여기에 한정되는 것은 아니다.
상기 탄소계 메인층(112)은 약 10 nm 내지 약 100 nm의 두께를 가질 수 있다. 상기 탄소계 메인층(112)의 두께가 너무 얇으면 펠리클 멤브레인(110)의 기계적 강도가 저하되고 프리스탠딩 구조를 갖기 어려울 수 있다. 이와 반대로 상기 탄소계 메인층(112)의 두께가 너무 두꺼우면 펠리클 멤브레인(110)의 투명도가 저하되어 극자외선 포토리소그래피 공정에 악영향을 미칠 수 있다.
탄소계 메인층(112)은 약 0.4 이상의 방사율(emissivity)을 가질 수 있다. 만일 상기 탄소계 메인층(112)의 방사율이 0.4에 미치지 못한다면 열방출 측면에서 취약하기 때문에 고온 환경에서 열적 응력이 누적되어 집중될 수 있다. 이와 같은 열적 응력은 궁극적으로 탄소계 메인층(112)의 손상 또는 파손을 가져오기 때문에 미리 제거될 수 있다. 만일 탄소계 메인층(112) 대신에 다결정질 실리콘을 사용한다면, 다결정질 실리콘은 방사율이 크게 낮기 때문에(약 0.03) 고온 환경에서 열적 응력이 집중되어 펠리클이 쉽게 파손될 수 있다.
화학적 강화층
상기 화학적 강화층(114, 116)은 수소 플라스마에 내성을 갖는 물질층일 수 있다. 예컨대 상기 화학적 강화층(114, 116)은 붕소(B)계 물질, 실리콘(Si)계 물질, 또는 5주기 전이 금속일 수 있다.
상기 붕소(B)계 물질은 원소 붕소(element boron), B4C, 붕소 산화물(boron oxide), 및 붕소 질화물(boron nitride) 중 1종 이상을 포함할 수 있다. 상기 실리콘(Si)계 물질은 실리콘 산화물, 실리콘 질화물, 및 실리콘 산질화물 중 1종 이상을 포함할 수 있다. 상기 5주기 전이 금속은 루테늄(Ru), 지르코늄(Zr), 및 몰리브덴(Mo) 중 1종 이상일 수 있다.
도 2는 도 1의 II로 표시된 부분을 확대하여 각 층의 농도 프로파일을 나타낸 개략도이다.
도 2를 참조하면, 탄소계 메인층(112)과 제 1 화학적 강화층(114) 사이의 계면을 중심으로 제 1 인터믹싱층(IMa)이 존재할 수 있다. 상기 제 1 인터믹싱층(IMa)은 상기 탄소계 메인층(112)으로부터 유래한 탄소와 상기 제 1 화학적 강화층(114)으로부터 유래한 성분, 예컨대 붕소, 실리콘, 5주기 전이 금속이 소정 농도 구배를 가지면서 원자 레벨에서 혼합되는 층일 수 있다.
일부 실시예들에 있어서, 상기 제 1 인터믹싱층(IMa)의 경계는 농도 구배가 존재하는 부분의 경계로 정의될 수 있다.
도 2에서 보는 바와 같이, 탄소계 메인층(112)과 제 1 화학적 강화층(114) 사이의 계면에서 탄소의 농도 구배가 존재할 수 있다. 도 2에서 112pf는 탄소계 메인층(112)의 탄소의 농도의 프로파일을 나타내고, 114pf는 제 1 화학적 강화층(114)의 주요 구성 물질의 농도 프로파일을 나타내고, 116pf는 제 2 화학적 강화층(116)의 주요 구성 물질의 농도 프로파일을 나타낸다. 탄소의 농도를 나타내는 축의 스케일은 제 1 화학적 강화층(114) 및 제 2 화학적 강화층(116)의 주요 구성 물질의 농도를 나타내는 축의 스케일과 상이할 수 있다.
상기 탄소계 메인층(112)의 중심부는 탄소로 이루어지기 때문에 상기 펠리클 멤브레인(110)의 중심선(CL)으로부터 상기 제 1 화학적 강화층(114)과 탄소계 메인층(112) 사이의 계면 근처까지 실질적으로 탄소로 이루어질 수 있다. 상기 제 1 화학적 강화층(114)과 탄소계 메인층(112) 사이의 계면 근처로부터 상기 중심선(CL)으로부터 멀어질수록 탄소의 농도가 점점 감소할 수 있다. 또한 탄소의 농도가 점진적으로 감소함과 동시에 제 1 화학적 강화층(114)으로부터 유래한 성분의 농도는 점진적으로 증가할 수 있다.
또한, 탄소계 메인층(112)과 제 2 화학적 강화층(116) 사이의 계면을 중심으로 제 2 인터믹싱층(IMb)이 존재할 수 있다. 상기 제 2 인터믹싱층(IMb)은 상기 탄소계 메인층(112)으로부터 유래한 탄소와 상기 제 2 화학적 강화층(116)으로부터 유래한 성분, 예컨대 붕소, 실리콘, 5주기 전이 금속이 소정 농도 구배를 가지면서 원자 레벨에서 혼합되는 층일 수 있다.
도 2에서 보는 바와 같이, 탄소계 메인층(112)과 제 2 화학적 강화층(116) 사이의 계면에서 탄소의 농도 구배가 존재할 수 있다. 이는 탄소계 메인층(112)과 제 1 화학적 강화층(114) 사이의 계면에 대하여 설명한 바와 동일하므로 여기서는 중복되는 설명을 생략한다.
위에서 살펴본 바와 같이 상기 제 1 및 제 2 인터믹싱층들(IMa, IMb)은 탄소계 메인층(112)과 화학적 강화층(114, 116)이 부분적으로 원자 레벨에서 혼합된 것이기 때문에 탄소계 메인층(112)과 화학적 강화층(114, 116)을 부착하도록 우수한 부착력을 발휘할 수 있다.
도 2에서는 두 인터믹싱층들(IMa, IMb)의 폭이 동일한 것으로 도시되었지만 이들의 폭은 상이할 수 있다. 바꾸어 말하면, 상기 펠리클 멤브레인(110)은 그의 중심선(CL)에 대하여 비대칭적인 농도 프로파일을 가질 수 있다. 일부 실시예들에 있어서, 제 1 인터믹싱층(IMa)은 제 2 인터믹싱층(IMb)보다 더 두꺼울 수 있다. 다른 일부 실시예들에 있어서, 제 1 인터믹싱층(IMa)은 제 2 인터믹싱층(IMb)보다 더 얇을 수 있다.
도 3은 본 발명의 다른 실시예에 따른 펠리클(100A)을 설명하기 위한 단면도이다. 도 4는 도 3의 IV로 표시된 부분을 확대하여 각 층의 농도 프로파일을 나타낸 개략도이다.
도 3 및 도 4를 참조하면, 제 1 화학적 강화층(114)과 제 2 화학적 강화층(116)은 각각 두 개의 층들(114a, 114b, 116a, 116b)로 이루어질 수 있다. 여기서는 상기 제 1 화학적 강화층(114)과 제 2 화학적 강화층(116)이 붕소계 물질의 화학적 강화층인 예를 들어 설명한다.
제 1 화학적 강화층(114)은 원소 붕소를 주성분으로 하는 제 1 층(114a) 및 B4C를 주성분으로 하는 제 2 층(114b)을 포함할 수 있다. 또, 제 2 화학적 강화층(116)은 원소 붕소를 주성분으로 하는 제 3 층(116a) 및 B4C를 주성분으로 하는 제 4 층(116b)을 포함할 수 있다. 여기서 "주성분"이라 함은 해당 성분의 질량 백분율이 50%를 초과함을 의미한다.
도 4에서 보는 바와 같이, 제 1 층(114a)과 제 2 층(114b)의 사이의 계면에 걸쳐서 붕소의 농도 구배가 존재할 수 있다. 도 4의 그래프에서 B로 표시한 선은 붕소의 농도 프로파일을 나타내고 C로 표시한 선은 탄소의 농도 프로파일을 나타낸다. 탄소의 농도를 나타내는 축의 스케일은 붕소의 농도를 나타내는 축의 스케일과 상이할 수 있다.
제 1 층(114a)의 자유 표면으로부터 상기 붕소의 농도 구배가 시작되는 깊이까지는 원소 붕소(element boron)의 층일 수 있다. 붕소의 농도 구배가 시작되는 깊이부터 중심을 향하여 갈수록 붕소의 농도는 점차 감소하고 B4C의 농도가 증가할 수 있다. 그렇기 때문에 탄소의 농도도 증가할 수 있다.
제 2 층(114b)과 탄소계 메인층(112) 사이의 계면에 걸쳐서 탄소의 농도 구배가 존재할 수 있다. 상기 탄소계 메인층(112)의 중심부는 탄소로 이루어지기 때문에 상기 펠리클 멤브레인(110)의 중심선(CL)으로부터 상기 제 2 층(114b)과 탄소계 메인층(112) 사이의 계면 근처까지 실질적으로 전부가 탄소로 이루어질 수 있다. 상기 제 2 층(114b)과 탄소계 메인층(112) 사이의 계면 근처로부터 상기 제 1 층(114a)에 가까워질수록 원소 붕소 또는 B4C의 농도가 점점 증가하기 때문에 탄소의 농도는 점점 감소할 수 있다.
제 3 층(116a)과 제 4 층(116b)의 사이의 계면에 걸쳐서도 붕소의 농도 구배가 존재할 수 있다. 이는 제 1 층(114a)과 제 2 층(114b)의 사이의 계면에 대하여 설명한 바와 동일하므로 여기서는 중복되는 설명을 생략한다.
또, 제 4 층(116b)과 탄소계 메인층(112) 사이의 계면에 걸쳐서도 탄소의 농도 구배가 존재할 수 있다. 이는 제 2 층(114b)과 탄소계 메인층(112) 사이의 계면에 대하여 설명한 바와 동일하므로 여기서는 중복되는 설명을 생략한다.
도 4에서는 제 3 인터믹싱층(IMc) 및 제 4 인터믹싱층(IMd)에서 붕소 및 탄소의 농도가 깊이에 따라 선형적으로 변화하는 것으로 도시하였지만 붕소 및/또는 탄소의 농도는 포물선 형태로 변화할 수도 있고, 국부적으로 일정한 농도를 갖는 구간을 가질 수도 있다.
상기 제 3 인터믹싱층(IMc)에서는 상기 탄소계 메인층(112)으로부터 유래한 탄소와 상기 제 1 층(114a)으로부터 유래한 붕소가 혼합되거나 B4C를 형성하기 때문에 상기 탄소계 메인층(112)과 상기 제 1 층(114a)이 강력한 밀착력으로 밀착될 수 있다. 또한 상기 제 4 인터믹싱층(IMd)에서는 상기 탄소계 메인층(112)으로부터 유래한 탄소와 상기 제 3 층(116a)으로부터 유래한 붕소가 혼합되거나 B4C를 형성하기 때문에 상기 탄소계 메인층(112)과 상기 제 3 층(116a)이 강력한 밀착력으로 밀착될 수 있다.
도 5는 본 발명의 다른 실시예에 따른 펠리클(100B)을 설명하기 위한 단면도이다. 도 6은 도 5의 VI로 표시된 부분을 확대하여 각 층의 농도 프로파일을 나타낸 개략도이다.
도 5 및 도 6을 참조하면, 탄소계 메인층(112)의 제 1 표면(FS) 위에 제 1 화학적 강화층(114m)이 제공되고, 탄소계 메인층(112)의 제 2 표면(RS) 위에 제 2 화학적 강화층(116m)이 제공될 수 있다. 여기서는 상기 제 1 화학적 강화층(114m)과 제 2 화학적 강화층(116m)이 붕소계 물질의 화학적 강화층인 예를 들어 설명한다.
상기 제 1 화학적 강화층(114m)과 제 2 화학적 강화층(116m)은 실질적으로 B4C를 주성분으로 하는 층일 수 있다. 상기 제 1 화학적 강화층(114m)은 그의 내부에서 두께 방향으로 탄소와 붕소의 농도 구배를 가질 수 있다. 상기 제 2 화학적 강화층(116m)도 그의 내부에서 두께 방향으로 탄소와 붕소의 농도 구배를 가질 수 있다. 상기 제 1 화학적 강화층(114m)과 제 2 화학적 강화층(116m)은 각각 자유 표면에서 멀어질수록 붕소의 농도가 감소할 수 있다. 상기 제 1 화학적 강화층(114m)과 제 2 화학적 강화층(116m)은 각각 자유 표면에 가까울수록 탄소의 농도가 감소할 수 있다. 일부 실시예들에 있어서, 상기 제 1 화학적 강화층(114m) 및/또는 제 2 화학적 강화층(116m)의 내부에는 두께 방향으로 붕소의 농도가 일정한 구간이 존재하지 않고 단조적으로 변화할 수 있다.
도 6에서 보는 바와 같이, 상기 제 1 화학적 강화층(114m)과 탄소계 메인층(112) 사이의 계면에 걸쳐서 탄소 및/또는 붕소의 농도 구배가 존재할 수 있다. 특히, 제 1 화학적 강화층(114m)의 전체에 걸쳐서, 나아가 상기 탄소계 메인층(112)의 일부까지 탄소 및/또는 붕소의 농도 구배가 존재할 수 있다. 때문에 상기 제 1 화학적 강화층(114m)의 전체가 제 5 인터믹싱층(IMe)에 포함될 수 있다. 즉, 상기 제 5 인터믹싱층(IMe)은 상기 제 1 화학적 강화층(114m)의 전체와 그에 인접한 탄소계 메인층(112)의 일부를 포함할 수 있다.
도 6의 그래프에서 B로 표시한 선은 붕소의 농도 프로파일을 나타내고 C로 표시한 선은 탄소의 농도 프로파일을 나타낸다. 탄소의 농도를 나타내는 축의 스케일은 붕소의 농도를 나타내는 축의 스케일과 상이할 수 있다.
상기 제 2 화학적 강화층(116m)과 탄소계 메인층(112) 사이의 계면에 걸쳐서도 탄소 및/또는 붕소의 농도 구배가 존재할 수 있다. 특히, 제 2 화학적 강화층(116m)의 전체에 걸쳐서, 나아가 상기 탄소계 메인층(112)의 일부까지 탄소 및/또는 붕소의 농도 구배가 존재할 수 있다. 때문에 상기 제 2 화학적 강화층(116m)의 전체가 제 6 인터믹싱층(IMf)에 포함될 수 있다. 즉, 상기 제 6 인터믹싱층(IMf)은 상기 제 2 화학적 강화층(116m)의 전체와 그에 인접한 탄소계 메인층(112)의 일부를 포함할 수 있다.
이상에서 설명한 제 1 화학적 강화층(114, 114m)과 제 2 화학적 강화층(116, 116m)의 두께는 상기 탄소계 메인층(112)의 두께의 약 3% 내지 약 20%일 수 있다. 일부 실시예들에 있어서, 제 1 화학적 강화층(114, 114m)과 제 2 화학적 강화층(116, 116m)의 두께는 각각 약 1 nm 내지 약 10 nm일 수 있다.
만일 상기 제 1 화학적 강화층(114, 114m)과 제 2 화학적 강화층(116, 116m)의 두께가 너무 얇으면 탄소계 메인층(112)을 수소 플라스마 등으로부터 보호하는 기능이 미흡해질 수 있다. 반대로 상기 제 1 화학적 강화층(114, 114m)과 제 2 화학적 강화층(116, 116m)의 두께가 너무 두꺼우면 열의 방출이 원활하지 않아 열적 응력이 내부에 축적될 수 있다.
도 7a 및 도 7b는 각각 본 발명의 또 다른 실시예에 따른 펠리클들(100C, 100D)을 설명하기 위한 단면도이다.
도 7a 및 도 7b를 참조하면, 제 2 화학적 강화층(116, 116m)이 생략된 점을 제외하면 도 1, 도 3, 및 도 5에 나타낸 펠리클들(100, 100A, 100B)과 실질적으로 동일할 수 있다. 따라서 여기서는 중복되는 설명들을 생략한다.
이들 실시예들에 있어서, 화학적 강화층(114)이 탄소계 메인층(112)의 한 쪽 면에만 형성되기 때문에 제조가 더욱 간편하고 용이하다. 또한 수소 플라스마로 인한 탄소계 메인층(112)의 손상은 포토마스크와 마주하는 쪽의 표면에서는 문제가 되지않고 포토마스크와 마주하지 않는 쪽의 표면에서 문제가 된다. 따라서 탄소계 메인층(112)을 보호하기 위한 화학적 강화층(114)을 포토마스크와 마주하지 않는 쪽의 탄소계 메인층(112) 표면에만 형성하더라도 탄소계 메인층(112)의 손상 문제는 상당히 치유될 수 있다.
펠리클의 제조 방법
도 8은 본 발명의 일 실시예에 따른 펠리클의 제조 방법을 나타낸 흐름도이다. 도 9a 내지 도 9e는 본 발명의 일 실시예에 따른 펠리클의 제조 방법을 순서에 따라 나타낸 측면도들이다.
도 8 및 도 9a를 참조하면, 지지 기판(210) 위에 촉매층(220)을 형성한다(S210).
상기 지지 기판(210)은 촉매층(220)을 지지할 수 있는 임의의 기판일 수 있고 특별히 한정되지 않는다. 일부 실시예들에 있어서, 상기 지지 기판(210)은 실리콘(Si) 기판, 유리 기판일 수 있다.
상기 촉매층(220)은 화학 기상 증착(chemical vapor deposition, CVD), 물리 기상 증착(physical vapor deposition, PVD), 원자층 증착(atomic layer deposition, ALD), 분자층 증착(molecular layer deposition, MLD) 등의 방법에 의하여 형성될 수 있으나 특별히 한정되지 않는다.
상기 촉매층(220)은 금속 또는 금속 화합물을 포함할 수 있다. 예를 들면, 상기 촉매층(220)은 크롬(Cr), 니켈(Ni), 구리(Cu), 루테늄(Ru), 백금(Pt), 코발트(Co), 티타늄(Ti), 탄탈륨(Ta), 알루미늄(Al), 또는 기타 다른 금속들, 또는 그 합금들, 또는 티타늄 질화물(TiN), 탄탈륨 질화물(TaN) 같은 금속 화합물들 중 적어도 하나를 포함할 수 있다.
도 8 및 도 9b를 참조하면, 상기 촉매층(220) 상에 붕소계 물질층(230)을 형성한다(S220). 상기 붕소계 물질층(230)은 원소 붕소일 수 있다.
상기 붕소계 물질층(230)은 CVD, PVD, ALD, MLD 등의 방법에 의하여 형성될 수 있으나 특별히 한정되지 않는다.
상기 붕소계 물질층(230)을 형성하기 위하여 보레인, 보론 할로겐화물, 보레인 할로겐화물 및 이들의 복합체들과 같은 붕소 전구체들이 이용될 수 있다.
적합한 보레인들은 하기 화학식 I 또는 화학식 II에 따른 화합물들을 포함할 수 있다.
BnHn+x (화학식 I)
여기서, n은 1 내지 10의 정수, 예를 들면 2 내지 6의 정수이며, x는 짝수, 예를 들면 4, 6 또는 8이다.
BnHm (화학식 II)
여기서, n은 1 내지 10의 정수, 예를 들면 2 내지 6의 정수이며, m은 1 내지 10, 예를 들면 2 내지 6에서의 n과는 다른 정수이다.
화학식 I에 따른 전술한 보레인들 중 적절한 예시들은 니도-보레인들(BnHn+4), 아라크노-보레인들(BnHn+6) 및 히프-보레인들(BnHn+8)을 포함한다. 화학식 II에 따른 보레인들 중 적절한 예시들은 콘정토-보레인들(BnHm)을 포함한다. 또한, (CH3CH2)3N-BH3와 같은 보레인 복합체들이 사용될 수 있다.
일부 실시예들에 있어서, 적합한 붕소 반응물들은 보레인 할로겐화물, 특히 플루오르화물, 브롬화물 및 염화물들을 포함할 수 있다. 예를 들어, 적합한 보론 할로겐화물은 약 0.5 내지 약 1의 할로겐화물에 대한 보론의 비율을 가질 수 있다. 적합한 화합물의 예시는 B2H5Br이다. 추가의 예시들은 B2F4, B2Cl4 및 B2Br4와 같은 높은 보론/할로겐화물 비율을 갖는 보론 할로겐화물들을 포함한다. 보레인 할로겐화물 복합체들을 사용하는 것 또한 가능하다.
일부 실시예들에 있어서, 화학식 III에 따른 할로겐화보레인들은 적합한 보론 반응물들일 수 있다.
BnXn (화학식 III)
여기서, X는 Cl 또는 Br이며, X가 Cl일 때, n은 4 또는 8 내지 12의 정수이고, X가 Br일 때, n는 7 내지 10의 정수이다.
일부 실시예들에 있어서, 화학식 IV에 따른 카보레인들은 적합한 보론 반응물들일 수 있다.
C2BnHn+x (화학식 IV)
화학식 IV에 따른 카보레인들의 예시들은 클로소-카보레인들(C2BnHn+2), 니도-카보레인들(C2BnHn+4) 및 아라크노-카보레인들(C2BnHn+6)을 포함한다.
일부 실시예들에 있어서, 화학식 V에 따른 아미노 보레인 어덕트들(amino-borane adducts)은 적합한 보론 반응물들일 수 있다.
R3NBX3 (화학식 V)
여기서, R은 선형 또는 분지형 C1 내지 C10, 예를 들면 C1 내지 C4 알킬 또는 H이며, X는 선형 또는 분지형 C1 내지 C10, 예를 들면 C1 내지 C4 알킬, H 또는 할로겐이다.
일부 실시예들에 있어서, 화학식 VI에 따른 B 상의 하나 또는 그 이상의 치환기들(substituents)이 아미노기인 아미노 보레인들이 적합한 보론 반응물들일 수 있다.
R2NBR2 (화학식 VI)
여기서, R은 선형 또는 분지형 C1 내지 C10, 예를 들면 C1 내지 C4 알킬 또는 치환되거나 치환되지 않은 아릴기(aryl group)이다.
적합한 아미노보레인의 예시는 (CH3)2NB(CH3)2이다.
일부 실시예들에 있어서, 적합한 보론 반응물은 사이클릭 보라진(cyclic borazine, B3H6N3) 및/또는 이들의 휘발성 유도체들을 포함할 수 있다.
일부 실시예들에 있어서, 알킬 보론들 또는 알킬 보레인들은 적합한 보론 반응물들일 수 있고, 상기 알킬이 일반적으로 선형 또는 분지형 C1 내지 C10 알킬, 예를 들면 C2 내지 C4 알킬이다.
상기 붕소계 물질층(230)의 퇴적은 예를 들면 약 200℃ 내지 약 450℃, 또는 약 250℃ 내지 약 400℃의 온도에서 수행될 수 있다.
상기 붕소계 물질층(230)으로서 원소 붕소 대신 붕소 산화물을 형성하고자 하는 경우 반응물로서 O2, O3, 플라즈마 O2, H2O, NO2, NO, N2O (nitrous oxide), CO2, H2O2, HCOOH, CH3COOH, (CH3CO)2O, 또는 이들의 혼합물이 이용될 수 있다.
상기 붕소계 물질층(230)으로서 원소 붕소 대신 붕소 질화물을 형성하고자 하는 경우 반응물로서 NH3, 모노알킬아민 (mono-alkyl amine), 디알킬아민 (di-alkylamine), 트리알킬아민 (tri-alkylamine), 유기 아민 화합물, 히드라진 화합물 (hydrazine compound), 또는 이들의 혼합물이 이용될 수 있다.
그런 다음 도 8 및 도 9c를 참조하면, 상기 붕소계 물질층(230) 상에 탄소계 메인층(240)을 형성할 수 있다(S230).
상기 탄소계 메인층(240)은 CVD, PVD, ALD, MLD 등의 방법에 의하여 형성될 수 있으나 특별히 한정되지 않는다.
탄소계 메인층(240)을 형성하기 위한 탄소 화합물은 액상 또는 기상의 탄소화합물이 사용될 수 있고, 분자량 분포도, 농도, 점도, 표면 장력, 유전율 상수 등을 고려하여 결정할 수 있다. 일부 실시예들에 있어서, 상기 액상 또는 기상의 탄소화합물은 메탄, 에틸렌, 아세틸렌, 메틸아세틸렌, 비닐아세틸렌, 에탄올, 메탄올, 프로판올, 아세톤, 자일렌, 클로로포름, 에틸아세트산, 디에틸에테르, 폴리에틸렌글리콜, 에틸포르메이트, 메시틸렌, 테트라하이드로퓨란(THF), 디메틸포름아마이드(DMF), 디클로로메탄, 헥산, 벤젠, 사염화탄소 및 펜탄으로 구성된 그룹에서 선택된 하나 이상을 포함할 수 있다.
구체적으로, 상기 액상의 탄소화합물은 에탄올, 메탄올, 프로판올, 아세톤, 자일렌, 클로로포름, 에틸아세트산, 디에틸에테르, 폴리에틸렌글리콜, 에틸포르메이트, 메시틸렌, 테트라하이드로퓨란(THF), 디메틸포름아마이드(DMF), 디클로로메탄, 헥산, 벤젠, 사염화탄소 및 펜탄으로 구성된 그룹에서 선택된 하나 이상을 포함할 수 있다. 예를 들면, 에탄올(C2H5OH), 자일렌(C8H10), 디에틸에테르[(C2H5)2O], 폴리에틸렌글리콜[-(CH2-CH2-O)9], 1-프로판올(CH3CH2CH2OH), 아세톤(CH3OCH3), 에틸포르메이트(CH3CH2COOH), 벤젠(C6H6), 헥산(C6H14) 및 메시틸렌[C6H3(CH3)3]으로 구성된 그룹에서 선택된 어느 하나 이상을 포함할 수 있다.
상기 기상 탄소화합물은 메탄, 에틸렌, 아세틸렌, 메틸아세틸렌 및 비닐아세틸렌으로 이루어진 군 중에서 선택되는 1종 이상을 포함할 수 있다.
상기 탄소계 메인층(240)을 형성하는 단계는 예를 들면 약 560℃ 내지 약 1100℃, 또는 약 800℃ 내지 약 1000℃의 온도에서 어닐링을 수행하는 단계를 포함할 수 있다. 상기 어닐링 온도가 너무 낮으면 어닐링에 의하여 얻어지는 효과가 미흡하고 B4C의 층(234)이 미흡하게 생성될 수 있다. 상기 어닐링 온도가 너무 높으면 기계적 강도가 나빠질 수 있다.
상기 어닐링 단계에 의하여 원소 붕소와 탄소계 메인층(240)의 계면에서 B4C가 생성될 수 있다. 즉, 도 9c에서 보는 바와 같이 탄소계 메인층(240)을 형성하고 어닐링을 수행하는 과정에서 B4C의 층(234)이 탄소계 메인층(240)과 잔여 붕소계 물질층(232)의 사이에 생성될 수 있다. 상기 B4C의 층(234)은 탄소계 메인층(240)의 일부와 붕소계 물질층(230)의 일부가 상기 어닐링 과정에서 반응하여 생성된 층일 수 있다. 상기 B4C의 층(234)은 잔여 붕소계 물질층(232)과 함께 새로운 붕소계 물질층(230a)을 구성할 수 있다.
계속하여 도 9d를 참조하면, 탄소계 메인층(240)의 상부에 붕소계 물질층(250)을 형성할 수 있다. 붕소계 물질층(250)은 앞서 도 9b를 참조하여 설명한 붕소계 물질층(230)의 형성 방법과 동일한 방법으로 형성될 수 있다. 다만, 도 9b의 붕소계 물질층(230)을 형성할 때에는 탄소계 물질이 인접하여 존재하지 않기 때문에 원소 붕소의 층인 붕소계 물질층(230)이 생성되는 반면, 도 9d의 붕소계 물질층(250)을 형성할 때에는 탄소계 메인층(240)과 인접하여 형성되기 때문에 B4C의 층(254)과 원소 붕소의 층(252)이 함께 생성될 수 있다.
붕소계 물질층(250)의 생성 조건에 따라 붕소계 물질층(250)을 구성하는 각 층들(252, 254)의 두께와 붕소계 물질층(230a)을 구성하는 각 층들(232, 234)의 두께는 서로 상이할 수 있다.
도 8 및 도 9e를 참조하면, 지지 기판(210) 및 촉매층(220)을 붕소계 물질층(230a)의 하면으로부터 제거할 수 있다(S240). 상기 지지 기판(210) 및 촉매층(220)은 예컨대 습식 공정에 의하여 붕소계 물질층(230a)의 하면으로부터 제거될 수 있다. 일부 실시예들에 있어서, 붕소계 물질층(230a)에 부착된 지지 기판(210) 및 촉매층(220)을 배스(bath) 내의 용매에 침지시킬 수 있다. 상기 용매는 금속 식각액을 포함할 수 있다. 예를 들면, 상기 용매는 과산화수소, 인산, 질산, 아세트산, 및 고리형 아민 화합물 중 1종 이상이 이용될 수 있다. 촉매층(220)은 상기 용매에 의하여 식각되어 제거될 수 있다.
지지 기판(210)과 촉매층(220)이 제거된 나머지 구조물(230a, 240, 250)에 대해서는 린스 공정을 수행하여 잔존하는 용매를 제거할 수 있다. 린스 공정을 위한 린스액으로서는 탈이온수(deionized water, DIW) 또는 저농도의 질산 및/또는 염산 용액이 이용될 수 있다.
도 9e에는 도시되지 않았지만, 펠리클 멤브레인(110)에 프레임(150)(도 1 참조)을 부착하는 과정이 후속될 수 있다. 프레임(150)을 부착하기 위하여 우선 펠리클 멤브레인(110)을 탈이온수와 같은 액체 상에 띄우고(suspend), 프레임(150)을 상기 탈이온수 내부로부터 상승시켜 프레임(150)의 상부 표면 위에 상기 펠리클 멤브레인(110)이 부착되도록 할 수 있다.
상기 펠리클 멤브레인(110)을 띄우기 위한 액체로서는 탈이온수 외에도 메탄올, 에탄올, 이소프로필 알코올과 같은 알코올계 액체가 이용될 수 있다. 이를 위하여 펠리클 멤브레인(110)의 표면 특성이 고려될 수 있다. 만일 펠리클 멤브레인(110)의 표면이 소수성이면, 펠리클 멤브레인(110)이 구김없이 잘 펼쳐지도록 하기 위하여 탈이온수(표면 장력(상온)=72.7dyne/cm)와 에탄올(표면 장력(상온)=22.4dyne/cm)의 비율을 조절하여 혼합할 수 있다.
상기 펠리클 멤브레인(110)에 프레임(150)은 서로 직접 접촉하여 반데르발스(van der Waals) 힘에 의하여 결합될 수도 있고, 접착제(160)(도 1 참조)에 의하여 결합될 수도 있다.
<비교예>
기판 상에 그라파이트 층을 형성하고 수소 플라스마 처리를 수행하기 전과 후에 대하여 투과 전자 현미경(transmission electron microscope, TEM) 분석 및 라만 분광 분석을 수행하고 그 결과를 도 10a에 나타내었다.
수소 플라스마 처리는 ECR-CVD 장비를 이용하여 800W의 파워를 인가하면서 10 mTorr의 압력에서 50 sccm의 유속으로 수소 가스를 공급하면서 수행되었다.
도 10a의 라만 분석 결과에서 보는 바와 같이 수소 플라스마 처리 전후에 있어서, D로 표시한 피크의 크기가 크게 증가한 것을 볼 수 있다. 이는 그라파이트의 결함들에서 수소 플라스마 처리로 인해 손상된 부분이 발생하고, 이 부분에서 발생하는 원자내 진동으로 인해 피크가 커진 것으로 해석된다.
도 10a의 TEM 이미지에서도 수소 플라스마 처리 이후에 그라파이트 층의 일부가 손상된 모습을 볼 수 있다.
<실험예>
한편 그라파이트 위에 B4C의 층을 형성하고 수소 플라스마 처리를 수행하기 전과 후에 대하여 TEM 분석 및 라만 분광 분석을 수행하고 그 결과를 도 10b에 나타내었다.
도 10b의 라만 분석 결과에서 보는 바와 같이 수소 플라스마 처리 전후에 있어서 D로 표시된 피크의 크기에 거의 변함이 없었다. 따라서, 그라파이트의 결함들이 수소 플라스마 처리로 인해 더 손상되지 않았음을 알 수 있다. 다시 말해, B4C의 층이 그라파이트를 보호하는 역할을 수행할 수 있음이 확인되었다.
도 10b의 적색 화살표로 표시된 피크는 B4C를 나타내는 것으로 추정된다.
또한 도 10b의 TEM 이미지에서 보는 바와 같이 수소 플라스마 처리 이후에도 그라파이트나 B4C의 층이 온전히 존재함이 확인되었다.
도 11은 본 발명의 기술적 사상에 의한 펠리클과 결합 가능한 예시적인 포토마스크(PM)의 개략적인 구조를 도시한 평면도이다.
도 11에 예시한 포토마스크(PM)는 노광 공정을 통해 웨이퍼(도시 생략) 상에 패턴을 전사하여 반도체 소자와 같은 집적 회로를 제조하기 위한 반사형 포토마스크이다. 일부 실시예들에서, 상기 포토마스크(PM)는 EUV 파장 범위, 예를 들면 약 13.5 nm의 노광 파장을 이용하는 포토리소그래피 공정에 사용하기 위한 것으로서, 다층 미러 구조를 기반으로 하는 반사형 포토마스크일 수 있다.
상기 포토마스크(PM)의 전면측(front side) 표면(FR)은 웨이퍼상의 칩 영역에서 집적 회로를 구성하는 단위 소자를 형성하는 데 필요한 메인 패턴을 전사하기 위한 메인 패턴 영역(MP)과, 상기 웨이퍼상의 스크라이브 레인 영역에 보조 패턴을 전사하기 위한 보조 패턴 영역(AP)과, 상기 메인 패턴 영역(MP) 및 보조 패턴 영역(AP)을 포위하는 블랙 보더 영역(BB)을 가진다.
상기 메인 패턴 영역(MP)에는 웨이퍼상의 칩 영역에 집적 회로를 구성하는 데 필요한 패턴을 전사하기 위한 메인 패턴을 구성하는 메인 패턴 요소(pattern elements)(P1)가 형성될 수 있다.
상기 보조 패턴 영역(AP)에는 구현하고자 하는 집적 회로를 구성하는 패턴이 아니라, 상기 집적 회로의 제조 과정에서는 필요하지만 집적 회로 최종 생성물에는 남지 않는 보조 패턴, 예를 들면 얼라인 키 (align key) 패턴을 웨이퍼상의 스크라이브 레인 영역에 전사하기 위한 보조 패턴 요소(P2)가 형성될 수 있다.
상기 블랙 보더 영역(BB)은 웨이퍼상에 패턴을 전사하기 위한 패턴 요소를 포함하지 않는 비패턴 영역이다.
포토마스크 조립체
도 12는 본 발명의 기술적 사상에 의한 실시예에 따른 포토마스크 조립체(600A)를 예시한 단면도이다.
도 12를 참조하면, 포토마스크 조립체(600A)는 포토마스크(PM)와, 상기 포토마스크(PM)의 전면측 표면(FR) 위에서 블랙 보더 영역(BB) 상에 고정된 펠리클(100)을 포함한다.
상기 펠리클(100)을 상기 포토마스크(PM)의 블랙 보더 영역(BB) 상에 고정하기 위하여, 상기 펠리클(100)에 포함된 프레임(150) 중 펠리클 멤브레인(110)이 부착된 측의 반대측 표면과 상기 포토마스크(PM)의 블랙 보더 영역(BB)과의 사이에 접착층(530)을 개재시킬 수 있다.
상기 프레임(150)은 펠리클 멤브레인(110)을 지지하도록 접착층(160)을 통해 상기 펠리클 멤브레인(110)에 고정된 일단과, 접착층(530)을 통해 상기 포토마스크(PM)의 표면에 고정되는 타단을 가진다.
상기 펠리클(100)에 대한 보다 상세한 구성은 도 1을 참조하여 설명한 바를 참조한다.
일부 실시예들에서, 상기 접착층(530)은 실리콘 수지, 불소 수지, 아크릴 수지, 또는 SEBS (폴리(스티렌-에틸렌-부타디엔-스티렌))계 수지로 이루어질 수 있으나, 본 발명의 기술적 사상이 상기 예시한 바에 한정되는 것은 아니다.
도 13은 본 발명의 기술적 사상에 의한 다른 실시예에 따른 포토마스크 조립체(600B)를 예시한 단면도이다.
도 13을 참조하면, 포토마스크 조립체(600B)는 포토마스크(PM)와, 상기 포토마스크(PM)의 전면측 표면(FR) 위에서 블랙 보더 영역(BB) 상에 고정된 펠리클(100A)을 포함한다. 상기 펠리클(100A)에 대한 보다 상세한 구성은 도 3을 참조하여 설명한 바를 참조한다.
상기 펠리클(100A)을 상기 포토마스크(PM)의 블랙 보더 영역(BB) 상에 고정하기 위하여, 프레임(150) 중 펠리클 멤브레인(110)이 부착된 측의 반대측 표면과 상기 포토마스크(PM)의 블랙 보더 영역(BB)과의 사이에 접착층(530)을 개재시킬 수 있다.
도 12 및 도 13에 예시한 포토마스크 조립체(600A, 600B)에서는 도 11에 예시한 바와 같이 약 13.5 nm의 노광 파장을 이용하는 포토리소그래피 공정에 사용하기 위한 반사형 포토마스크(PM)를 포함하는 것으로 예시되어 있으나, 본 발명의 기술적 사상이 이에 한정되는 것은 아니다. 예를 들면, 상기 반사형 포토마스크(PM) 대신 투과형 포토마스크, 예를 들면 KrF 엑시머 레이저 (248nm), ArF 엑시머 레이저 (193nm), 또는 불소(F2) 엑시머 레이저 (157nm)를 이용하는 노광 공정시 사용되는 포토마스크를 사용할 수도 있다.
도 14는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자 제조 장치(800)의 개략적인 구성을 도시한 단면도이다. 도 14에는 EUV 광을 이용하여 포토마스크 (또는, "레티클"이라 칭할 수 있음)에 묘화된 패턴의 상을 투영 광학계(projection optical system)에서 진공 하에 웨이퍼에 축소 전사하는 노광 장치로 이루어지는 집적회로 소자 제조 장치(800)를 예시하였다.
도 14를 참조하면, 집적회로 소자 제조 장치(800)는 마스크 스테이지 영역(800A), 투영 광학계 영역(800B), 및 웨이퍼 스테이지 영역(800C)을 포함한다.
상기 마스크 스테이지 영역(800A)에 있는 마스크 스테이지(810)는 마스크 스테이지 지지체(812)와, 상기 마스크 스테이지 지지체(812)에 각각 고정된 마스크 홀더 시스템(818)을 포함한다. 상기 마스크 홀더 시스템(818)은 포토마스크(PM)를 고정하는 역할을 한다. 일부 실시예들에서, 상기 마스크 홀더 시스템(818)은 정전 척(electrostatic chuck)으로 이루어질 수 있으며, 상기 마스크 홀더 시스템(818)은 포토마스크(PM)를 정전기력에 의해 흡착하여 유지시킬 수 있다.
상기 포토마스크(PM) 상에 펠리클(820)이 고정될 수 있다. 상기 펠리클(820)은 도 1, 도 3, 도 5, 도 7a 및 도 7b를 참조하여 설명한 바와 같은 펠리클(100, 100A, 100B, 100C, 100D), 또는 본 발명의 기술적 사상의 범위 내에서 이들로부터 변형 및 변경된 구조를 가지는 펠리클로 이루어질 수 있다.
상기 마스크 스테이지(810)는 상기 마스크 스테이지 지지체(812)에 의해 지지되어 고정된 포토마스크(PM)를 화살표(A1)로 표시한 바와 같은 스캔 방향으로 이동시킬 수 있다.
상기 투영 광학계 영역(800B)에는 포토마스크(PM)에 형성된 패턴을 웨이퍼 스테이지 영역(800C)에 있는 웨이퍼(W)에 전사하기 위한 투영 광학계(840)가 위치될 수 있다. 상기 웨이퍼(W)는 웨이퍼 스테이지(850)상의 웨이퍼 척(852) 위에 고정되어 유지될 수 있다. 상기 웨이퍼 척(852)은 웨이퍼(W)를 화살표(A2)로 표시한 바와 같은 스캔 방향으로 이동시킬 수 있다.
상기 마스크 스테이지(810)가 있는 마스크 스테이지 영역(800A), 투영 광학계(840)가 있는 투영 광학계 영역(800B), 및 웨이퍼 스테이지(850)가 있는 웨이퍼 스테이지 영역(800C)은 각각 게이트 밸브(862A, 862B)에 의해 분리될 수 있다. 마스크 스테이지 영역(800A), 투영 광학계 영역(800B), 및 웨이퍼 스테이지 영역(800C)에는 진공 배기 장치(864A, 864B, 864C)가 각각 연결되어 있어, 독립적으로 압력을 제어할 수 있다.
상기 웨이퍼 스테이지 영역(800C)과 로드락 챔버(800D)와의 사이에서 웨이퍼(W)를 반입 또는 반출하기 위하여 반송 핸드(871)가 설치되어 있다. 상기 로드락 챔버(800D)에는 진공 배기 장치(864D)가 연결되어 있다. 웨이퍼(W)는 웨이퍼 로드 포트(load port)(800E)에서 대기압 하에 일시적으로 보관될 수 있다. 상기 로드락 챔버(800D)와 웨이퍼 로드 포트(800E)과의 사이에서 웨이퍼(W)를 반입 또는 반출하기 위하여 반송 핸드(872)가 설치되어 있다. 웨이퍼 스테이지 공간(800C)과 로드락 챔버(800D)와의 사이에는 게이트 밸브(876A)가 개재되어 있다. 상기 로드락 챔버(800D)와 웨이퍼 로드 포트(800E)과의 사이에는 게이트 밸브(876B)가 개재되어 있다.
상기 마스크 스테이지 영역(800A)의 마스크 스테이지(810)와 마스크 로드락 챔버(800F)와의 사이에서 포토마스크(PM)를 반입 또는 반출하기 위하여 반송 핸드(873)가 설치되어 있다. 상기 마스크 로드락 챔버(800F)에는 진공 배기 장치(864E)가 연결되어 있다. 포토마스크(PM)는 마스크 로드 포트(800G)에서 대기압 하에 일시적으로 보관될 수 있다. 마스크 로드락 챔버(800F)와 마스크 로드 포트(800G)와의 사이에서 포토마스크(PM)를 반입 또는 반출하기 위하여 반송 핸드(874)가 설치되어 있다. 상기 마스크 스테이지 영역(800A)과 마스크 로드락 챔버(800F)와의 사이에는 게이트 밸브(886A)가 삽입되어 있다. 상기 마스크 로드락 챔버(800F)와 마스크 로드 포트(800G)와의 사이에는 게이트 밸브(886B)가 삽입되어 있다.
포토마스크(PM)는 외부로부터 집적회로 소자 제조 장치(800)로 운반되기까지 포토마스크 캐리어(880) 내에 수용된 상태로 저장 및 운반되며, 상기 포토마스크 캐리어(880) 내에 수용된 상태에서 상기 마스크 로드 포트(800G)까지 운반될 수 있다. 이에 따라, 포토마스크(PM)가 외부 환경과의 불필요한 접촉 및 외부의 입자 오염으로부터 효과적으로 보호될 수 있다.
상기 포토마스크 캐리어(880)는 내측 포드 (inner pod)(882)와, 상기 내측 포드(882)가 수용되는 공간을 제공하는 외측 포드(outer pod)(884)를 포함할 수 있다. 상기 내측 포드(882) 및 외측 포드(884)는 각각 표준 (SEMI standard E152-0709)에 따르는 SMIF (standard mechanical interface) 포드로 이루어질 수 있다. 상기 외측 포드(884)는 "레티클 SMIF 포드"로 칭해질 수도 있으며, 포토마스크(PM)가 서로 다른 제조 스테이션 사이에서 이송될 때, 또는 서로 다른 위치 사이에서 이송될 때 포토마스크(PM)를 보호하는 역할을 할 수 있다. 상기 내측 포드(882)는 포토마스크(PM)가 진공 분위기, 또는 마스크 스테이지(810) 및 그 근방까지 이송되는 동안 포토마스크(PM)를 보호하는 역할을 할 수 있다. 주위 환경이 대기 상태로부터 진공 상태로 되도록 감압되거나 진공 상태로부터 대기 상태로 될 때 오염 입자들의 와류가 야기될 수 있으며, 그 결과 포토마스크(PM) 주위에 떠도는 오염 입자들이 포토마스크(PM)를 오염시킬 수 있다. 상기 내측 포드(882)는 포토마스크(PM)를 상기와 같은 환경으로부터 보호하여, 포토마스크(PM)가 진공 분위기, 또는 마스크 스테이지(810) 및 그 근방으로 이송되기까지 포토마스크(PM)를 보호하는 역할을 할 수 있다.
반도체 소자의 제조 공정 중 노광 공정에서는 레지스트막이 형성된 웨이퍼상에 포토마스크(레티클)에 형성된 패턴을 투영 노광함으로써 레지스트막에 잠상 패턴을 형성하고, 현상 공정을 거쳐서 웨이퍼상에 레지스트 패턴을 형성한다. 그러나, 포토마스크상에 이물질, 예를 들면 파티클 등이 존재하면 패턴과 함께 이물질이 웨이퍼상에 전사되어 패턴 불량의 원인으로 될 수 있다.
LSI 혹은 VLSI 등과 같이 극미세 패턴으로 형성되는 반도체 소자의 제조 공정에서는, 포토마스크상에 형성된 패턴을 웨이퍼상에 형성된 레지스트막에 축소 투영하여 상기 레지스트막에 잠상 패턴을 형성하는 축소 투영 노광 장치가 사용될 수 있다. 반도체 소자의 실장 밀도가 증가함에 따라 회로 패턴의 미세화가 요구되고, 이에 따라 노광 장치에서 노광 선폭을 미세화하고자 하는 요구가 높아지고 있다. 이에 따라, 노광 장치의 해상력 성능을 향상시키기 위하여 노광 파장을 더욱 단파장으로 하는 방법이 개발되고 있다. 지금까지 i-라인 (365㎚), KrF 엑시머 레이저 (248㎚), ArF 엑시머 레이저 (193㎚), 불소(F2) 엑시머 레이저 (157㎚) 노광 기술이 개발되었으며, 최근에는 6.75 내지 13.5 ㎚ 부근의 연 X-선 영역의 파장을 지닌 EUV 광 또는 전자선을 사용하는 노광 장치가 개발되고 있다. 노광 광의 파장이 EUV 광 또는 전자선 레벨까지 단파장화되면, 대기압 하에서 공기는 광을 투과하지 않으므로, 노광 광의 광로를 고진공 환경으로 할 필요가 있다. 따라서, 광학계, 마스크 스테이지 및 웨이퍼 스테이지를 F2 노광 장치보다 기밀성이 높은 진공실내에 배치하고, 웨이퍼 및 포토마스크 각각의 반출 입구에 로드락 챔버를 설치하여 진공도가 유지되는 상태에서 웨이퍼 또는 포토마스크의 반출입을 행할 필요가 있다.
EUV 노광에서는 포토마스크로서 패턴 영역이 형성되는 전면층 표면에 다중 반사막을 포함하는 반사형 포토마스크가 이용될 수 있다.
노광 광의 파장이 EUV 영역까지 단파장화하는 경우, 지금까지는 EUV에서 투명한 재료 선정에 한계가 있어 펠리클을 사용하지 않고 노광 공정을 수행하거나, 노광 중에 열에 의한 열화가 비교적 심하고 인장 강도가 약한 박막 형태의 펠리클막을 포함하는 펠리클을 이용하는 경우가 있었으며, EUV에 대한 투과율을 만족시키기 위하여 상기 펠리클막으로서 최대 수 십 nm 수준의 매우 얇은 두께의 펠리클막을 사용할 필요가 있었다. 그러나, 노광 중에 열에 의한 열화를 완화하기 위하여 비교적 방사율이 높은 탄소계 소재를 펠리클막으로서 이용할 수 있다. 그러나 극자외선 노광 환경에서 발생하는 수소 플라스마에 의하여 탄소계 소재가 쉽게 손상되는 문제가 있다.
본 발명의 기술적 사상에 따른 집적회로 소자 제조 장치는 EUV 광원을 이용하는 노광 공정에서도 본 발명의 기술적 사상에 의한 펠리클(820)을 사용하여 포토마스크(PM)를 보호한다. 본 발명의 기술적 사상에 의한 펠리클(820)은 탄소계 소재를 메인층으로 하고, 그 위에 화학적 강화층을 추가하여 탄소계 메인층을 보호함으로써 극자외선 노광 환경에서 발생하는 수소 플라스마에도 불구하고 펠리클막의 수명을 충분히 확보할 수 있다. 특히, 탄소계 메인층과 화학적 강화층 사이에 인터믹싱층이 존재하여 탄소계 메인층과 화학적 강화층의 접착력이 크게 개선될 수 있다. 이에 따라, 펠리클의 수명을 대폭 증가시킬 수 있을 뿐 아니라 노광 공정시 펠리클막의 열화로 인한 에러가 발생하는 것을 효과적으로 방지할 수 있고, 노광 대상인 웨이퍼(W)상의 정확한 위치에 원하는 형상의 패턴을 효과적으로 전사할 수 있다.
도 15는 본 발명의 일 실시예에 의한 펠리클(60)이 부착된 레티클(70)을 이용하여 반사형 포토리소그래피 공정을 수행하는 것을 개념적으로 도시한 도면이다.
도 15를 참조하면, 본 발명의 일 실시예에 의한 펠리클(60)이 장착된 레티클(70)을 이용하여 반사형 포토리소그래피 공정을 수행하는 방법은, 광원(910, light source), 조영 미러 시스템(920, illumination mirror system), 레티클 스테이지(940, reticle stage), 블라인더(960, blinder), 투사 미러 시스템(970, projection mirror system), 및 웨이퍼 스테이지(980, wafer stage)를 포함하는 반사형 포토리소그래피 시스템(900)의 상기 레티클 스테이지(940) 상에 아래를 향하도록 본 발명의 일 실시예에 의한 펠리클(60)이 부착된 레티클(70)을 장착하는 것을 포함할 수 있다.
상기 광원(910)은 극자외선(extreme ultraviolet light, EUVL)을 발생시킬 수 있다. 예를 들어, 상기 광원(910)은 탄소 플라즈마를 이용하여 약 13.5㎚의 파장을 갖는 빛, 예를 들어 상기 극자외선을 발생시킬 수 있다. 상기 광원(910)은 빛 콜렉터(915)(light collector)를 포함할 수 있다. 상기 빛 콜렉터(915)는 상기 광원(910)에서 발생한 상기 극자외선을 모아 어느 한 방향으로 직진하도록 조절할 수 있다. 예를 들어, 상기 광원(910)에서 발생된 상기 극자외선은 상기 콜렉터(915)를 통과하여 상기 조영 미러 시스템(920)으로 조사(irradiate)될 수 있다.
상기 조영 미러 시스템(920)은 다수 개의 조영 미러들(921-924)을 포함할 수 있다. 상기 조영 미러들(921-924)은, 예를 들어, 상기 극자외선이 미러링된 조사 경로 밖으로 손실되는 것을 줄이기 위하여 상기 극자외선을 컨덴싱할 수 있다. 또한, 상기 조영 미러들(921-924)은, 예를 들어, 상기 극자외선의 인텐시티 분포를 전체적으로 균일하게 조절할 수 있다. 따라서, 다수 개의 상기 조영 미러들(921-924)은 각각, 상기 극자외선의 경로를 다양화시키기 위하여 오목 미러 및/또는 볼록 미러를 포함할 수 있다. 또한, 상기 조영 미러 시스템(920)은 상기 극자외선을 스퀘어(square) 모양, 원(circular) 모양, 또는 바(bar) 모양 등으로 성형하여 레티클 스테이지(940)로 전달할 수도 있다.
상기 레티클 스테이지(940)는 하면에 상기 레티클(70)을 장착하고 수평 방향으로 이동할 수 있다. 예를 들어, 도면에서 화살표 방향으로 이동할 수 있다. 상기 레티클 스테이지(940)는 정전척(ESC, electro static chuck)을 포함할 수 있다. 상기 상기 레티클(70)은 일면에 광학적 패턴들을 포함할 수 있다. 상기 상기 레티클(70)은 광학적 패턴들이 형성된 면이 도면에서 아래쪽을 향하도록 상기 레티클 스테이지(940)의 하면 상에 장착될 수 있다.
상기 블라인더(960)가 상기 레티클 스테이지(940)의 하부에 배치될 수 있다. 상기 블라인더(960)는 슬릿(962) 및 플레이트(964)를 포함할 수 있다. 상기 슬릿(962)은 어퍼쳐(aperture) 모양을 가질 수 있다. 상기 슬릿(962)은 상기 조영 미러 시스템(920)으로부터 상기 레티클 스테이지(940) 상의 레티클(70)로 전달되는 상기 극자외선의 모양을 성형할 수 있다. 상기 조영 미러 시스템(920)으로부터 전달된 상기 극자외선은 상기 슬릿(962)을 통과하여 상기 레티클 스테이지(940) 상의 상기 레티클(70)로 조사될 수 있다. 상기 레티클 스테이지(940) 상의 상기 레티클(70)로부터 반사되는 상기 극자외선은 상기 슬릿(962)을 통과하여 투사 미러 시스템(970)으로 전달될 수 있다. 상기 플레이트(964)는 상기 슬릿(962) 이외의 영역에 조사되는 상기 극자외선을 차단할 수 있다. 따라서, 상기 블라인더(960)는 상기 슬릿(962)을 통해 상기 극자외선의 일부를 통과시킬 수 있고 상기 플레이트(964)를 이용하여 상기 극자외선의 일부를 차단할 수 있다. 또한, 상기 레티클 스테이지(940)의 하면 상에 장착된 상기 레티클(70)에서 반사되는 상기 극자외선은 상기 슬릿(962)을 통과할 수 있다.
상기 투사 미러 시스템(970)은 상기 레티클(70)로부터 반사되어 상기 슬릿(962)을 통과한 상기 극자외선을 받아 웨이퍼(990)로 전달할 수 있다. 상기 투사 미러 시스템(970)도 다수 개의 투사 미러들(971-976)을 포함할 수 있다. 상기 투사 미러들(971-976)에 의해 상기 웨이퍼(990) 상에 조사되는 상기 극자외선은 상기 레티클(70)의 광학적 패턴들의 가상적인 에어리얼(aerial) 이미지 정보를 포함할 수 있다. 상기 웨이퍼(990) 상에 조사되는 상기 극자외선의 모양(shape)은 상기 슬릿(962)에 의해 성형된 모양을 그대로 가질 수 있다. 다수 개의 상기 투사 미러들(971-976)은 다양한 수차들(aberration)을 보정할 수 있다.
상기 웨이퍼 스테이지(980)는 상기 웨이퍼(990)를 안착하고 수평 방향으로 이동할 수 있다. 예를 들어, 도면에서 화살표 방향으로 이동할 수 있다. 상기 웨이퍼 스테이지(980)는 레티클 스테이지(940)와 동일한 방향으로 일정한 비율로 동시에 이동할 수 있다. 예를 들어, 이동 비율이 10:1(10%)인 경우, 상기 레티클 스테이지(940)가 좌측 또는 우측으로 10㎛ 이동할 때, 상기 웨이퍼 스테이지(980)는 동일한 방향으로 1㎛ 이동할 수 있다. 또는, 이동 비율이 5:1(20%)인 경우, 상기 레티클 스테이지(940)가 좌측 또는 우측으로 10㎛ 이동할 때, 상기 웨이퍼 스테이지(980)는 동일한 방향으로 2㎛ 이동할 수 있다. 이동 비율은 다양하게 설정될 수 있다. 예를 들어, 상기 웨이퍼 스테이지(980)는 스텝 앤 스캔 방식으로 이동할 수 있다. 상기 투사 미러 시스템(970)으로부터 조사되는 상기 극자외선의 초점은 상기 웨이퍼(990)의 표면 상에 위치할 수 있다. 예를 들어, 상기 웨이퍼(990) 상에는 일정한 두께를 가진 포토레지스트 층이 형성되고, 상기 극자외선의 초점은 포토레지스트 층 내에 위치할 수 있다.
도면에서, 상기 극자외선이 진행하는 경로들은 본 발명의 기술적 사상을 이해하기 쉽도록 하기 위하여 개념적으로 도시된 것이다.
도 16은 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 플로차트이다.
도 16을 참조하면, 공정 P902에서, 피쳐층 (feature layer)을 포함하는 웨이퍼를 제공한다.
일부 실시예들에서, 상기 피쳐층은 웨이퍼상에 형성된 도전층 또는 절연층일 수 있다. 예를 들면, 상기 피쳐층은 금속, 반도체, 또는 절연 물질로 이루어질 수 있다. 다른 일부 실시예들에서, 상기 피쳐층은 상기 웨이퍼의 일부일 수 있다.
공정 P904에서, 상기 피쳐층 위에 포토레지스트막을 형성한다. 일부 실시예들에서, 상기 포토레지스트막은 EUV (6.75 nm 또는 13.5 nm)용 레지스트 재료로 이루어질 수 있다. 다른 일부 실시예들에서, 상기 포토레지스트막은 F2 엑시머 레이저 (157nm)용 레지스트, ArF 엑시머 레이저 (193nm)용 레지스트, 또는 KrF 엑시머 레이저 (248 nm)용 레지스트로 이루어질 수도 있다. 상기 포토레지스트막은 포지티브형 포토레지스트 또는 네가티브형 포토레지스트로 이루어질 수 있다.
일부 실시예들에서, 상기 포지티브형 포토레지스트로 이루어지는 포토레지스트막을 형성하기 위하여, 산에 의해 분해 가능한 기(acid-labile group)를 가지는 감광성 폴리머와, 잠재적 산 (potential acid)과, 용매를 포함하는 포토레지스트 조성물을 상기 피쳐층 위에 스핀 코팅할 수 있다.
일부 실시예들에서, 상기 감광성 폴리머는 (메트)아크릴레이트계 폴리머를 포함할 수 있다. 상기 (메트)아크릴레이트계 폴리머는 지방족 (메트)아크릴레이트계 폴리머일 수 있다. 예를 들면, 상기 감광성 폴리머는 폴리메틸메타크릴레이트 (polymethylmethacrylate, PMMA), 폴리(t-부틸메타크릴레이트) (poly(t-butylmethacrylate)), 폴리(메타크릴산)(poly(methacrylic acid)), 폴리(노보닐메타크릴레이트) (poly(norbornylmethacrylate)), 상기 (메트)아크릴레이트계 폴리머들의 반복 단위들의 이원 또는 삼원 공중합체, 또는 이들의 혼합물일 수 있다. 또한, 위에서 예시한 감광성 폴리머들은 산에 의하여 분해 가능한(acid-labile) 다양한 보호기 (protecting group)로 치환되어 있을 수 있다. 상기 보호기는 t-부톡시카르보닐 (tertbutoxycarbonyl, t-BOC), 테트라하이드로피라닐 (tetrahydropyranyl), 트리메틸실릴 (trimethylsilyl), 페녹시에틸 (phenoxyethyl), 시클로헥세닐 (cyclohexenyl), t-부톡시카르보닐메틸 (tert-butoxycarbonylmethyl), t-부틸(tert-butyl), 아다만틸(adamantyl), 또는 노보닐(norbornyl) 기(group)로 이루어질 수 있다. 그러나, 본 발명의 기술적 사상은 상기 예시된 바에 한정되는 것은 아니다.
일부 실시예들에서, 상기 잠재적 산은 PAG (photoacid generator), TAG (thermoacid generator), 또는 이들의 조합으로 이루어질 수 있다. 일부 실시예들에서, 상기 PAG는 EUV 광 (1 ∼ 31 nm), F2 엑시머 레이저 (157nm), ArF 엑시머 레이저 (193nm), 및 KrF 엑시머 레이저 (248 nm) 중에서 선택되는 어느 하나의 광에 노광되면 산을 발생시키는 물질로 이루어질 수 있다. 상기 PAG는 오늄염, 할로겐 화합물, 니트로벤질 에스테르류, 알킬술포네이트류, 디아조나프토퀴논류, 이미노술포네이트류, 디술폰류, 디아조메탄류, 술포닐옥시케톤류 등으로 이루어질 수 있다.
도 16의 공정 P906에서, 본 발명의 기술적 사상에 의한 포토마스크 조립체를 노광 장치로 반입한다.
일부 실시예들에서, 상기 포토마스크 조립체는 도 12 및 도 13을 참조하여 설명한 포토마스크 조립체(600A, 600B) 및 본 발명의 기술적 사상의 범위 내에서 이들로부터 변형 및 변경된 포토마스크 조립체들 중 어느 하나일 수 있다.
일부 실시예들에서, 상기 포토마스크 조립체는 도 14에 예시한 집적회로 소자 제조 장치(800)의 마스크 로드 포트(800G)까지 반입될 수 있다.
도 16의 공정 P908에서, 포토마스크 조립체를 마스크 스테이지에 고정한다.
일부 실시예들에서, 상기 마스크 스테이지는 도 14에 예시한 집적회로 소자 제조 장치(800)의 마스크 스테이지(810)일 수 있다.
공정 P910에서, 포토마스크를 사용하여 웨이퍼상의 포토레지스트막을 노광한다.
일부 실시예에서, 상기 노광 공정은 반사형 노광계에서 수행될 수 있으나, 이에 한정되는 것은 아니다. 예를 들면 상기 노광 공정에서 투과형 노광계를 이용할 수도 있다.
공정 P912에서, 노광된 포토레지스트막을 현상하여 포토레지스트 패턴을 형성한다.
공정 P914에서, 포토레지스트 패턴을 이용하여 상기 피쳐층을 가공한다.
일부 실시예들에서, 공정 P914에 따라 피쳐층을 가공하기 위하여, 상기 포토레지스트 패턴을 식각 마스크로 이용하여 상기 피쳐층을 식각하여 미세한 피쳐 패턴을 형성할 수 있다.
다른 일부 실시예들에서, 공정 P914에 따라 상기 피쳐층을 가공하기 위하여, 상기 포토레지스트 패턴을 이온주입 마스크로 이용하여 상기 피쳐층에 불순물 이온을 주입할 수 있다.
또 다른 일부 실시예들에서, 공정 P914에 따라 상기 피쳐층을 가공하기 위하여, 공정 P912에서 형성한 포토레지스트 패턴을 통해 노출되는 상기 피쳐층 위에 별도의 프로세스막 (process film)을 형성할 수 있다. 상기 프로세스막은 도전막, 절연막, 반도체막, 또는 이들의 조합으로 이루어질 수 있다.
이상에서 살펴본 바와 같이 본 발명의 실시예들에 대해 상세히 기술되었지만, 본 발명이 속하는 기술분야에 있어서 통상의 지식을 가진 사람이라면, 첨부된 청구 범위에 정의된 본 발명의 정신 및 범위를 벗어나지 않으면서 본 발명을 여러 가지로 변형하여 실시할 수 있을 것이다. 따라서 본 발명의 앞으로의 실시예들의 변경은 본 발명의 기술을 벗어날 수 없을 것이다.
100: 펠리클
110: 펠리클 멤브레인
112: 탄소계 메인층
114, 114m, 116, 116m: 화학적 강화층
150: 프레임
160: 접착층

Claims (20)

  1. 펠리클 멤브레인; 및
    상기 펠리클 멤브레인에 부착된 프레임;
    을 포함하고,
    상기 펠리클 멤브레인은
    반대되는 두 표면인 제 1 표면 및 제 2 표면을 갖는 탄소계 메인층; 및
    상기 제 1 표면 및 제 2 표면 중 적어도 한 표면을 피복하는 붕소계 강화층;
    을 포함하고,
    상기 붕소계 강화층과 상기 탄소계 메인층 사이의 계면에 걸쳐 B4C의 농도 구배가 존재하고,
    상기 B4C의 농도 구배는 상기 탄소계 메인층에 가까울수록 더 높은 B4C 농도를 갖는 부분 및 상기 탄소계 메인층에 가까울수록 더 낮은 B4C 농도를 갖는 부분을 포함하는 극자외선(extreme ultraviolet light, EUVL) 노광용 펠리클.
  2. 제 1 항에 있어서,
    상기 붕소계 강화층은 원소 붕소(element boron), B4C, 붕소 산화물(boron oxide), 및 붕소 질화물(boron nitride) 중 1종 이상을 포함하는 것을 특징으로 하는 극자외선 노광용 펠리클.
  3. 제 2 항에 있어서,
    상기 붕소계 강화층은 원소 붕소(element boron)의 층 및 B4C의 층이 적층된 구조를 갖는 것을 특징으로 하는 극자외선 노광용 펠리클.
  4. 제 3 항에 있어서,
    상기 B4C의 층 및 상기 원소 붕소의 층은 상기 탄소계 메인층의 표면에 순차적으로 적층된 것을 특징으로 하는 극자외선 노광용 펠리클.
  5. 제 4 항에 있어서,
    상기 B4C의 층 및 상기 원소 붕소의 층 사이의 계면에 걸쳐 붕소의 농도 구배가 존재하고,
    상기 붕소의 농도 구배는 상기 탄소계 메인층으로부터 멀어질수록 더 높은 붕소 농도를 갖는 것을 특징으로 하는 극자외선 노광용 펠리클.
  6. 제 2 항에 있어서,
    상기 붕소계 강화층과 상기 탄소계 메인층 사이의 계면에 걸쳐 탄소의 농도 구배가 존재하는 것을 특징으로 하는 극자외선 노광용 펠리클.
  7. 제 6 항에 있어서,
    상기 탄소의 농도 구배는 상기 탄소계 메인층에 가까울수록 더 높은 탄소 농도를 갖는 것을 특징으로 하는 극자외선 노광용 펠리클.
  8. 삭제
  9. 제 1 항에 있어서,
    상기 탄소계 메인층은 sp2 결합이 sp3 결합에 비하여 적어도 10배 더 많거나 또는 전부 sp2 결합으로 이루어진 것을 특징으로 하는 극자외선 노광용 펠리클.
  10. 제 9 항에 있어서,
    상기 탄소계 메인층은 그래핀(graphene), 그라파이트(graphite), 또는 탄소나노튜브(carbon nanotube, CNT)를 포함하는 것을 특징으로 하는 극자외선 노광용 펠리클.
  11. 제 1 항에 있어서,
    상기 제 2 표면이 상기 프레임과 마주하는 표면이고,
    상기 붕소계 강화층이 상기 제 1 표면 위에만 형성된 것을 특징으로 하는 극자외선 노광용 펠리클.
  12. 펠리클 멤브레인; 및
    상기 펠리클 멤브레인에 부착된 프레임;
    을 포함하고,
    상기 펠리클 멤브레인은
    반대되는 두 표면인 제 1 표면 및 제 2 표면을 갖는 탄소계 메인층; 및
    상기 제 1 표면 및 제 2 표면 중 적어도 한 표면을 피복하는 수소 플라스마 내성 화학적 강화층;
    을 포함하고,
    상기 탄소계 메인층으로부터 유래한 성분과 상기 화학적 강화층으로부터 유래한 성분이 공존하는 인터믹싱층이 상기 탄소계 메인층과 상기 화학적 강화층의 계면에 걸쳐 존재하고,
    상기 인터믹싱층 내에 B4C의 농도 구배가 존재하고,
    상기 B4C의 농도 구배는 상기 탄소계 메인층에 가까울수록 더 높은 B4C 농도를 갖는 부분 및 상기 탄소계 메인층에 가까울수록 더 낮은 B4C 농도를 갖는 부분을 포함하는 극자외선(extreme ultraviolet light, EUVL) 노광용 펠리클.
  13. 제 12 항에 있어서,
    상기 화학적 강화층은 붕소(B)계 물질의 화학적 강화층이고,
    상기 붕소(B)계 물질의 화학적 강화층은 원소 붕소(element boron), B4C, 붕소 산화물(boron oxide), 및 붕소 질화물(boron nitride) 중 1종 이상을 포함하는 것을 특징으로 하는 극자외선 노광용 펠리클.
  14. 제 12 항에 있어서,
    상기 제 1 표면 및 상기 제 2 표면의 위에 각각 제 1 화학적 강화층 및 제 2 화학적 강화층이 제공되고,
    상기 제 1 화학적 강화층과 상기 탄소계 메인층의 계면을 포함하는 제 1 인터믹싱층은 상기 펠리클 멤브레인의 중심선에 대하여 상기 제 2 화학적 강화층과 상기 탄소계 메인층의 계면을 포함하는 제 2 인터믹싱층과 비대칭적인 농도 프로파일을 갖는 것을 특징으로 하는 극자외선 노광용 펠리클.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 펠리클 멤브레인 및 상기 펠리클 멤브레인에 부착된 프레임을 포함하는 펠리클; 및
    상기 펠리클이 고정된 표면을 가지는 포토마스크를 포함하고,
    상기 펠리클 멤브레인은
    반대되는 두 표면인 제 1 표면 및 제 2 표면을 갖는 탄소계 메인층; 및
    상기 제 1 표면 및 제 2 표면 중 적어도 한 표면을 피복하는 붕소계 강화층;
    을 포함하고,
    상기 붕소계 강화층과 상기 탄소계 메인층 사이의 계면에 걸쳐 B4C의 농도 구배가 존재하고,
    상기 B4C의 농도 구배는 상기 탄소계 메인층에 가까울수록 더 높은 B4C 농도를 갖는 부분 및 상기 탄소계 메인층에 가까울수록 더 낮은 B4C 농도를 갖는 부분을 포함하는 극자외선(extreme ultraviolet light, EUVL) 노광용 포토마스크 조립체.
KR1020170039301A 2017-03-28 2017-03-28 극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법 KR102310124B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020170039301A KR102310124B1 (ko) 2017-03-28 2017-03-28 극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법
US15/627,916 US10437143B2 (en) 2017-03-28 2017-06-20 Pellicle for exposure to extreme ultraviolet light, photomask assembly, and method of manufacturing the pellicle
CN201810264409.2A CN108663898B (zh) 2017-03-28 2018-03-28 用于暴露到极紫外光的护膜以及光刻系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170039301A KR102310124B1 (ko) 2017-03-28 2017-03-28 극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법

Publications (2)

Publication Number Publication Date
KR20180109498A KR20180109498A (ko) 2018-10-08
KR102310124B1 true KR102310124B1 (ko) 2021-10-08

Family

ID=63670356

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170039301A KR102310124B1 (ko) 2017-03-28 2017-03-28 극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법

Country Status (3)

Country Link
US (1) US10437143B2 (ko)
KR (1) KR102310124B1 (ko)
CN (1) CN108663898B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230112840A (ko) 2022-01-21 2023-07-28 한국표준과학연구원 펠리클 성능 평가 시스템 및 방법

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI741848B (zh) * 2018-10-29 2021-10-01 家登精密工業股份有限公司 光罩固持系統
US20200272047A1 (en) * 2019-02-22 2020-08-27 Applied Materials, Inc. Method of forming cnt-bnnt nanocomposite pellicle
WO2021080294A1 (ko) * 2019-10-22 2021-04-29 주식회사 에스앤에스텍 질화붕소 나노튜브를 사용하는 극자외선 리소그래피용 펠리클 및 이의 제조방법
DE102020115130A1 (de) * 2019-10-30 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Robuste membran mit hoher durchlässigkeit für lithografische extremes-ultraviolett-anlagen
EP3842861A1 (en) 2019-12-23 2021-06-30 Imec VZW A method for forming an euvl pellicle
KR20210119055A (ko) * 2020-03-24 2021-10-05 한국전자기술연구원 그래핀을 포함하는 그래핀-금속복합 펠리클 및 그의 제조방법
KR102229118B1 (ko) * 2020-07-08 2021-03-18 솔브레인 주식회사 펠리클 보호 박막 형성용 성장 억제제, 이를 이용한 펠리클 보호 박막 형성 방법 및 이로부터 제조된 마스크
KR102514088B1 (ko) * 2020-08-04 2023-03-27 주식회사 에스앤에스텍 1차원 나노물질을 사용하는 극자외선 리소그래피용 펠리클 및 이의 제조방법
EP4165469A4 (en) 2020-09-16 2023-12-13 Lintec Of America, Inc. ULTRA-THIN ULTRA-LOW DENSITY FILMS FOR EUV LITHOGRAPHY
KR102514745B1 (ko) * 2020-10-07 2023-03-29 주식회사 에프에스티 극자외선 리소그라피용 펠리클 및 그 제조방법
US11742390B2 (en) * 2020-10-30 2023-08-29 Texas Instruments Incorporated Electronic device with gallium nitride transistors and method of making same
KR102585401B1 (ko) * 2020-11-17 2023-10-10 주식회사 에스앤에스텍 독립된 박막 형태의 캡핑층을 갖는 극자외선 리소그래피용 펠리클 및 그 제조방법
JP2022125937A (ja) * 2021-02-17 2022-08-29 エア・ウォーター株式会社 ペリクルおよびペリクルの製造方法
KR102482650B1 (ko) * 2021-02-25 2022-12-29 (주)에프에스티 질화 붕소 나노 구조 층을 포함하는 극자외선 리소그라피용 펠리클 막 및 그 제조방법
US11860534B2 (en) 2021-08-06 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
KR20230082570A (ko) 2021-12-01 2023-06-08 주식회사 인포비온 극자외선 노광용 펠리클 및 이의 제조방법
KR20230114484A (ko) * 2022-01-25 2023-08-01 한국과학기술원 금속 나노섬이 포함된 캐핑층을 함유하는 다층막 멤브레인 구조 기반의 euv 펠리클 제조방법
EP4303655A1 (en) * 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
WO2023232408A1 (en) * 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6623893B1 (en) 2001-01-26 2003-09-23 Advanced Micro Devices, Inc. Pellicle for use in EUV lithography and a method of making such a pellicle
US6811936B2 (en) 2002-12-31 2004-11-02 Freescale Semiconductor Inc. Structure and process for a pellicle membrane for 157 nanometer lithography
US7767985B2 (en) 2006-12-26 2010-08-03 Globalfoundries Inc. EUV pellicle and method for fabricating semiconductor dies using same
US7666555B2 (en) 2006-12-29 2010-02-23 Intel Corporation Pellicle, methods of fabrication and methods of use for extreme ultraviolet lithography
US8018578B2 (en) 2007-04-19 2011-09-13 Asml Netherlands B.V. Pellicle, lithographic apparatus and device manufacturing method
EP2051139B1 (en) 2007-10-18 2010-11-24 Shin-Etsu Chemical Co., Ltd. Pellicle and method for manufacturing the same
KR100923304B1 (ko) * 2007-10-29 2009-10-23 삼성전자주식회사 그라펜 시트 및 그의 제조방법
CN105700300B (zh) 2010-06-25 2019-06-18 Asml荷兰有限公司 光谱纯度滤光片
US9029228B2 (en) 2011-10-19 2015-05-12 SunEdision Semiconductor Limited (UEN201334164H) Direct and sequential formation of monolayers of boron nitride and graphene on substrates
US20130250260A1 (en) 2012-03-23 2013-09-26 Globalfoundries Inc. Pellicles for use during euv photolithography processes
US10139725B2 (en) 2013-03-27 2018-11-27 Asml Netherlands B.V. Lithographic apparatus
JP2014211474A (ja) * 2013-04-17 2014-11-13 凸版印刷株式会社 ペリクル及びペリクルの製造方法
EP2905637A1 (en) * 2014-02-07 2015-08-12 ASML Netherlands B.V. EUV optical element having blister-resistant multilayer cap
CN106233202B (zh) 2014-04-17 2020-07-10 汉阳大学校产学协力团 用于euv光刻的防护薄膜组件
KR101676095B1 (ko) 2014-04-17 2016-11-16 한양대학교 산학협력단 Euv 리소그래피용 펠리클
US9360749B2 (en) * 2014-04-24 2016-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle structure and method for forming the same
CA3165048A1 (en) * 2014-07-04 2016-01-07 Asml Netherlands B.V. Membranes for use within a lithographic apparatus and a lithographic apparatus comprising such a membrane
JP6520041B2 (ja) 2014-10-21 2019-05-29 凸版印刷株式会社 ペリクル
KR102246875B1 (ko) 2014-11-13 2021-04-30 삼성전자 주식회사 그라파이트 층을 갖는 펠리클을 제조하는 방법
JP6408396B2 (ja) * 2015-02-17 2018-10-17 三井化学株式会社 ペリクル膜の製造方法、ペリクルの製造方法、およびフォトマスクの製造方法
KR102386840B1 (ko) 2015-02-24 2022-04-14 삼성전자주식회사 금속과 그래핀층 사이에 절연층을 층간 삽입하는 방법 및 상기 방법을 이용한 반도체 소자 제조 방법
KR102463893B1 (ko) * 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR102366806B1 (ko) * 2015-05-13 2022-02-23 삼성전자주식회사 열 축적을 방지하는 펠리클 및 이를 포함하는 극자외선 리소그래피 장치
US10162258B2 (en) * 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle fabrication methods and structures thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230112840A (ko) 2022-01-21 2023-07-28 한국표준과학연구원 펠리클 성능 평가 시스템 및 방법

Also Published As

Publication number Publication date
CN108663898A (zh) 2018-10-16
US20180284599A1 (en) 2018-10-04
US10437143B2 (en) 2019-10-08
CN108663898B (zh) 2022-07-26
KR20180109498A (ko) 2018-10-08

Similar Documents

Publication Publication Date Title
KR102310124B1 (ko) 극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법
US11914286B2 (en) Pellicle assembly and method for advanced lithography
TWI570522B (zh) 保護膜結構及其形成方法及保護膜-罩幕結構
KR20210122679A (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
US20220146928A1 (en) Multilayer graphene direct growth method and method for manufacturing pellicle for extreme ultraviolet lithography using the same
KR20160143090A (ko) 펠리클 조립체의 제조 방법 및 펠리클 조립체를 포함하는 포토마스크 조립체의 제조 방법
US11789359B2 (en) Method for manufacturing pellicle for extreme ultraviolet lithography having graphene defect healing layer
JP2012182235A (ja) 反射型マスクおよび露光装置
US20210063876A1 (en) EUV Photoresist With Low-Activation-Energy Ligands or High-Developer-Solubility Ligands
TWI818522B (zh) 製造具有石墨層的護膜的方法
KR20160085146A (ko) 지지 층을 이용한 펠리클 제조 방법
JP7420859B2 (ja) 極紫外線露光用ペリクル
US20220244634A1 (en) Network type pellicle membrane and method for forming the same
US20230161261A1 (en) Optical assembly with coating and methods of use
US11927881B2 (en) Pellicle for extreme ultraviolet lithography based on yttrium carbide
EP4075194A1 (en) Pellicle for extreme ultraviolet lithography based on yttrium
US20230408906A1 (en) Optical assembly with coating and methods of use
KR20240036598A (ko) 펠리클막, 펠리클, 펠리클 부착 노광 원판, 노광 방법, 반도체의 제조 방법 및 액정 표시판의 제조 방법
CN114690544A (zh) 光罩表层膜及其形成方法
KR20090102547A (ko) 불순물 제거 방법
JP2006210602A (ja) 露光装置、露光方法、および微細パターンを有するデバイスの製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right