CN106489084B - 用于光刻设备内的膜和包括这种膜的光刻设备 - Google Patents

用于光刻设备内的膜和包括这种膜的光刻设备 Download PDF

Info

Publication number
CN106489084B
CN106489084B CN201580036687.7A CN201580036687A CN106489084B CN 106489084 B CN106489084 B CN 106489084B CN 201580036687 A CN201580036687 A CN 201580036687A CN 106489084 B CN106489084 B CN 106489084B
Authority
CN
China
Prior art keywords
euv
radiation
layer
pellicle
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580036687.7A
Other languages
English (en)
Other versions
CN106489084A (zh
Inventor
安德雷·亚历山德罗维奇·尼基佩洛夫
V·Y·班尼恩
约瑟夫·帕卓斯·亨瑞克瑞·本叔普
阿尔扬·布格阿德
弗洛里安·迪迪埃·阿尔滨·达鲁因
阿列克谢·谢尔盖耶维奇·库兹涅佐夫
玛丽亚·皮特
L·斯卡克卡巴拉兹
威廉·琼·范德赞德
彼得-詹·范兹沃勒
A·M·雅库尼恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Priority to CN201910757335.0A priority Critical patent/CN110501769B/zh
Priority to CN202111335991.5A priority patent/CN114035254A/zh
Publication of CN106489084A publication Critical patent/CN106489084A/zh
Application granted granted Critical
Publication of CN106489084B publication Critical patent/CN106489084B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1838Diffraction gratings for use with ultraviolet radiation or X-rays
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/208Filters for use with infrared or ultraviolet radiation, e.g. for separating visible light from infrared and/or ultraviolet radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70883Environment aspects, e.g. pressure of beam-path gas, temperature of optical system
    • G03F7/70891Temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)
  • Optical Filters (AREA)

Abstract

本发明披露一种对极紫外线(EUV)辐射透射的膜,所述膜可用作光刻设备中的表膜或光谱滤光片。该膜包括:一个或更多个高掺杂区,其中所述膜是以大于1017cm‑3的掺杂剂浓度而掺杂;及具有低掺杂(或未掺杂)的一个或更多个区。所述膜可具有具备低掺杂的主衬底和一个或更多个附加层,其中所述高掺杂区被包括于所述附加层中的一些或全部内。

Description

用于光刻设备内的膜和包括这种膜的光刻设备
相关申请的交叉应用
本申请主张2014年7月4日提交的欧洲申请14175835.9和2015年5月28日提交的欧洲申请15169657.2的权益,它们在本文中通过参考而合并到本文中。
技术领域
本发明涉及到用于光刻设备内的膜,且更具体地涉及到可形成所述设备内的表膜或光学滤光片部件的部分的极紫外线(EUV)透射膜,及一种包括这种膜的光刻设备。
背景技术
光刻设备是一种将所需图案应用到衬底上,通常是衬底的目标部分上的机器。例如,可以将光刻设备用在集成电路(IC)的制造中。在这种情况下,可以将可选地称为掩模或掩模版的图案形成装置用于生成待形成在所述IC的单层上的电路图案。可以将该图案转移到衬底(例如,硅晶片)上的目标部分(例如,包括一部分管芯、一个或多个管芯)上。通常,图案的转移是通过把图案成像到设置在衬底上的辐射敏感材料(抗蚀剂)层上进行的。通常,单个的衬底将包含被连续形成图案的相邻目标部分的网络。
光刻被广泛地认为是在IC和其它装置和/或结构的制造中的关键步骤之一。然而,随着使用光刻所制造的特征的尺寸变得越来越小,光刻正变为用于使得能够制造小型IC或其它装置和/或结构的更具决定性因素。
图案印刷的极限的理论估计可由用于分辨率的瑞利(Rayleigh)判据给出,如方程式(1)所示:
Figure GDA0001202758460000011
其中λ为所使用辐射的波长,NA为用来印刷图案的投影系统的数值孔径,k1为工艺相关调整因子(也被称为瑞利常数),且CD为所印刷特征的特征尺寸(或临界尺寸)。从方程式(1)可见,能够用三种方式来获得特征的最小可印刷尺寸的减少:通过缩短曝光波长λ、通过增加数值孔径NA,或通过减少k1的值。
为了缩短曝光波长且因此减少最小可印刷尺寸,已提出使用极紫外线(EUV)辐射源。EUV辐射是具有在5纳米至20纳米的范围内(例如,在13纳米至14纳米的范围内)的波长的电磁辐射。已还提出可使用具有小于10纳米(例如,在5纳米至10纳米的范围内,诸如,6.7纳米或6.8纳米)的波长的EUV辐射。这样的辐射是极紫外线辐射或软X射线辐射。例如,可能的源包括例如激光产生等离子体源、放电等离子体源,或基于由电子储存环提供的同步加速器辐射或基于自由电子激光的源。
出于多种原因,在EUV光刻设备中常常需要薄的透射型EUV膜。一个如此的原因可以是保护(例如)掩模版和/或光刻部件免受粒子(具有在纳米至微米的范围内的颗粒尺寸)污染。另一原因可以是从所产生的EUV辐射而以光谱方式滤出不希望的辐射波长。
需要所述透射型EUV膜(或简称EUV膜)对EUV辐射是极其透明的,且因此需要透射型EUV膜是极薄的。为了最小化EUV辐射的吸收,典型EUV膜具有10纳米至100纳米的厚度。
EUV膜可包括自由悬浮(即,自立式)膜(薄膜),其包括通过硅晶片的蚀刻而产生的材料,诸如,多晶硅(多晶Si)。EUV膜也可包括一个或两个表面上的一层或更多层保护涂层(例如,保护盖层)以防止EUV引发的等离子体蚀刻(例如,由氢(H、H+、H2 +和/或H3 +)引发)。
尽管由EUV膜进行的EUV辐射的吸收可以是低的,但实际上其仍不为零,且残余EUV辐射的吸收引起EUV膜的温度增加。因为表膜是在真空中,所以用于表膜冷却的主工艺是辐射型热传递。如果EUV膜的温度超过损害阈值(例如,约500℃至700℃),则可能发生对EUV膜的损害。当在EUV膜内存在大温度梯度时,也可发生或放大损害。在此损害严重的情况下,EUV膜可能断裂,导致光刻设备的未受保护掩模版或其它元件(诸如,反射镜,或曝光至不希望的非EUV波长辐射的光致抗蚀剂)的损害/污染,从而导致相当大制造工艺停工时间。
显而易见的是,将EUV膜的温度维持低于损害阈值、和最小化温度梯度,能够增加EUV膜寿命。
所述表膜可能由于热负荷而发生故障的原因在于:它们并不会很好地吸收/发射IR辐射,尤其是针对高功率EUV辐射源,诸如,125瓦特的源及超出125瓦特的源。因为在IR波长区中发射了热辐射,则高光谱(IR)半球形发射率能够实现针对EUV膜的相当大热损耗。因此,希望制造具有高光谱发射率的EUV表膜。而且,如果大量的EUV辐射(诸如,90%或更多)待透射通过EUV膜,则需要使EUV表膜极薄。
发明内容
需要改进EUV膜的热特性,诸如,经改进的冷却和/或最小化EUV膜内的温度梯度。在本发明中,EUV膜意思是对EUV辐射基本上透射性的膜并且也被称作EUV表膜。在本发明中对EUV辐射基本上透射性(或简称为透射性)意思是对至少65%EUV辐射透射、优选地对至少75%EUV辐射透射、更优选地对至少85%EUV辐射透射、且最优选地对至少90%EUV辐射透射,以便在曝光期间提供足够EUV剂量。
为了在EUV透射仍相当大时增加对IR辐射的EUV表膜发射率,在本发明中提出:
a)利用杂质掺杂所述EUV表膜;和/或
b)利用用于改进的IR发射率的盖层(例如,利用金属盖层)来涂覆EUV表膜,所述盖层包括作为对IR辐射的良好吸收体或吸收层但在EUV辐射体系中透明的材料。这样的盖层优选地也将保护表膜免受氧化或其它环境危害。EUV表膜可被选择为对给定EUV辐射波长(诸如,13.5纳米或6.8纳米(或任何其它EUV辐射波长))的90%或多于90%是透射的。
在本发明中,根据本发明的EUV膜或EUV膜组件的改进的(增加型、增强型、最佳的)IR发射率意思是IR发射率多于0.1,诸如,多于0.15且优选多于0.2。优选地,EUV膜的IR发射率针对给定温度增加至少2倍。
如果EUV膜(即,EUV表膜)是由核心层(也被称作主衬底层)和一个或更多个盖层(也在本发明中被称作覆盖层,其通常为具有特定功能性的层,诸如,保护盖层)(来自所述一个或更多个盖层的至少一个盖层具有改进的IR发射率的功能)形成,则用于改进的IR发射率的盖层意思是所具备的IR发射率被选择为使得EUV膜的IR发射率大于核心层的IR发射率的盖层。例如,如果核心层的EUV发射率为约0.1,则用于改进的IR发射率的盖层的材料及厚度被选择为使得在相同条件下判定的EUV膜的总IR发射率多于0.15。尽管盖层在本发明中主要被称作能够被设置于核心层的顶部上的涂层,但在本发明中应理解,盖层也可以是介于两个核心层之间的层,或介于核心层与另一(第二)盖层之间的层,或介于具有相同或不同功能性(例如,保护性(诸如,抗氧化剂层)、抗扩散性,或用于改进的IR发射率)的两个盖层之间的层。
本发明中的核心层或主衬底层通常被理解为较厚层、多层堆叠,或是也提供用于EUV膜的大多数机械强度的高屈服强度材料的层。例如,为了耐受可在曝光期间由于高的热负荷而导致的大应力,所述核心层可需要具有为至少50MPa、优选至少100MPa、甚至更优选至少150MPa的屈服强度。通常,在50MPa至1000MPa的范围内的屈服强度可取决于材料而向EUV膜提供足够机械强度(例如,p-Si具有约180MPa的屈服强度且SiNx具有约500MPa的屈服强度)。通常,核心层的厚度可大于用于改进的发射率的盖层的厚度。当核心层是由多层堆叠形成时,所述堆叠的总厚度可大于用于改进的发射率的盖层的厚度,即使多层堆叠中的个别层的厚度与用于改进的发射率的盖层的厚度相当。然而,依赖于核心层及盖层的材料,EUV膜也能够被设计为具有相当的厚度,或甚至使得用于改进的发射率的盖层比核心层略微厚,只要满足对EUV透射、DUV抑制和/或IR发射率的所需要求即可。
如果EUV膜(EUV表膜)被掺杂以增加其发射率,则EUV膜的改进的IR发射率意思是:经掺杂的EUV膜的IR发射率在相同条件下大于相同材料及厚度的非掺杂EUV膜的IR发射率。
在改进的发射率的替代定义中,温度也可被视为限定参数。例如,改进的EUV膜的IR发射率也可被定义为增加了对于波长(例如,1微米至10微米)的EUV膜的热发射率,使得当EUV膜的温度的范围为从100℃至约1000℃且更具体地处于中等温度(小于500℃)时,由EUV膜吸收的能量的多于65%(优选多于85%)被辐射出。
本发明中的发射率大体上意思是半球形发射率(基于半球形IR辐射吸收),除非另有陈述。
在本发明的一方面中,提供一种对EUV辐射透射的膜,所述膜被掺杂有施主和/或受主杂质以便增加EUV表膜的IR发射率。已发现,为了使等离子体谐振与普朗克光谱中的峰值匹配以便最大化IR发射率所需的掺杂范围(即,普朗克发射率峰值对应于等离子体谐振)。例如,通过掺杂(多晶)硅,在IR中产生大约1微米至10微米的等离子,其与峰值普朗克黑体辐射相符/重合。容易地从导体的数目判定等离子体频率。原子的体积密度对于固体而言粗略为1022n/cm3。在金属的状况下,每个原子对导电带中的电子做出贡献,从而引起约1022载流子/cm3。金属具有为大约150纳米量级的典型等离子体波长。
等离子体频率ωp是与ωp=√ne成比例,其中ne为自由电荷载流子。如果需要大10倍波长(即,频率低10倍),则需要与1020个载流子对应的低100倍的自由电荷载流子密度。因此,如果(多晶)硅掺杂以0.1%至10%原子浓度的掺杂剂,则可在IR辐射光谱中产生等离子体谐振。这种等离子体激元被耦合至普朗克黑体光谱且产生附加IR吸收。
如果等离子体谐振频率比普朗克频率(在300K的情况下为10微米)高得多,则EUV表膜可变得更有反射性。(即,像金属)。如果等离子体谐振频率比普朗克频率低得多,则EUV表膜变得更有透射性(即,像电介质)。EUV表膜的所需的行为是半金属行为,其中等离子体波长在1微米与10微米之间。
从理论计算已发现,利用具有至少约(2至3)×1020n/cm3施主原子N型掺杂的EUV表膜材料,获得60纳米厚的多晶硅表膜的优化IR发射率。表膜温度越高,掺杂浓度由于在较高温度下的普朗克光谱的移位则应越高。在EUV表膜材料的P型掺杂的状况下,发现优化的掺杂是至少4×1020n/cm3受主原子。P型掺杂引起比N型掺杂略微更高(约10%)的IR发射率。相比于60纳米厚的多晶硅表膜,较薄表膜将会具有较高的优化掺杂浓度(例如,20纳米厚的表膜具有为大约le21的优化掺杂),且较厚表膜将会具有较低的优化掺杂浓度(200纳米厚的Si表膜具有为大约le20的优化掺杂)。通常,对于具有在10纳米与250纳米之间的厚度的EUV表膜,优化的掺杂剂浓度在从5×1019n/cm3原子至1×1021n/cm3原子的范围内。
在本发明的一方面中,提供一种对EUV辐射透射的膜,其包括:一个或更多个高掺杂区,其中所述膜是以高掺杂剂浓度而掺杂;及一个或更多个低掺杂区,其中所述膜未掺杂或具有低掺杂剂浓度;其中高掺杂剂浓度被限定为大于1017cm-3、优选大于1020cm-3的掺杂剂浓度;且低掺杂剂浓度被限定为小于1017cm-3、优选小于1020cm-3的掺杂剂浓度。
在本发明的另一方面中,提供一种对EUV辐射透射的膜(EUV表膜),所述膜具有选自如下的一种(核心)材料:(多晶)Si、Si3N4、SiC、ZrN、ZrB2、ZrC、MoB2、MoC、RuB2、LaB2、LaC、TiB2、TiC、(多)晶钇、(多)晶Zr、Be、C、B及B4C及复合物或源自它们的多层的组合。诸如ZrB2或ZrC的半金属可减少所述EUV表膜的静电充电。所述EUV表膜优选具有为60纳米或小于60纳米的厚度以允许足够EUV透射。
在本发明的另一方面中,提供一种用于光刻设备的膜,所述膜具有为至少0.1的IR辐射发射率且对具有6.7纳米波长的EUV辐射基本上透射,所述膜包括源自包括硼的材料的核心层,其中所述核心层的厚度在20纳米至150纳米之间。
在本发明的另一方面中,提供一种用于光刻设备的膜,所述膜具有至少0.1的IR辐射发射率且对EUV辐射基本上透射,所述膜包括源自包括Ru的材料的核心层,其中所述核心层的厚度在20纳米至30纳米之间。
在本发明的另一方面中,提供一种用于光刻设备的膜组件,所述膜组件具有至少0.1的IR辐射发射率且对EUV辐射基本上透射,所述膜组件包括用于改进的IR发射率的至少两个独立金属层,所述金属层包括吸收IR辐射且具有为20纳米或小于20纳米的一层厚度的金属,使得所述金属层对EUV基本上透明,其中用于改进的IR发射率的所述金属层由具有10微米或小于10微米的厚度D的间隙而分离开。可利用提供机械强度的支撑层来支撑所述金属层。
在本发明的另一方面中,提供一种光刻设备,其包括根据所述以上实施例的一个或更多个EUV膜。
附图说明
合并到本发明中且形成本说明书的部分的附图图示了本发明,且连同具体描述一起还用以解释本发明的原理并且能使本领域普通技术人员作出和使用本发明。参看附图而仅作为实例来描述了本发明的实施例,在所述附图中:
图1示意性地描绘具有反射投影光学件的光刻设备;
图2为图1的设备的更详细视图;
图3图示根据本发明的第一实施例的用作用于掩模的表膜的EUV膜;
图4图示根据本发明的第二实施例的EUV膜;
图5图示根据本发明的第三实施例的EUV膜;
图6图示根据本发明的第四实施例的EUV膜;
图7为针对扁平EUV膜及针对图6所描绘的EUV膜的预期温度分布跨越EUV膜随距离L变化的曲线图;及
图8图示根据本发明的第五实施例的EUV膜。
图9图示针对不同掺杂浓度的多晶Si的EUV膜的发射率作为温度的函数。
图10图示EUV膜功率吸收及最大温度相对于EUV源功率的比较。
图11示出1R发射率对EUV膜温度的效果/影响。
图12示出用于改进的IR发射率的Ru盖层相比于(多晶)Si的EUV膜的效果。
图13图示由于谐振吸收而增强IR发射率的双EUV表膜(即,膜组件)。
本发明的特征及优点将从当结合附图时在下文所阐述的详细描述而变得更显而易见。
具体实施方式
图1示意地示出了包括根据本发明一个实施例的光源模块SO的光刻设备LA。所述设备包括:
-照射系统(照射器)IL,其配置用于调节辐射束B(例如,EUV辐射);
-支撑结构(例如掩模台)MT,其构造用于支撑图案形成装置(例如掩模或掩模版)MA,并与配置用于精确地定位图案形成装置的第一定位装置PM相连;
-衬底台(例如晶片台)WT,其构造用于保持衬底(例如,涂覆有抗蚀剂的晶片)W,并与配置用于精确地定位衬底的第二定位装置PW相连;及
-投影系统(例如反射式投影系统)PS,其配置成用于将由图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如包括一根或更多根管芯)上。
照射系统可以包括各种类型的光学部件,例如折射型、反射型、磁性型、电磁型、静电型或其它类型的光学部件、或其任意组合,以引导、成形、或控制辐射。
所述支撑结构MT以依赖于图案形成装置MA的方向、光刻设备的设计和诸如例如图案形成装置是否保持在真空环境中等其它条件的方式保持图案形成装置MA。所述支撑结构可以采用机械的、真空的、静电的或其它夹持技术来保持图案形成装置。所述支撑结构可以是框架或台,例如,其可以根据需要成为固定的或可移动的。所述支撑结构可以确保图案形成装置位于所需的位置上(例如相对于投影系统)。
术语“图案形成装置”应该被广义地理解为表示能够用于将图案在辐射束的横截面上赋予辐射束、以便在衬底的目标部分上形成图案的任何装置。被赋予辐射束的图案可与在目标部分上形成的器件中的特定的功能层相对应,例如集成电路。
图案形成装置可以是透射型的或反射型的。图案形成装置的示例包括掩模、可编程反射镜阵列和可编程LCD面板。掩模在光刻技术中是熟知的,并且包括诸如二元掩模类型、交替型相移掩模类型、衰减型相移掩模类型和各种混合掩模类型之类的掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,每一个小反射镜可以独立地倾斜,以便沿不同方向反射入射的辐射束。所述已倾斜的反射镜将图案赋予由所述反射镜矩阵反射的辐射束。
类似于照射系统,“投影系统”可包括各种类型的光学部件,诸如折射型、反射型、磁性型、电磁型、静电型或其它类型的光学部件、或其任意组合,如对于所使用的曝光辐射所适合的、或对于使用真空的其它因素所适合的。可能需要将真空用于EUV辐射,这是因为其它气体可吸收过多辐射。因此,可借助于真空壁及真空泵而将真空环境提供给整个束路径。
如这里所示的,设备是属于反射型的(例如,采用反射式掩模)。
光刻设备可以是具有两个(双台)或更多个衬底台(和/或两个或更多掩模台)的类型。在这种“多平台”机器中,可以并行地使用附加的台,或可以在一个或更多个台上执行预备步骤的同时,将一个或更多个其它台用于曝光。
参照图1,照射器IL接收来自源模块SO的极紫外辐射束。用以产生EUV光的方法包括(但未必限于)利用在EUV范围内的一种或更多种发射谱线而将具有至少一种元素(例如,氙、锂或锡)的材料转换成等离子状态。在一种此类方法(常常被称为激光产生等离子体“LPP”)中,能够通过利用激光束来辐照燃料(诸如,具有所需谱线发射元素的材料液滴、串流或丛集)来产生所需等离子体。源模块SO可以是包括激光器(图1中未示出)的EUV辐射系统的部件,所述激光器用于提供激发所述燃料的激光束。所得的等离子体发射输出辐射,例如,EUV辐射,所述辐射是使用安置于源模块中的辐射收集器而收集的。例如,当使用CO2激光以提供用于燃料激发的激光束时,激光器与源模块可以是分立的实体。
在这种情况下,不会将该激光器考虑成形成光刻设备的一部分,并且通过包括例如合适的定向反射镜和/或扩束器的束传递系统的帮助,将所述辐射束从所述激光器传到所述源模块。在其它情况下,例如当所述源是放电产生等离子体EUV(常常被称为DPP源)发生器时,所述源可以是所述源模块的组成部分。
所述照射器IL可以包括用于调整所述辐射束的角强度分布的调整器。通常,可以对所述照射器的光瞳平面中的强度分布的至少所述外部和/或内部径向范围(一般分别称为σ-外部和σ-内部)进行调整。此外,所述照射器IL可以包括各种其它部件,例如琢面化场和光瞳反射镜装置。可以将所述照射器用于调节所述辐射束,以在其横截面中具有所需的均匀性和强度分布。
所述辐射束B入射到保持在支撑结构(例如,掩模台MT)上的所述图案形成装置(例如,掩模)MA上,并且通过所述图案形成装置来形成图案。在从所述图案形成装置(例如,掩模)MA反射之后,所述辐射束B通过投影系统PS,所述投影系统PS将辐射束聚焦到所述衬底W的目标部分C上。通过第二定位装置PW和位置传感器PS2(例如,干涉仪器件、线性编码器、或电容传感器)的帮助,可以精确地移动所述衬底台WT,例如以便将不同的目标部分C定位于所述辐射束B的路径中。类似地,可以将所述第一定位装置PM和另一个位置传感器PS1用于相对于所述辐射束B的路径精确地定位图案形成装置(例如掩模)MA。可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置(例如掩模)MA和衬底W。
提供EUV膜,例如,EUV表膜PE,以防止图案形成装置受到系统内的粒子污染。这些表膜可被设置在所示出的部位处和/或其它部位处。可提供另一EUV膜SPF作为光谱纯度滤光片,其可操作以滤出不希望的辐射波长(例如,DUV)。这些不希望的波长能够以不希望的方式影响晶片W上的光致抗蚀剂。SPF也可视情况帮助防止投影系统PS内的投影光学件受到在除气期间释放的粒子的污染(或替代地,为进行此操作可提供表膜来代替SPF)。这些EUV膜中的任一者可包括本发明所披露的EUV膜中的任一EUV膜。
图2更详细地示出光刻设备的实施例,其包括辐射系统42、照射系统IL及投影系统PS。如图2所示出的辐射系统42属于使用激光产生的等离子体作为辐射源的类型。可由气体或蒸汽(例如,Xe气体、Li蒸汽或Sn蒸汽)产生EUV辐射,其中产生非常热的等离子体以发射在电磁光谱的EUV范围内的辐射。通过(例如)使用CO2激光灯的光学激发而造成至少部分离子化等离子体来产生非常热的等离子体。为了辐射的有效率的产生,可需要(例如)10帕斯卡的分压的Xe、Li、Sn蒸汽或任何其它合适气体或蒸汽。在实施例中,使用Sn以产生等离子体,以便发射在EUV范围内的辐射。
辐射系统42体现图1的设备中的源SO的功能。辐射系统42包括源腔室47,在此实施例中,源腔室47不仅基本上围封EUV辐射的源,而且围封收集器50,在图2的实例中,收集器50为法向入射收集器,例如,多层反射镜。
作为LPP辐射源的部分,激光系统61被构造且布置成提供激光束63,激光束63是由束递送系统65递送通过设置于收集器50中的孔67。而且,辐射系统包括由靶材供应件71供应的靶材69,诸如,Sn或Xe。在此实施例中,束递送系统65被布置成建立基本上聚焦至所需等离子体形成位置73上的束路径。
在操作中,由靶材供应件71以液滴的形式供应靶材69,其也可被称作燃料。截留器72设置于源腔室47的相对侧上,以捕捉不管出于任何原因未变成等离子体的燃料。当靶材69的这种液滴到达等离子体形成位置73时,激光束63照射于所述液滴上,且EUV辐射发射等离子体形成于源腔室47内部。在脉冲式激光的情况下,这涉及对激光辐射的脉冲进行定时以与液滴通过位置73的传递相符。如所提及,燃料可以是(例如)氙(Xe)、锡(Sn)或锂(Li)。这些燃料产生具有若干105K的电子温度的高度离子化等离子体。可运用其它燃料材料(例如,Tb及Gd)来产生较高能量EUV辐射。在这些离子的去激发及再结合期间产生的带能辐射包括了在位置73处从等离子体发射的所需EUV。等离子体形成位置73及孔52分别位于收集器50的第一焦点及第二焦点处,且EUV辐射是由法向入射收集器反射镜50聚焦至中间焦点IF上。
从源腔室47发出的辐射束经由所谓法向入射反射器53、54而横穿照射系统IL,如在图2中由辐射束56所指示。法向入射反射器将束56经由表膜PE而导向至定位于支撑件(例如,掩模版或掩模台)MT上的图案形成装置(例如,掩模板或掩模)上。形成了图案化束57,其是由投影系统PS经由反射元件58、59而成像至由晶片载物台或衬底台WT承载的衬底上。比所示出元件更多的元件通常可存在于照射系统IL及投影系统PS中。例如,可存在比图2所示出的两个元件58及59多一个、两个、三个、四个或甚至更多的反射元件。与辐射收集器50类似的辐射收集器是从先前技术而言公知的。
如本领域技术人员读者将知晓的,可限定参考轴线X、Y和Z以用于测量和描述设备、其各部件和辐射束55、56、57的几何形状和行为。在设备的每一部分处,可限定X轴、Y轴和Z轴的局部参考坐标系。Z轴在系统中的给定点处与光轴O的方向大致重合,且大体上垂直于图案形成装置(掩模)MA的平面且垂直于衬底W的平面。在源模块(设备)42中,X轴与燃料串流(69,下文所描述)的方向大致重合,而Y轴正交于所述方向,其从页面中指出,如所指示。另一方面,在保持所述掩模版MA的支撑结构MT附近,X轴大体上横向于与Y轴对准的扫描方向。出于方便起见,在示意图图2的此区域中,X轴从页面中指出,再次如所标记。这些指定在本领域中是常规的,且将在本发明中出于方便起见而被采用。原则上,可选择任何参考坐标系以描述设备和其行为。
除了所需的EUV辐射以外,等离子体也产生其它波长的辐射,例如,在可见光范围、UV范围和DUV范围内。也存在来自激光束63的IR(红外线)辐射。在照射系统IL和投影系统PS中并不想要非EUV波长,且可部署各种措施以阻挡非EUV辐射。如图2示意性地所描绘,呈光谱纯度滤光片SPF的形式的EUV膜滤光片(即,SPF膜)可应用于虚拟源点IF上游,以用于IR、DUV和/或其它不希望的波长。在图2所示出的特定实例中,描绘两个光谱纯度滤光片,一个光谱纯度滤光片在源腔室47内且一个光谱纯度滤光片在投影系统PS的输出处。在一个实施例中,仅提供一个光谱纯度滤光片SPF膜,其可在这些部位中的任一中或在等离子体形成位置73与晶片W之间的别处,诸如,在掩模版的高度处。
然而,大的DUV抑制在掩模版的高度处是困难的,这是因为在该部位处,带外辐射的背向反射是不希望的(这是因为其能够影响掩模版形状)。因此,在掩模版的高度处,用以利用EUV膜(例如,EUV表膜)抑制DUV和IR的优选机制仅是吸收。
在另一实施例中,第一EUV膜可用于掩模版的高度处以抑制粒子碎屑淀积于掩模版上,且第二EUV膜可作为SPF膜用于投影系统PS的输出处(即,在晶片与投影系统PS的最后反射镜之间)。SPF膜是作为用于阻挡不希望的辐射波长的光谱滤光片而操作的EUV膜。可添加SPF膜以便抑制带外IR和DUV辐射,这是因为在晶片附近,反射和吸收二者可用以抑制不希望的辐射。
披露了用于EUV辐射的透射的EUV膜,其相比于目前EUV膜具有改进的热特性。这些EUV膜可包括(例如)(多晶)硅EUV膜。所述膜可被包括于光谱纯度滤光片(SPF)或表膜内。SPF和/或表膜可设置于光刻系统内的许多部位处,如已经描述。
在使用期间吸收辐射时,EUV膜变热。如果其温度增加得过高或膜内的温度梯度过大,则EUV膜可受到损害。因此,需要最小化EUV膜内的温度和温度梯度。因为EUV膜将用于极低压力(真空)环境中,所以冷却的仅有方式是辐射。因此,需要当EUV膜的温度的范围为约100℃至约1000℃、更优选为数百(例如,至少200℃)至约1000℃且、更具体地处于中等温度(小于500℃,诸如,从100℃至500℃)时,在大多数能量被辐射所处的波长(例如,1微米至10微米)的情况下,增加EUV膜的热发射率(即,改进IR发射率)。在这些条件下,例如,(多晶)硅材料的纯(即,块体)层呈现低热发射率,这是因为所有自由电荷载流子仍被束缚。
已进行基于用于计算半球形红外线吸收(其涉及到发射率)的多层菲涅耳反射系数和普朗克定律的模拟,以理解薄膜的IR吸收(热发射率)作为它们的厚度函数而变化。这些模拟已示出:诸如SiC和Si的介电材料的薄膜在它们变得较薄时将变得吸收较少IR辐射。因此,源自介电材料的EUV膜(它们需要是薄的以提供相当大EUV透射)通常将独自具有极小IR吸收/发射。
为了增加包括半导体材料的EUV膜中的发射率,EUV膜材料可被掺杂以增加所述材料内的自由电荷载流子的数目。这增加了经掺杂的膜的辐射吸收系数,导致发射率的增加。本领域技术人员读者将知晓,半导体材料与施主和/或受主的掺杂调整了在中等温度情况下的自由电荷载流子浓度(电子和/或空穴)。
为了显著的效果,待掺杂至半导体膜中的杂质的浓度应当高于1017cm-3。浓度可优选高于1018cm-3、1019cm-3或1020cm-3。可示出:在大于1.2微米的辐射波长下,当掺杂剂浓度从1017cm-3增加至1020cm-3时吸收系数能够增加1000倍。此情形同等地适用于掺杂p掺杂剂和n掺杂剂。
然而,添加掺杂剂倾向于减少诸如多晶硅的半导体材料的强度。由于EUV膜需要特别薄以便以最小损耗量透射EUV辐射,这特别地是EUV膜的问题。因此,提出多个解决方案以处理此问题。
图3为定位于掩模MA的经图案化区域前端的EUV膜300的示意图。EUV膜300在此处被示出为形成了表膜的部分,其被设计以使得粒子D离开掩模版MA的经图案化区域,同时允许透射EUV辐射束305。在此实例中,EUV膜300可包括表膜框架(图中未示出)内的EUV膜。EUV膜300可还包括(例如)用于将表膜框架附接至掩模版的紧固元件(图中未示出)。EUV膜300可置放于焦平面外,处于与掩模版MA相距一定距离处,使得污染物不被成像至晶片上。
在其它实施例中,EUV膜可形成表膜的部分以用于光刻设备内的另一部位,或SPF。
EUV膜300可包括多个层。这些层可包括主衬底层310、覆盖层311、312和中间层313、314,所述中间层可以是(例如)抗扩散层313、314。主衬底层310可以是(例如)(多晶)Si层。此布置仅作为实例被示出,且所示的层的其它组合是可以的。例如,EUV膜300可包括覆盖层311、312,而不具有任何中间层。在另一示例性替代方案中,在主衬底层的恰好一个表面上可存在仅一个覆盖层(在覆盖层与衬底层之间具有或不具有中间层)。在主衬底层的一个或两个表面上也可存在两个以上层。
通常,覆盖层311、312是由(惰性)材料制成以抵抗可损害主衬底层310的任何蚀刻剂或反应剂,例如,O和H根、H2和EUV。此材料的实例包括MoSi2、Si3N4、C3N4、ZrN、SiC。这些材料通常具有宽的禁带能量区,且在属性方面类似于陶瓷。因此,这些材料甚至在中等温度的情况下(例如,小于500℃)具有高发射率。此外,这些材料是从具有低EUV吸收的元素产生,所述EUV吸收可比得上纯Si吸收。因此,假设覆盖层311、312具有比主衬底层310小得多的厚度,则它们并不显著增加EUV膜300的总EUV吸收。覆盖层311、312也不应将过大应力置于主衬底层310上,以便保留其机械属性。
可提供中间层313、314以减少应力。例如,中间层313、314可包括在主衬底层310与覆盖层311、312之间具有中间晶格尺寸的材料。类似于覆盖层311、312,中间层313、314应对于EUV而言是高度地透明的。
在一实施例中,覆盖层311、312和/或中间层313、314(如果存在)可被掺杂以增加自由电荷载流子的浓度,如已经描述。以此方式,覆盖层311、312和/或中间层313、314形成膜内的高掺杂区。主衬底层310可形成为低掺杂区以维持强度。其它层311、312、313、314中的一个或更多个的掺杂显著增加EUV膜300的发射率,如已经描述。
高掺杂区具有至少1017cm-3的掺杂剂浓度,而低掺杂区具有小于的1017cm-3的掺杂剂浓度。高掺杂区的掺杂等级可以是上文关于半导体膜的掺杂所描述的那些掺杂等级中的任一种,且如此可(例如)高于1018cm-3、高于1019cm-3,或高于1020cm-3。诸如主衬底层(即,核心层)的低掺杂区的掺杂等级可(例如)小于1016cm-3、小于1016cm-3或小于1014cm-3。低掺杂区可以是未掺杂的且因此不具有(有意的)附加掺杂剂。
图4示出一替代实施例,其示出EUV膜400具有与EUV膜300相同的层结构,而且包括置放于覆盖层311、312上的附加覆盖层411、412,如图4所示出。代替覆盖层311、312(或除了覆盖层311、312以外),这些附加覆盖层411、412也可以是高掺杂区。附加覆盖层411、412的掺杂浓度可以是先前段中所提及的掺杂浓度中的任一种。
通过仅掺杂覆盖层311、312、411、412或中间层313、314而非主衬底层310,则减轻了掺杂的弱化效应,且因此总EUV膜300更强固。
图5图示另一实施例。其示出EUV膜500,EUV膜500可仅包括单一主衬底层,或替代地可包括覆盖层/中间层,诸如,层311、312、313、314且可能也包括层411、412。在此实施例中,主衬底层和(在存在的情况下)覆盖层/中间层中的一个或更多个包括掺杂(其可处于已经描述的浓度),但其中高掺杂区仅限于所掺杂层的中心区510。此掺杂层的周缘520是低掺杂区,其中其可由框架保持。这增加了EUV膜500在其周缘处的强度,所述EUV膜500的周缘由于被框架保持而经受较大应力。应了解,周缘区域520几乎不透射或不透射EUV,这是因为此EUV主要或完全透射通过中心区510。因此,周缘区域520经受极小加热且其热特性较不重要。
视情况,掺杂可逐渐变化,使得掺杂朝向中心增加。在这些布置中,可遍及EUV膜的全半径或其层发生梯度(即,掺杂在膜边缘处开始且朝向中心增加)。替代地,掺杂可仅在中心区510的边缘处开始且朝向中心增加,其中周缘区520未掺杂。或可仅针对未掺杂的周缘区与具有高掺杂的中心区之间的中间区段发生掺杂分级。
在使用与先前段中所描述的原理类似的原理的情况下,可将掺杂以点掺杂的形式引入至任何层。点掺杂包括由无掺杂或低掺杂(且因此具有较大强度)的区分离的多个高掺杂(高发射率)区。还有,此概念可适用于仅包括单一主衬底层的EUV膜500,或适用于包括附加层(诸如,覆盖层和/或中间层)的EUV膜500,在此种状况下可将掺杂引入至这些层中的任一个或更多个。在实例中,高掺杂区可彼此分离达大约1微米至5微米。应了解,通往高掺杂区的热流量是通过具有可比得上或甚至长于此波长的波长的声子进行。通过两种机制来转移热:辐射(光子)和热传导(晶格内的原子的振荡,声子)。当在功率经淀积的情况下(未掺杂区)与在功率经移除的情况下(高掺杂区)之间的距离封闭时,显著更快地转移功率;封闭可被定义为可比得上具有典型能量的声子的波长(由温度限定,此波长是大约几微米)。
当然,先前段中所描述的概念可被组合从而使得点掺杂仅限于EUV膜的中心区510或其层,其中在周缘区520中未掺杂。且掺杂浓度可逐渐变化,使得较接近周缘的高掺杂区相比于较接近中心的高掺杂区被较低程度地掺杂。此情形可帮助控制热诱发的应力和冷却速率(该二者都根据掺杂剂浓度而变化)。此情形也可帮助控制变形,诸如,所形成的皱折或褶皱。当EUV膜的温度增加时,EUV膜包括的材料发生膨胀。作为EUV膜的标称形状的扁平平面不能容纳所述经膨胀的材料,且形成了褶皱或皱折。在EUV辐射以一角度跨越过EUV膜时,由褶皱进行的EUV辐射吸收较高,且因此,有效吸收路径较长。褶皱可具有约10微米或大于10微米(跨度)的横向尺度,且将被成像于晶片上。在使用点掺杂的情况下,褶皱的典型尺度是被由于温度分布曲线控制和机械属性控制的组合效应的高掺杂区和低掺杂区的几何形状和尺度限定。在温度增加的情况下,点掺杂膜中的褶皱的角度相同,但横向尺寸减少,且因此,这些褶皱不再被成像。
先前研究已示出:例如,光子穿隧和表面极化可当介于辐射物件之间的分离距离小于主热波长时在近场辐射能量转移中起关键作用。例如,由B.Liu等的研究(Phys.Rev.B87,115403,(2013年))已展示:一些材料的近场辐射热传递可由于穿过消散波/衰减波的能量转移而超过黑体辐射极限少数数量级。所研究的材料支持IR区中的表面极化(例如,掺杂的Si材料、SiC、BN或可能用作覆盖层510和514的候选材料的任何合适材料)。
也可在B.Liu等的研究中找到比较依据距离d而变化的由SiC和金制成的两个半无限板之间的近场辐射热传递的曲线图(图1)。距离d表示介于两个板之间的真空间隙尺寸。如在B.Liu等的图1中可看到,由SiC和金制成的板之间的近场辐射热传递比两个SiC板之间的热传递小三个数量级。
因此,为了进一步改良沿着表膜的横向辐射热传递,在实施例中,提出将多个附加特征设置于EUV膜表面中之一上。这些附加特征可在蚀刻工艺期间生长或形成。附加特征可呈任何合适形状。在实例中,附加特征包括从EUV膜表面法向地延伸的周期性或非周期性线或薄壁或肋。附加特征可包括掺Si或以Si为基础的材料或任何合适覆盖层材料,诸如,具有掺杂剂浓度和本发明所披露的布置中的任一的材料中的任一材料。每个附加特征的特征尺寸应显著小于由所述特征约束的区域的尺寸。可示出:如果附加特征之间的距离≤1微米,则预期到所述辐射热传递比黑体极限高10倍至10000倍。
图6示出包括多个附加特征620(例如,由周期性或非周期性壁或线结构620形成)的EUV膜600。附加特征620可位于EUV膜600的下部侧上(所述侧向EUV辐射曝光)。EUV膜的面对掩模版的侧部可以是扁平以维持纯度。由竖直箭头630象征辐射热传递。水平箭头640象征由附加特征620产生的横向辐射热传递。应注意,照射EUV辐射(未示出)几乎垂直于表膜P而传播。因此,附加特征620(呈此处所示出的形式,即,线或肋)在掩模版MA和/或晶片W上投射最小阴影。
据信,EUV膜中的横向温度梯度造成与高温自身对膜造成的损害一样多的损害。虽然本发明所描述的所有实施例显著减少在曝光于EUV辐射期间所述EUV膜中的温度梯度,但图6中所描绘的实施例特别有效,这是因为相比于扁平膜情况(其中温度仅由声子转移)通过添加另一机制:辐射热传递而增加了横向热传导。据信,从EUV膜至附加特征620的热传递并非限制性的,这是因为典型尺度很小。有效的横向热传递将会最小化这些温度梯度且延长表膜的寿命。
图7为预期温度分布相对于跨越EUV膜的距离L的曲线图。线PEUV表示跨越表膜的EUV辐射功率分布。线TA表示扁平EUV膜的温度分布。线TB表示跨越图6中所描绘的EUV膜的温度分布。如从图7可见,相比于扁平EUV膜,对于图6实例的跨越EUV膜的温度梯度被减少。
图8示出EUV膜800的另一实施例,EUV膜800包括对图6所描绘的实施例的改进。在此实施例中,附加特征820包括模仿小阶梯光栅的形状和/或构造的形状和/或构造。在所述特定实例中,附加特征包括线或肋820的重复组,其中每一组的独立线/肋820在高度方面逐渐递减(或增加),如所示出。结果为小阶梯光栅的近似,其由虚线而图示。小阶梯光栅般的结构帮助将源自由每个线/肋820个别地地进行的EUV辐射的散射的不希望的辐射830在图案从掩模版MA至晶片的转移期间导向远离EUV辐射840的阶(例如,0阶和1阶)。
图9图示60纳米厚度的掺杂EUV多晶硅表膜的发射率(图9中的左侧曲线图),和针对纯质多晶硅表膜相对于掺杂表膜的整体发射率相对于以K为单位的温度(图9中的右侧曲线图)的变化。为了增加发射率高于0.1,则以至少5×1019cm-3掺杂60纳米多晶硅表膜。
在所有以上实施例中,为了强度和可靠度,掺杂材料可限于对EUV透明且具有与Si晶格的最小失配的材料(例如,碳、棚和氮)。在其它实施例中,可使用对13.5纳米不透明但对其它EUV/BUV波长透明的掺杂剂,其中波长适于光刻系统。这些掺杂剂材料可包括:S、Te、As、O、Al、Sn、Sb、In、Ga、Br、Cl、I、C、B、N。
尽管上文已将多晶硅视为EUV表膜核心层材料的主实例(这是因为其在13.5纳米的EUV辐射下为最透明材料),但可针对任何半导体进行EUV表膜材料与杂质的掺杂以便增加发射率。可使用在EUV体系中均为透明材料的B或P二者进行掺杂。如果硅被掺杂有B或P,则EUV损耗也是可忽略的。
为了在EUV透射仍相当大的同时增加对IR辐射的EUV表膜发射率,本发明中替代地或补充地,也提出利用用于改进的IR发射率的盖层(例如,利用金属盖层)来涂覆EUV表膜,所述盖层包括作为对IR辐射的良好吸收剂但在EUV辐射体系中透明的材料。此盖层可另外保护表膜免受氧化或其它环境危害。
金属盖层应为封闭薄膜,即,金属岛状物通常不是优选的,这是因为电阻率可上升10,000倍,且消除Drude吸收项。这些非均质薄膜可变得透明,且因此提供不充分吸收。
EUV表膜可被选择为对于给定EUV辐射波长(诸如,13.5纳米或6.8纳米(或任何其它EUV辐射波长))的90%或多于90%是透射性的。作为实例,以3纳米Si3N4涂覆于两侧上的具有45纳米厚度的多晶硅表膜具有约85%的EUV透射比,将具有不良(几乎不具有)IR发射率(即,其可变得非常热),其反射存在于辐射光谱中的许多DUV辐射(其并非对于成像目的而言是所需的)且将几乎不透射任何DUV辐射(此情形不会引起经由表膜检测执行以检测微粒碎屑的选项)。
在本发明的一方面中,提供对EUV辐射透射的膜(即,EUV表膜),其具有选自如下的核心层材料:(多晶)Si、Si3N4、SiC、ZrN、ZrB2、ZrC、MoB2、MoC、RuB2、LaB2、LaC、TiB2、TiC、(多)结晶钇、(多)结晶Zr、Be、C、B和B4C以及复合物或源自它们的多层的组合。诸如ZrB2、ZrC的半金属可减少EUV表膜的静电充电。氮化硅Si3N4(也被称作SiNx)在本发明中是指非晶硅氮化物且合并有化学计量的(3∶4比率,x=1.33)和非化学计量的SiNx合金(0<x<1.6)二者。
EUV表膜优选地具有60纳米或更小的厚度以允许足够EUV透射(优选地至少90%的EUV辐射透射)。为了将足够强度提供至EUV膜,可需要使核心层具有至少5纳米的最小厚度、优选地至少10纳米且更优选至少15纳米的最小厚度。
可在一侧或两侧上利用源自具有适合于增加IR发射率的厚度的材料的金属层或另一盖层(也被称作覆盖层)来罩盖所述EUV表膜核心层(也被称作主衬底层)。具有良好EUV透射比的合适盖层金属的实例是Ru、Ti、Nd、Pr、Mo、Nb、La、Zr、B、Y和Be。这些和其它金属也能够以类似方式用以涂覆EUV表膜(更具体地是核心层)且可提供改进的IR发射率。例如,具有B或Be核心层且用Ru、Mo层或其它金属(复合)盖层来罩盖的表膜可提供基本上改进的IR发射率。
金属薄层具有受到等离子体频率强烈影响的发射率。较不导电的诸如Ru的金属具有较少自由电荷载流子且因此具有较低等离子体频率,因此其相比于诸如Au或Ag的较导电金属而言是对于改进的IR发射率的更佳选择。用于等离子体频率的最高值针对Al而言是大约10eV。Au薄膜取决于薄膜品质具有从7eV至9eV变化的等离子体频率。
盖层也可以是包括金属和EUV透明杂质的复合材料。通过添加非金属或不良导电的EUV透明杂质,可将等离子体频率调谐至较低值,在此种状况下,许多金属变成作为具有改进的IR发射率的EUV表膜盖层的良好候选。不良导电杂质的实例为硼、氮化物、碳、硅、缌、钙和磷。通过添加杂质和降低等离子体波长,可增加金属层厚度。在此状况下的杂质浓度优选地小于10%原子百分比。
为了保护表膜的膜堆叠中(即,在包括一个或更多个核心(多)层和用于改进的IR发射率的至少一个盖层的多层堆叠中)的金属盖层,可在用于改进的IR发射率的金属盖层的顶部上包括附加保护盖层。此保护盖层可减小在EUV等离子体环境中的氧化和蚀刻的效果。适合于此保护盖层的材料的实例可以是以下材料的氧化物、碳化物或氮化物:Zr、Ti、Hf、Si、Rh或Ru(例如,ZrO2、ZrN、ZrC等等)。这些保护盖层的厚度优选地是大约1纳米至3纳米。
已发现,通常反射IR辐射的金属层当它们的厚度小于集肤深度时变得较有吸收性。薄达1纳米的金属层可具有接近于0.5的理论极限的几乎扁平光谱响应和发射率。在层厚度减少的情况下吸收增加的原因可以是对于金属的大吸收系数和由于在金属-真空界面和金属-电介质界面处的相消干涉的反射消除。
在本发明的一方面中,提供对EUV辐射透射的膜,所述膜被涂覆有用于改进的IR发射率的盖层,所述盖层包括厚度<在1尺辐射中金属的集肤深度的金属盖层。用于IR辐射的金属盖层的集肤深度厚度通常可以是<10纳米,但存在具有比10纳米大一点的厚度的根据本发明仍可起作用的金属,诸如,钇(Y)。集肤深度在本发明中意思是使光损失其63%的强度(或具有强度1/e)的厚度。集肤深度取决于光波长。大多数金属在IR辐射中通常具有大约10纳米的集肤深度(即,穿透10纳米金属层的IR辐射将损失其63%的强度)。
薄金属盖层基本上充当IR吸收剂,而EUV辐射的透射可基本上相同。例如,已判定(多晶)硅表膜(其具有为58纳米厚度的核心和所述表膜的每一侧上的1纳米的Ru层(这是因为Ru具有良好EUV透明度)),则针对13.5纳米的EUV辐射具有为0.878的透射比(相比于对于具有60纳米厚度的(多晶)硅表膜为0.9的透射比)。然而,当在一侧或每侧上(例如)利用1纳米至2纳米的Ru盖层来涂覆EUV表膜时,(多晶)硅表膜的发射率可上升10倍或10倍以上。(多晶)Si膜上的Ru或其它金属可将EUV膜的发射率从<0.01增强至高达0.4或更多。然而,应当心,因为Ru或Mo具有接近EUV辐射的1/4波长的厚度,所以其可反射大约1%的EUV辐射,这可对CD均一性有害。计算已示出:EUV膜上的具有接近于1纳米的厚度的Ru盖层可具有减少的EUV反射且仍具有一些IR发射率。具有一半波长的厚度(例如,对于13.5纳米的EUV辐射具有6.7纳米的厚度)的Ru也可充当抗反射(AR)涂层(不具有EUV反射);然而,当Ru盖层厚度在此状况下为大约1/4的EUV波长时,在此情况下,EUV反射具有最高值。
作为用以减少被涂覆有用于改进的IR发射率或任何其它功能的单一金属盖层的EUV膜的EUV反射(EUVR)的策略,本发明中提出使金属层的厚度D是用于光刻曝光的EUV辐射(例如,13.5纳米、6.7纳米或4.37纳米的EUV辐射)的一半波长λ的倍数:
D=nλ/2 (1)
其中n为整数=3,4,5,6或更大。优选地,n具有值使得金属盖层具有小于在IR辐射中的金属集肤深度的厚度。
用于低EUVR的其它AR策略可以是采取2纳米或更小的低金属盖层厚度,诸如在1纳米与2纳米之间(即,使IR发射率增强盖层足够薄,因此EUV反射较低),或具有粗略的非尖锐扩散边界。
在用于改进的发射率的偶数个金属盖层(诸如,两个金属盖层)的情况下,个别金属层的反射率遵循与针对一个金属层的规则相同的规则。本发明中提出呈抗反射构造的EUV膜,其中两个金属盖层是由具有为用于光刻曝光的EUV辐射波长大约一半λ/2的厚度的另一核心层分离,使得发生EUV辐射的相消干涉,从而使彼此相消,且由此净(所得)EUV反射为零。
例如,如果2纳米的Ru或Mo的两层是由具有选自8.4纳米、15.1纳米、21.9纳米、28.6纳米、35.4纳米、41.5纳米、48.7纳米和55.7纳米的厚度的(多晶)Si层分离(即,在粗略6.7纳米的步骤中),则在此情况下,第二Ru盖层诱发性反射破坏性地干涉第一Ru盖层的反射,且将不存在EUV辐射反射。据提及,多晶硅核心层的厚度并非确切地为4.37纳米或6.7纳米或13.5纳米的EUV辐射波长的一半,这是因为其也可受到金属盖层的厚度影响。因此,针对被覆盖有一对或更多对金属盖层的核心层的任何组合而言为了避免EUV反射,则对于层厚度的一般条件是使得在所述金属层之间发生完全相消干涉从而使得不反射EUV辐射。
在用于减少EUV反射的所有以上替代方案中,由于金属盖层,则仍可维持高发射率,而同时最小化了EUV反射(即,最小化了对成像的影响),从而使得EUV表膜能够具有高IR发射率而同时维持低EUV反射率。
独自地,甚至仅仅50纳米的(多晶)Si的核心层能够已经将DUV辐射抑制100倍或更多。(多晶)Si在预期有DUV辐射的100纳米至400纳米的范围内几乎不透射。然而,(多晶)Si表膜在IR辐射范围内透明。已发现,可通过将诸如Ru或Mo的金属盖层添加至50纳米的(多晶)Si核心层来将通过所述核心层的IR透射抑制20倍。此外,可有利的是使用抗扩散障碍层(诸如,源于B4C或SiNx)用于金属盖层从而使得金属反射和吸收并未由于扩散至核心层中(例如,Ru或Mo扩散于(多晶)Si中)而损耗。
尽管给定材料可适合于多个目的(诸如,适合于核心层、盖层或甚至抗扩散障碍层),但EUV膜中的层厚度和位置可提供用以限定这样的层的功能的有用准则。例如,相互扩散层的厚度大体上为1纳米或更小。
例如,具有1纳米或更小的厚度且位于核心层与邻近盖层之间的B或B4C层可用作抗扩散层,而具有为4纳米至11纳米的厚度的相同材料的层在其相比于其它层提供高抗张强度的情况下可用作核心层。在(例如10纳米B-(5纳米至10纳米)Mo-10纳米B的的夹层状构造中,两个B层将形成核心层,且两个B层之间的Mo形成用于改进的IR发射率的盖层,其受保护免受蚀刻。
以相同方式,EUV膜的顶部上或夹在其它(核心)层之间的B或B4C层可用作具有给定功能的盖层。此外,作为总堆叠具有高屈服强度>50MPa的薄层的多层堆叠也可形成核心层。例如,硼之间的高达20对石墨烯层(石墨层)(诸如,10纳米B/3纳米石墨烯/10纳米B)可提供有利的多层EUV膜,这是因为预期到B在EUV和/或H2氛围下耐化学性且石墨将提供改进的发射率和机械强度。多层EUV膜的另一实例包括SiNx层或其它膜盖层的顶部上的若干(高达20)层石墨烯(或石墨类型层),其用以提供机械强度、改进发射率,且增加在EUV和/或H2氛围下的EUV膜的寿命。例如,包括2纳米石墨烯层(即,为了实现2纳米的厚度的石墨烯的多层或多个薄片)/10纳米SiNx/2纳米石墨烯层的多层EUV膜可以类似地形成有利的EUV膜。本领域普通技术人员知晓如何在核心层与盖层之间进行区分。
为了使IR抑制起作用,原则上金属盖层淀积于何处并不重要。金属盖层可在EUV膜多层堆叠(诸如,夹层结构)的顶部上、底部上或中间。
因为(多晶)Si可在EUV环境中蚀刻,所以作为替代EUV膜,在上文中提出介于两个硼盖层之间的钼盖层的夹层膜结构(B-Mo-B)(这是因为Ru比Mo对EUV辐射多3倍吸收性;且因为Mo可在曝光至环境时氧化)。硼+金属的组合可具有与(多晶)Si+金属相等的IR抑制,然而,DUV抑制对于(多晶)Si而言较小(因子7+代替了因子100+)。
EUV透明金属例如是Ru、Mo、La、Rh、Be、Y、Zr、Ce、Nb和Pr。硼、B4C、Si3N4、ZrO2、Ru或MoSi2的盖层或其它替代罩盖件可对于(多晶)Si SPF膜是有利的。
至少1纳米(在一些条件下超过5纳米)的金属厚度可以是良好IR吸收所需的。过薄的金属将具有相当不同于块体的光学响应。因此,如果金属层变得过薄,则可极大地减小由金属的IR反射。
一般而言,根据本发明,具有改进的IR发射率的任何薄金属盖层(其厚度<该金属在IR辐射中的集肤深度)适合于EUV膜。然而,如果EUV膜是用作SPF膜,则有利的是金属盖层厚度>5纳米使得其也相当有反射性,使得施加于IR透射核心层上的金属盖层将把IR透射减少达一数量级或更多。尽管较厚金属盖层的缺点为较多EUV辐射损耗(高达10%至15%),但对于(多晶)Si或B核心层与5纳米至10纳米金属盖层仍存在在滤光IR和DUV辐射(例如,100倍或更多DUV(100纳米至400纳米)抑制和20倍IR(10.6微米)抑制)方面的相当大增益。
如果EUV膜用作位于投影系统PS与晶片之间的SPF膜,则也可有利的是具有使得膜构造在光刻设备的扫描方向上成小角度定向,从而使得经反射的带外IR和DUV辐射未被反射返回至光刻设备的投影系统PS内。并且,可在投影系统PS的EUV反射镜中的一个或更多个EUV反射镜上需要吸收屏幕以便保护所述EUV反射镜免受附加DUV和IR吸收和背反射。
用于优化的IR吸收(即,改进的IR发射率)的盖层的厚度可取决于盖层材料而在与上文针对Ru例示的范围不同的范围内。然而,为了允许相当大EUV透射,通常有利的是将盖层的厚度保持尽可能地小。堆叠于EUV表膜上的所有盖层的厚度应优选为90纳米或更小、优选为50纳米或更小、更优选为20纳米或更小、甚至更优选为10纳米或更小(约为在IR辐射中的金属集肤深度)、且最优选为5纳米或更小,这取决于材料的选择。
表1示出针对具有改进的IR发射率的盖层的上文所列出材料的厚度(以纳米为单位)的实例,针对所述层厚度,理论13.5纳米的EUV透射率为约90%。
Figure GDA0001202758460000241
表1
如果使用用于改进的IR发射率的两个盖层(例如,针对EUV表膜的每侧使用一个盖层),则每个盖层的厚度可被视为优化盖层厚度的一半以便仍保持良好EUV透射。以类似方式,如果使用了用于改进的IR发射率的若干(三个或更多个)盖层,则所述盖层的个别和总厚度将必须被调整使得仍保持良好EUV透射。上文参考盖层,然而,表1中所列出的材料也可形成EUV表膜的核心层,只要可实现合适机械强度以制造自立式表膜。
氧化也是这些盖层材料中的许多盖层材料所关心的问题。使用氮化物(例如,ZrN(13纳米)或LaN(10纳米))可帮助克服氧化,但氮化物可引入较多EUV损耗。因此,各自具有在0.5纳米至5纳米的范围内、优选在1纳米至3纳米的范围内、更优选在1纳米至2纳米的范围内的厚度的Ru涂层是用以改进在IR辐射中的EUV表膜发射率的优选选项之一。
本发明中作为实例给出Ru,这是因为Ru具有良好抗氧化属性(对于保护盖层)和良好EUV透射比。本发明中提出用于Ru的作为IR发射率增强盖层的材料的新功能。然而,可利用任何金属盖层(例如,也为金或银)获得IR发射率增强,但EUV透射比可变得较差。本发明人已找到本发明所例示的基本上EUV透明且具有电传导的Drude行为的若干材料(其中电子充当从较重的相对不动的正离子弹开和重新弹开的自由电荷载流子)。
EUV表膜的另一实例是用于具有(例如)4纳米至7纳米的厚度的表膜核心的碳基材料。本发明中的碳基材料意思是呈各种同素异形体形式的任何碳结构,其也包括呈球、管路(圆柱)或薄片的形式的碳纳米管结构。碳基材料的实例为碳纳米管、石墨烯、石墨、类金刚石碳(DLC)、(巴克敏斯特)富勒烯或其它碳结构。本发明中为了简单起见,碳基材料也被称作碳。
具有源自碳基材料的核心层的EUV表膜也可对于具有为4.37纳米波长的EUV辐射良好地起作用。这些EUV表膜可具有相对低IR发射率。利用诸如Ru、Pd、Ag、Ti、Mo、Zr或Nb层的薄金属盖层来涂覆表膜核心将不会很大程度地妨碍EUV透射,但其将显著增强IR发射率。
具有利用2纳米Ru盖层来罩盖的SiNx核心(11纳米至12纳米)的表膜提供约90%的透射且能够耐受高EUV功率。在SiNx表膜的两侧上的Ru涂层可导致约4%的附加损耗。这些膜示出在VIS和NIR范围内的显著吸收。例如,对于在利用2纳米的Ru层覆盖于每侧上的13纳米厚Si3N4膜的1平方厘米面积上运用脉冲式90瓦特(λ=355纳米)和60瓦特(λ=810纳米)激光进行的热负荷测试(所述膜具有大约85%的EUV透射),热负荷测试结果示出:这样的膜可针对200.000以上激光发射使为170瓦特的热负荷幸免/存在,而不具有EUV透射(EUVT)的显著改变。
具有利用2纳米Ru盖层所罩盖的B4C或硼(B)核心(20纳米)的表膜提供约90%的EUV透射。硼基EUV表膜(核心)具有自限性氧化物(这是因为氧化物在硼中不会很容易地扩散)。硼也极具抗蚀刻性,且也可运用仅一个钌(Ru)层来工作。相反地,作为用于改进的IR发射率的盖层的Ru层也可被嵌入于两个B核心层之间。
一般而言,当IR发射率从低于0.1增加(以任何方式)至约0.5时,表膜温度能够从约800摄氏度减少至400摄氏度。这将降低表膜核心膜中的热诱发应力,且因此增加在较高EUV源功率下的表膜的寿命。这些措施的优点可以是以下中的一个或更多个:对于表膜的高至少10倍发射率/辐射冷却、在曝光期间的冷得多的表膜、和使较高热负荷幸免/存在(即,较高EUV源功率)的表膜。
图10比较了EUV表膜功率吸收和最大温度相对于EUV源功率的变化。当(多晶)Si膜可能使大约40W源功率幸免/存在时,具有用于改进的IR发射率的RU涂层的(多晶)Si表膜能够将功率吸收增强至500W源功率,使得EUV表膜保持完好。图10示出对于60纳米Si、25纳米SiC、12纳米Si3N4、40纳米Si+3纳米Ru、19纳米ZrB2和20纳米ZrC的EUV表膜的所吸收功率和平衡温度(以℃为单位)。
图11示出针对等效于为250W的EUV源功率的50毫焦/平方厘米功率的平衡温度相对于EUV辐射透射(EUVT)和发射率。其中250瓦特的源和具有90%透射的表膜可吸收约1Wcm-2的EUV辐射,所述EUV辐射在平衡温度下被重新发射。在多晶硅薄膜的情况下的低于1%的发射率引起高于1000℃的温度且引起表膜故障。发射率为0.4的经Ru涂覆的表膜可将此温度减少(例如)至大约600℃的较可管理温度。
硅化物盖层在增加IR发射率方面也可以是有效的,诸如,作为IR发射盖层的ZrSi2或NbSi2。可分别运用ZrO2和Nb2O5保护盖层来覆盖ZrSi2或NbSi2。关于EUV辐射的透射,硅化物可甚至优于Ru。例如,ZrSi2/ZrO2盖层的组合相比于NbSi2/Nb2O5盖层的堆叠可具有对EUV辐射的较高透射。
在13.5纳米EUV辐射下用于耐高温表膜的合适材料的实例是ZrB2、ZrC、MoB2、MoC、RuB2和SiC。
在6.7纳米EUV辐射下用于耐高温表膜的合适材料的实例为ZrB2、ZrC、LaB2、LaC、TiB2、TiC和MoC。对于4.37纳米EUV辐射,合适材料为(例如)TiC。
如果用于改进的IR发射率的盖层位于核心层的顶部上,使得其与外部降级因素(例如,H根、EUV辐射等等)直接接触/联系,则可由于在EUV曝光期间的高表膜温度而出现盖层/EUV表膜的相对快速故障。在实施例中,提出将用于改进的IR发射率的盖层包夹于两个耐化学性核心层之间(诸如,在两个硼层、碳层或B4C层之间)以避免降级。盖层优选地是金属层。经调谐以用于对于硼或B4C(5纳米至10纳米)-金属(1纳米至10纳米)-硼或B4C(5纳米至10纳米)构造中的至少90%透射的构造(和合适厚度范围)的实例为:
·硼(B4C)11纳米-Mo 5纳米-硼(B4C)11纳米;
·硼(B4C)11纳米-Y 10纳米-硼(B4C)11纳米;和
·硼(B4C)10纳米-Ru 3纳米-硼(B4C)10纳米。
当EUV表膜夹层结构中的核心层为硼或B4C时,对于6.7纳米的EUV波长的用于改进的(增强的)IR发射率的合适金属盖层材料例如是Nb、Mo、La、Zr、In、Ti、Ru、Te、Bi、Ce、Pd、Ag和Y。
当夹层结构中的核心层是碳或碳基材料(例如,碳-金属-碳)时,对于4.37纳米的EUV波长的用于改进的(增强的)IR发射率的合适金属盖层材料是(例如)Be、La、Te、Ti、Pr、Rh、Eu、In、Ru、V、Pd、Al、Ru和Ag。
引起关注地,也已发现,可将具有硼核心层的EUV表膜制造成比被调谐用于6.7纳米的EUV波长的表膜厚得多。例如,140纳米厚的硼核心层自身提供大约90%的EUV透射,而无需用于改进的IR发射率的另外盖层。
已经由适当材料和膜厚度选择而被调谐以具有良好EUV透射(≥85%)的(多晶)Si基表膜可具有附加缺点:其反射潜在地存在于曝光辐射光谱中的许多DUV辐射且不透射DUV(即,它们具有高DUV反射比和不良DUV透射比)。它们也可经受不良IR发射率,尽管后者可如上文所描述(例如)通过将用于改进的IR发射率的盖层(诸如,1纳米至2纳米的Ru盖层)添加于表膜核心层的顶部上而减轻。然而,这样的Ru盖层并不改进DUV反射比和透射比(或使DUV反射比和透射比恶化)。
除了降低用于更好地成像所需的DUV反射比以外,较高DUV透射比也能够帮助还降低在EUV曝光期间在晶片高度处的DUV辐射影响,同时也允许DUV掩模检测。
可利用如下文所示出的一系列材料而减轻EUV表膜的经由表膜检测和高表膜DUV反射,所述材料在作为对于已知掩模检测工具的合适波长的157纳米、193纳米或248纳米的情况下减轻DUV反射且同时增强DUV透射。
下文中例示了允许ArF、KrF和F2掩模检测工具和晶片处的较小图像降级DUV的若干材料:
·结晶钇具有良好的193纳米透射比和低DUV反射比。
·(多)晶Zr(例如,ZrN和ZrC)和(多)晶Y都具有低DUV反射比。
·以非晶和石墨碳为基础的表膜可具有良好的157纳米和193纳米透射比和低DUV反射比。
·Si3N4表膜可允许在仍较低的DUV反射比下的248纳米掩模检测。
所有以上EUV表膜也具有多于0.2的良好IR发射率。
已确定的是,结晶钇具有193纳米的透射峰值,且也具有高IR发射率。例如,覆盖于具有1纳米Ru盖层的两侧上的20纳米厚的钇核心EUV表膜具有(在括号中,给出与Si+Ru等效表膜的对比):
·67%的DUV193纳米透射比(双通43%)(相对于对于Si+Ru而言是0%)
·DUV反射比100纳米至250纳米<12%(相对于对于Si+Ru而言是20%至50%)
·DUV反射比250纳米至400纳米<25%(相对于对于Si+Ru而言是>60%)
·92.5%的EUV透射比(相对于对于Si+Ru而言是85%)
钇表膜可对于90%EUV透射比是高达50纳米厚。涂覆于EUV表膜的两侧上的Ru盖层将把此上限厚度限于约36纳米。钇核心越厚,则193纳米DUV辐射损耗越多。
应注意,到目前为止尚未找到具有这种高13.5纳米和193纳米透射的其它材料,诸如,结晶钇,其就在此方面而言是唯一材料。
多晶钇不具有高193纳米透射。然而,以Zr为基础的EUV表膜和以Y为基础的EUV表膜二者具有比(多晶)Si低得多的DUV反射。事实上,如果由于任何原因,低DUV反射是要求,则(多晶)Si基表膜可能并非有利的。如果无需193纳米透射比和经由表膜检测,则具有Ru盖层的以多晶锆或钇为基础的表膜也可将DUV反射比降低得比用于(多晶)Si低得多。
ZrN和ZrC也可具有比(多晶)Si更低的DUV反射比。然而,ZrN和ZrC的DUV透射比Zr或Y的DUV透射更小,从而使得经由表膜检测较困难。
结晶、非晶和石墨碳或碳基材料具有157的DUV透射峰值,且也具有高IR发射率。石墨碳类似于多层石墨烯。
MoSi多层反射镜的反射率最高大约200纳米至300纳米。在此范围内,DUV被最优选地反射至晶片(几乎与EUV-样良好)。本发明所描述的所有EUV表膜显著减少在此波长范围内的反射。另一方面,以(多晶)Si、SiC和(多晶)Si+Ru为基础的表膜是用于DUV反射和透射的较差选择。如果SiNx核心足够薄(例如,13纳米或更小),则以SiNx为基础的表膜可具有高于200纳米的更佳反射率。
图12比较了具有Ru盖层的Si3N4表膜相对于具有Ru盖层的Si表膜的响应(参见图12,其示出吸收率相对于波长的关系,其中理论数据(虚线)与实验结果(实线)相比)。关于FTIR的实验示出:具有3%EUV损耗的恰好2纳米的Ru层能够将发射率增强400倍,从约0.001增强至0.4。因此,几纳米Ru的厚层可将SiNx或Si膜的吸收率/发射率增强超过100倍。Si3N4表膜(22纳米)比Si表膜(60纳米)薄得多以确保足够EUV透射比。已发现,以Si3N4为基础的表膜具有低得多的DUV反射和大约250纳米的良好DUV透射。具有1纳米至2纳米Ru盖层的Si3N4表膜也比Si+Ru表膜反射少得多的DUV辐射,因此已发现,基于Si3N4+Ru盖层的表膜能够允许经由表膜的248纳米KRF掩模检测。
为增强针对Zr和Y或石墨/非晶碳的发射率,原则上无需Ru或其它金属涂层。然而,Ru或其它金属涂层可用作保护盖层以防止(例如)氧化。能够防止Zr和Y的氧化的任何其它合适(非金属)保护盖层也可良好地工作。为增强IR发射率的目的,在Si3N4和(多晶)Si的情况下优选地施加Ru或其它金属盖层。
下文中存在通过针对具有EUV(13.5纳米)、IR和DUV辐射的发射率、透射率和吸收的良好平衡的若干表膜给出的模拟而获得的一些特征。
实例1:以Ru涂覆的结晶钇为基础的表膜:
·具有高达35纳米厚的90%EUV透射比
·具有70%的193纳米透射比(193纳米检测是一种可能性)
·具有比Si低2倍至5倍的DUV反射
·具有接近于0.25的发射率
实例2:以RU涂覆的多晶钇或锆为基础的表膜:
·具有高达25纳米厚(Zr)和35纳米厚(Y)的90%EUV透射比
·具有10%的193纳米透射比(对Zr或Y表膜的193纳米检测是不可能的)
·具有40%的248纳米透射比(248纳米检测可在Zr的情况下工作)
·具有比Si低2倍至3倍的DUV反射
·具有接近于0.25的发射率
·以ZrC和ZrN为基础的表膜也能够将DUV反射减少高达2倍至8倍
实例3:Ru涂覆的Si3N4(SiNJ表膜(10纳米Si3N4(SiNx)+2纳米Ru):
·具有高达10纳米厚的90%EUV透射比(2纳米Ru)
·具有25%的193纳米透射比
·具有70%的248纳米透射比(248纳米检测可能工作)
·在范围200纳米至400纳米内,具有低10倍的DUV反射比
·具有高达0.5的发射率
实例4:非晶和石墨碳(或多层石墨烯):
·具有高达16纳米厚的90%EUV透射比
·具有60%至80%的157纳米透射比(157纳米检测是一种可能性)
·具有40%至70%的193纳米透射比(193纳米检测是一种可能性)
·具有低2倍至10倍的DUV反射比
·具有发射率0.15至0.4
图13示意性地示出根据本发明的对EUV辐射透射的两个膜的膜组件的另一实施例,所述两个膜被具有厚度D的间隙所分离开,所述膜组件也被称作双膜或双EUV表膜。这样的双表膜包括具有如本发明所例示的用于改进的IR发射率的材料的两个或更多个EUV膜,例如,具有比IR辐射的金属表层厚度更少的厚度的两个金属层。在这样的双EUV表膜中,每个单独金属层优选地被选择为使得不存在EUV辐射反射,这是因为针对大距离不能容易地控制相消干涉。
图13示出用于改进的IR发射率的层是具有1纳米至2纳米的层厚度D的Ru层或Mo层的实施例。所述双表膜的改进的IR发射率层可视情况各自被另一支撑层(诸如,Si支撑层)支撑,以提供更多机械强度。EUV膜被1微米至10微米的间隙D分离开,优选地D是约1微米至2微米的间隙。包括交替金属层和在所需厚度范围内的对应间隙的多个膜也是可能的。在EUV膜(例如,厚度<金属表层厚度的金属层)之间具有具上文所提及厚度D的间隙的优点是在所述EUV膜之间诱发IR谐振模式,其进一步增强膜组件的IR发射率。双EUV表膜的另一实例是由2微米的间隙所分离的2×10纳米的ZrC或ZrB2。相比于1×20纳米的ZrC表膜,发射率将从0.45增加至0.7(接近于理论极限1)。
通常,通过掺杂(多晶)硅或另一种半导体材料而获得的表膜的优化发射率类似于被涂覆有极薄金属层的表膜的优化发射率。两种情况都可给出IR发射率的高达约10倍增强。例如,在掺杂的情况下,所获得的最大光谱积分IR发射率被发现是约0.4,而在施加1纳米厚度的Ru盖层的情况下,所获得的最大光谱积分IR发射率被发现是约0.5。
总的,本发明提供用于增加EUV膜性能且因此增加EUV表膜和SPF的性能的简单且稳固的实例。EUV膜温度和跨越EUV膜的温度梯度被减少。因此,EUV膜的寿命和对EUV辐射功率的耐受性被改进。另外,在并不减少EUV辐射强度(使制造系统性能劣化)的情况下实现了高EUV膜稳固性。
尽管在本发明中可特定地参考光刻设备在IC制造中的使用,但应理解,本发明所描述的光刻设备可具有其它应用,诸如,制造整合式光学系统、用于磁畴内存的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头,等等。本领域普通技术人应了解,在这些替代应用的场景中,可认为本发明对术语“晶片”或”管芯”的任何使用分别与更一般术语“衬底”或”目标部分”同义。可在曝光之前或之后在(例如)轨迹(通常将抗蚀剂层施加至衬底且显影经曝光抗蚀剂的工具)、量测工具和/或检测工具中处理本发明所提及的衬底。适用时,可将本发明中的披露内容应用于这些和其它衬底处理工具。另外,可将衬底处理一次以上,(例如)以便产生多层IC,使得本发明中所使用的术语”衬底”也可指代已经包含多个经处理层的衬底。
术语”透镜”在场景允许时可指各种类型的光学部件中任一种或其组合,包括折射、反射、磁性、电磁和静电光学部件。
虽然上文已描述本发明的特定实施例,但应了解,可以与所描述的方式不同的其它方式来实践本发明。以上描述意欲是说明性而非限制性的。因此,对于熟习本领域普通技术人员将显而易见,可在不脱离下文所阐明的权利要求范围和条项的范围的情况下对所描述的本发明进行修改。
1.一种对极紫外线辐射透射的膜,其包括:
一个或更多个高掺杂区,其中在所述一个或更多个高掺杂区所述膜被以高掺杂剂浓度而掺杂;和
一个或更多个低掺杂区,在所述一个或更多个低掺杂区中所述膜未掺杂或具有低掺杂剂浓度;
其中高掺杂剂浓度被限定为大于1017cm-3的掺杂剂浓度,低掺杂剂浓度被限定为小于1017cm-3的掺杂剂浓度。
2.根据条项1所述的膜,其包括多个层,所述多个层包括主衬底和一个或更多个附加层,其中:
所述主衬底具有低掺杂剂浓度且形成低掺杂区;和
所述高掺杂区被包括在所述附加层中的一些或全部内。
3.根据条项2所述的膜,其中所述附加层包括一个或更多个覆盖层,所述一个或更多个覆盖层用于隔离所述膜与蚀刻剂或反应剂,且所述掺杂区被包括在所述覆盖层内。
4.根据条项2所述的膜,其中所述附加层包括一个或更多个覆盖层和一个或更多个中间层,被布置成使得一中间层位于一覆盖层与所述主衬底之间;所述覆盖层用于隔离所述膜与蚀刻剂或反应剂材料,且所述中间层具有介于所述主衬底的晶格尺寸与所述覆盖层的晶格尺寸之间的中间晶格尺寸以便减少所述膜内的应力;和
其中所述高掺杂区被包括在所述覆盖层和/或所述中间层内。
5.根据条项2、3或4所述的膜,其中所述主衬底包括多晶Si材料。
6.根据前述条项中任一项所述的膜,其中所述膜、或所述膜的层包括中心区和围绕所述中心区的周缘区,其中所述高掺杂区包括所述中心区且所述低掺杂区包括所述周缘区。
7.根据前述条项中任一项所述的膜,其中所述膜、或所述膜的层包括由所述低掺杂区分离的多个所述高掺杂区。
8.根据条项7所述的膜,其中位于相邻的高掺杂区之间的所述分离介于1μm与5μm之间。
9.根据前述条项中任一项所述的膜,其中所述掺杂浓度逐渐变化,且朝向所述膜的中心或所述膜的一层增加。
10.根据前述条项中任一项所述的膜,其中所述高掺杂区以大于1018cm-3的掺杂剂浓度被掺杂。
11.根据条项1至9中任一项所述的膜,其中所述高掺杂区被以大于1019cm-3的掺杂剂浓度掺杂。
12.根据条项1至9中任一项所述的膜,其中所述高掺杂区被以大于1020cm-3的掺杂剂浓度掺杂。
13.根据前述条项中任一项所述的膜,其中所述低掺杂区以小于1016cm-3的掺杂剂浓度被掺杂。
14.根据条项1至12中任一项所述的膜,其中所述低掺杂区被以小于1015cm-3的掺杂剂浓度掺杂。
15.根据条项1至12中任一项所述的膜,其中所述低掺杂区被以小于1014cm-3的掺杂剂浓度掺杂。
16.根据前述条项中任一项所述的膜,其中所述膜具有小于100nm的厚度。
17.根据前述条项中任一项所述的膜,包括位于所述膜的一个或两个表面上的多个附加特征,所述附加特征能够操作以增加横向热传递。
18.根据条项17所述的膜,其中所述附加特征包括从所述膜表面法向延伸的肋或线。
19.根据条项17或18所述的膜,其中介于附加特征之间的距离≤1μm。
20.根据条项17、18或19所述的膜,其中所述附加特征被构造成类似小阶梯光栅。
21.根据条项20所述的膜,其中所述附加特征包括重复组的线或肋,其中每组包括高度逐渐降低或增加的线/肋。
22.根据条项6、7或8所述的膜,其包括仅单一层。
23.根据前述条项中任一项所述的膜,其中所述高掺杂区被掺杂有包括如下掺杂剂材料中的一个或更多个:S、Te、As、O、Al、Sn、Sb、In、Ga、Br、Cl、I、C、B和N。
24.根据前述条项中任一项所述的膜,其中所述掺杂剂被选择用于N型掺杂,且所述高掺杂剂浓度包括从(2至3)×1020n/cm3的施主原子。
25.根据前述条项中任一项所述的膜,其中所述掺杂剂被选择用于P型掺杂,且所述高掺杂剂浓度是至少4×1020n/cm3受主原子。
26.一种用于光刻设备的膜,所述膜具有至少0.1的IR辐射发射率且对EUV辐射基本上透射,所述膜包括:
具有60nm或更小的厚度的核心层,所述核心层包括对EUV辐射基本上透明的选自来自根据如下所列的材料:(多晶)Si、Si3N4、SiC、ZrN、ZrB2、ZrC、MoB2、MoC、RuB2、LaB2、LaC、TiB2、TiC、(多)晶钇、(多)晶Zr、Be、C、B和B4C;和
用于改进的IR发射率的盖层,包括吸收IR辐射且具有为20nm或更小的厚度的材料。
27.根据条项26所述的膜,其中所述膜具有盖层-核心层-盖层的夹层状构造。
28.根据条项26所述的膜,其中所述膜具有核心层-盖层-核心层的夹层状构造。
29.根据条项26至28中任一项所述的膜,其还包括一个或更多个其它中间层或盖层。
30.根据条项26至29中任一项所述的膜,其中所述核心层是包括一层或更多层以下材料的多层堆叠:(多晶)Si、Si3N4、SiC、ZrN、ZrB2、ZrC、MoB2、MoC、RuB2、LaB2、LaC、TiB2、TiC、(多)晶钇、(多)晶Zr、Be、C、B及B4C。
31.根据条项26至29中任一项所述的膜,其中所述核心层材料是包括金属及分散于其中的非金属EUV透明杂质的复合材料。
32.根据条项26至31中任一项所述的膜,其中用于改进的IR发射率的所述盖层是金属层。
33.根据条项32所述的膜,其中金属盖层具有比IR辐射中的所述金属的集肤深度小的厚度。
34.根据条项33所述的膜,其中金属盖层具有厚度D=nλ/2,其中n为等于3或更大的整数,且λ为用于光刻曝光的所述EUV辐射的波长。
35.根据条项26所述的膜,其中所述EUV膜包括由核心层分离的用于改进的IR发射率的两个金属盖层,所述盖层及核心层被布置成使得在所述两个金属盖层上发生EUV辐射的相消干涉且由此所得EUV反射为零。
36.根据条项35所述的膜,其中所述每一金属盖层包括2nm厚的Ru或Mo层,且其中所述核心层包括具有选自8.4nm、15.1nm、21.9nm、28.6nm、35.4nm、41.5nm、48.7nm及55.7nm的厚度的(多晶)硅层。
37.根据条项33至36中任一项所述的膜,其中在IR辐射中所述金属的所述集肤深度为约10nm。
38.根据条项26至37中任一项所述的膜,其中用于改进的IR发射率的所述盖层的所述材料包括选自Ru、Ti、Nd、Pr、Mo、Nb、La、Zr、B、Y及Be的金属,其中所述盖层具有与所述核心层不同的材料。
39.根据条项26至37中任一项所述的膜,其中用于改进的IR发射率的所述盖层的所述材料包括B4C、SiNx、ZrO2或MoSi2且具有与所述核心层不同的材料。
40.根据条项26至37中任一项所述的膜,其中用于改进的IR发射率的所述盖层的所述材料是不同于所述核心层的硅化物,诸如ZrSi2或NbSi2
41.根据条项28至40中任一项所述的膜,其中所述核心层包括(多晶)Si,且用于改进的IR发射率的所述盖层是具有5nm或更小的厚度的Mo或Ru层。
42.根据条项26至37中任一项所述的膜,其中所述核心层包括(多晶)Si,且用于改进的IR发射率的所述盖层包括Ti、Nd、Pr、Nb、La、Zr、B、Y、Be、ZrO2、MoSi2、ZrSi2及NbSi2中的至少一个。
43.根据条项26至40中任一项所述的膜,其中所述核心层包括B、B4C或Be,且具有为25nm或小于25nm的厚度。
44.根据条项43所述的膜,其中用于改进的发射率的所述盖层为具有1nm至10nm厚度的金属层。
45.根据条项26所述的膜,其中所述核心层为包括高达20对B或B4C及石墨烯的多层核心,其中所述层厚度比率是10nm B或B4C/3nm石墨烯。
46.根据条项26所述的膜,其中所述核心层为包括高达20对SiNx和石墨烯的多层核心,其中所述层厚度比率是10nm SiNx/2nm石墨烯。
47.根据条项43或44所述的膜,其中所述核心层为厚度为5nm至15nm的B或B4C层,且其中用于改进的IR发射率的所述盖层为厚度是1nm至3nm的(多)晶Y、Ru或Mo层。
48.根据条项26至40中任一项所述的膜,其中所述核心层具有16nm或更小的厚度,且包括碳基材料。
49.根据条项48所述的膜,其中所述碳基材料是结晶层、非晶层或石墨碳层。
50.根据条项48或49所述的膜,其中所述盖层包括选自Be、La、Te、Ti、Pr、Rh、Eu、In、Ru、V、Pd、Al、Mo、Zr、Nb和Ag的金属。
51.根据条项26至40中任一项所述的膜,其中所述核心层包括氮化硅,且具有为15nm或更小的厚度。
52.根据条项51的膜,其中用于改进的IR发射率的所述盖层为具有3nm或更小的厚度的Ru或Mo层。
53.根据条项26至40中任一项所述的膜.,其中所述核心层包括(多)晶钇,且具有50nm或更小的厚度、优选35nm或更小的厚度。
54.根据条项26至40中任一项所述的膜,其中所述核心层包括多晶Zr,且具有25nm或更小的厚度。
55.根据条项53或54所述的膜,其中用于改进的IR发射率的所述盖层是Ru层。
56.根据条项26至55中任一项所述的膜,其中用于改进的IR发射率的所述盖层用保护盖层保护,所述保护盖层由保护免受氧化和/或蚀刻的材料制成,所述材料选自以下材料的氧化物、碳化物或氮化物:Zr、Ti、Hf、Si、Rh和Ru。
57.根据条项56所述的膜,其中所述保护盖层厚度在1nm至3nm之间。
58.根据条项26至40中任一项所述的膜,其中所述膜对于具有13.5nm波长的EUV辐射透射,且其中所述核心层包括ZrB2、ZrC、MoB2、MoC、RuB2或SiC中的至少一个。
59.根据条项26至40中任一项所述的膜,其中所述膜对于具有6.7nm波长的EUV辐射透射,且其中所述核心层包括ZrB2、ZrC、LaB2、LaC、TiB2、TiC、MoB2或MoC中的至少一个。
60.根据条项26至40中任一项所述的膜,其中所述膜对于具有4.37nm波长的EUV辐射透射,且其中所述核心层包括TiC。
61.一种用于光刻设备的膜,所述膜具有为至少0.1的IR辐射发射率且对于6.7nm波长的EUV辐射基本上透射,所述膜包括源自包括硼的材料的核心层,其中所述核心层具有从20nm至150nm的厚度。
62.一种用于光刻设备的膜,所述膜具有为至少0.1的IR辐射发射率且对EUV辐射基本上透射,所述膜包括由包括Ru的材料形成的核心层,其中所述核心层的厚度在20nm至30nm之间。
63.一种用于光刻设备的膜组件,所述膜组件具有为至少0.1的IR辐射发射率且对EUV辐射基本上透射,所述膜组件包括用于改进的IR发射率的至少两个金属盖层,所述金属盖层包括吸收IR辐射且具有20nm或更小的层厚度的金属,其中用于改进的IR发射率的所述金属盖层被具有10μm或更小厚度的间隙分离开。
64.根据条项63所述的膜组件,其中每个所述金属盖层由提供进一步的机械强度的支撑层支撑。
65.一种掩模组件,包括光刻掩模和耦接至所述掩模的框架,所述框架被布置成支撑根据前述条项中任一项所述的膜或膜组件。
66.一种光刻设备,其包括根据前述条项中任一项所述的膜或膜组件。
67.根据条项66所述的光刻设备,其中所述膜中的至少一个作为保护部件免受污染的表膜而操作。
68.根据条项67所述的光刻设备,其还包括支撑件,所述支撑件被构造成用以支撑图案形成装置,所述图案形成装置能够在辐射束的横截面赋予所述辐射束图案以形成经图案化的辐射束;其中所述膜中的至少一个用作保护所述图案形成装置免受污染的表膜。
69.根据条项67或68所述的光刻设备,其还包括投影系统,所述投影系统能够操作以将经图案化的辐射束投影至晶片上,其中所述膜中的至少一个用作保护所述投影系统内的光学部件免受污染的表膜。
70.根据条项66至69中任一项所述的光刻设备,其中所述膜中的至少一个用作用于阻挡不希望的辐射波长的光谱滤光片膜。
71.根据条项70所述的光刻设备,其中所述光谱滤光片膜是在所述光刻设备的扫描方向上以一定角度而布置,使得由所述膜反射的辐射并未被反射返回至所述投影系统内。
72.根据条项70或71所述的光刻设备,其中用于阻挡不希望的辐射波长的所述光谱滤光片膜包括具有比用于IR辐射的所述集肤深度小且大于5nm的厚度的金属层。
73.根据条项72所述的光刻设备,其中所述光谱滤光片膜包括对EUV辐射实质上透明的选自Ru、Mo、La、Rh、Be、Y、Zr、Ce、Nb和Pr的金属。
74.根据条项73所述的光刻设备,其中所述光谱滤光片膜包括(多晶)Si核心层及厚度在5.5nm至10nm之间的Ru或Mo盖层。

Claims (14)

1.一种对极紫外线辐射透射的膜,其包括:
一个或更多个高掺杂区,其中在所述一个或更多个高掺杂区所述膜被以高掺杂剂浓度而掺杂,配置成吸收和发射红外辐射;和
一个或更多个低掺杂区,在所述一个或更多个低掺杂区所述膜未掺杂或具有低掺杂剂浓度;
其中高掺杂剂浓度被限定为大于1017cm-3的掺杂剂浓度,低掺杂剂浓度被限定为小于1017cm-3的掺杂剂浓度。
2.根据权利要求1所述的膜,还包括主衬底,所述主衬底包括多晶Si材料。
3.根据权利要求1或2所述的膜,包括位于所述膜的一个或两个表面上的多个附加特征,所述附加特征能够操作以增加横向热传递。
4.一种用于光刻设备的膜,所述膜具有至少0.1的IR辐射发射率且对EUV辐射基本上透射,所述膜包括:
具有60nm或更小的厚度的核心层,所述核心层包括对EUV辐射基本上透明的选自来自根据如下所列的材料中的至少一个:多晶Si、Si3N4、SiC、ZrN、ZrB2、ZrC、MoB2、MoC、RuB2、LaB2、LaC、TiB2、TiC、多晶钇、多晶Zr、Be、C、B和B4C;和
用于改进的IR发射率的盖层,包括吸收IR辐射且具有为20nm或更小的厚度的材料;
其中,所述膜的至少核心层和盖层的组合具有至少0.1的IR辐射发射率且对EUV辐射具有75%或更大的透射率。
5.根据权利要求4所述的膜,其中所述膜具有盖层-核心层-盖层的夹层状构造。
6.根据权利要求4所述的膜,其中所述膜具有核心层-盖层-核心层的夹层状构造。
7.根据权利要求4至6中任一项所述的膜,其中所述核心层是包括一层或更多层以下材料中的至少一个的多层堆叠:多晶Si、Si3N4、SiC、ZrN、ZrB2、ZrC、MoB2、MoC、RuB2、LaB2、LaC、TiB2、TiC、多晶钇、多晶Zr、Be、C、B及B4C。
8.根据权利要求4至6中任一项所述的膜,其中所述核心层的材料是包括金属及分散于其中的非金属EUV透明杂质的复合材料。
9.根据权利要求4至6中任一项所述的膜,其中用于改进的IR发射率的所述盖层是金属层。
10.根据权利要求9所述的膜,其中盖层具有厚度D=nλ/2,其中n为等于3或更大的整数,且λ为用于光刻曝光的所述EUV辐射的波长。
11.根据权利要求4所述的膜,其中所述膜包括由核心层分离的用于改进的IR发射率的两个盖层,所述盖层及核心层被布置成使得在所述两个盖层上发生EUV辐射的相消干涉且由此所得EUV反射为零。
12.根据权利要求4至6中任一项所述的膜,其中所述核心层包括多晶Si,且用于改进的IR发射率的所述盖层包括Ti、Nd、Pr、Nb、La、Zr、B、Y、Be、ZrO2、MoSi2、ZrSi2及NbSi2中的至少一个。
13.一种掩模组件,包括光刻掩模和耦接至所述光刻掩模的框架,所述框架被布置成支撑根据前述权利要求中任一项所述的膜。
14.一种光刻设备,其包括根据权利要求1-12中任一项所述的膜。
CN201580036687.7A 2014-07-04 2015-07-02 用于光刻设备内的膜和包括这种膜的光刻设备 Active CN106489084B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201910757335.0A CN110501769B (zh) 2014-07-04 2015-07-02 用于光刻设备内的膜和包括这种膜的光刻设备
CN202111335991.5A CN114035254A (zh) 2014-07-04 2015-07-02 用于光刻设备内的膜和包括这种膜的光刻设备

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP14175835.9 2014-07-04
EP14175835 2014-07-04
EP15169657.2 2015-05-28
EP15169657 2015-05-28
PCT/EP2015/065080 WO2016001351A1 (en) 2014-07-04 2015-07-02 Membranes for use within a lithographic apparatus and a lithographic apparatus comprising such a membrane

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN202111335991.5A Division CN114035254A (zh) 2014-07-04 2015-07-02 用于光刻设备内的膜和包括这种膜的光刻设备
CN201910757335.0A Division CN110501769B (zh) 2014-07-04 2015-07-02 用于光刻设备内的膜和包括这种膜的光刻设备

Publications (2)

Publication Number Publication Date
CN106489084A CN106489084A (zh) 2017-03-08
CN106489084B true CN106489084B (zh) 2020-10-30

Family

ID=53540734

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201580036687.7A Active CN106489084B (zh) 2014-07-04 2015-07-02 用于光刻设备内的膜和包括这种膜的光刻设备
CN202111335991.5A Pending CN114035254A (zh) 2014-07-04 2015-07-02 用于光刻设备内的膜和包括这种膜的光刻设备
CN201910757335.0A Active CN110501769B (zh) 2014-07-04 2015-07-02 用于光刻设备内的膜和包括这种膜的光刻设备

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN202111335991.5A Pending CN114035254A (zh) 2014-07-04 2015-07-02 用于光刻设备内的膜和包括这种膜的光刻设备
CN201910757335.0A Active CN110501769B (zh) 2014-07-04 2015-07-02 用于光刻设备内的膜和包括这种膜的光刻设备

Country Status (8)

Country Link
US (2) US10228615B2 (zh)
EP (1) EP3164764B1 (zh)
JP (2) JP6741600B2 (zh)
KR (5) KR102604554B1 (zh)
CN (3) CN106489084B (zh)
CA (3) CA3165048A1 (zh)
TW (4) TWI715104B (zh)
WO (1) WO2016001351A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12001135B2 (en) 2018-12-20 2024-06-04 Asml Netherlands B.V. Method of manufacturing a membrane assembly

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170146902A1 (en) * 2014-01-27 2017-05-25 Luxel Corporation Monolithic euv transparent membrane and support mesh and method of manufacturing same
CN106489084B (zh) * 2014-07-04 2020-10-30 Asml荷兰有限公司 用于光刻设备内的膜和包括这种膜的光刻设备
CN113777894A (zh) 2015-07-17 2021-12-10 Asml荷兰有限公司 用于euv光刻的隔膜组件和用于制造隔膜组件的方法
JP2018527612A (ja) * 2015-08-25 2018-09-20 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置のための抑制フィルタ、放射コレクタ及び放射源、並びに抑制フィルタの少なくとも2つの反射面レベル間の分離距離を決定する方法
DE102015216443A1 (de) * 2015-08-27 2017-03-02 Carl Zeiss Smt Gmbh Anordnung einer Vorrichtung zum Schutz eines in einer Objektebene anzuordnenden Retikels gegen Verschmutzung
CA3008474A1 (en) * 2015-12-14 2017-06-22 Asml Netherlands B.V. A membrane for euv lithography
NL2017912B1 (en) 2015-12-14 2018-01-11 Asml Netherlands Bv A membrane assembly
US9759997B2 (en) * 2015-12-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and method for advanced lithography
KR102186010B1 (ko) * 2016-01-26 2020-12-04 한양대학교 산학협력단 Euv 펠리클 구조체, 및 그 제조 방법
KR102408195B1 (ko) * 2016-04-25 2022-06-13 에이에스엠엘 네델란즈 비.브이. Euv 리소그래피를 위한 멤브레인
US10001701B1 (en) * 2016-12-15 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle structures and methods of fabricating thereof
JP6518801B2 (ja) 2017-03-10 2019-05-22 エスアンドエス テック カンパニー リミテッド 極紫外線リソグラフィ用ペリクル及びその製造方法
US10048199B1 (en) * 2017-03-20 2018-08-14 Asml Netherlands B.V. Metrology system for an extreme ultraviolet light source
KR102310124B1 (ko) * 2017-03-28 2021-10-08 삼성전자주식회사 극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법
KR102264378B1 (ko) * 2017-06-13 2021-06-15 삼성디스플레이 주식회사 표시 장치
CA3066546A1 (en) * 2017-06-15 2018-12-20 Asml Netherlands B.V. Pellicle and pellicle assembly
CN110945432B (zh) * 2017-07-21 2022-12-27 Asml荷兰有限公司 光学隔膜
CN111868570B (zh) * 2017-08-08 2023-04-25 贾斯瓦尔·苏普里亚 在光刻与应用中使用极端紫外线辐射的材料、元件及方法
US10880981B2 (en) * 2017-09-29 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Collector pellicle
JP2019070745A (ja) * 2017-10-10 2019-05-09 信越化学工業株式会社 ペリクルフレーム及びペリクル
KR20200077527A (ko) * 2017-11-06 2020-06-30 에이에스엠엘 네델란즈 비.브이. 응력 감소를 위한 금속 실리사이드 질화
KR102574161B1 (ko) * 2018-02-06 2023-09-06 삼성전자주식회사 펠리클 및 이를 포함하는 레티클
EP3533900A1 (en) * 2018-03-02 2019-09-04 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Method and apparatus for forming a patterned layer of carbon
KR102209853B1 (ko) * 2018-03-08 2021-02-02 주식회사 나노프레임 반도체 제조용 막 및 이에 대한 제조 방법
KR102100029B1 (ko) * 2018-03-28 2020-04-10 한양대학교 에리카산학협력단 펠리클 구조체 및 이를 이용한 리소그래피용 마스크의 결함 검사 방법
WO2019186921A1 (ja) 2018-03-29 2019-10-03 ギガフォトン株式会社 極端紫外光生成装置及び電子デバイスの製造方法
NL2021848A (en) * 2018-04-09 2018-11-06 Stichting Vu Holographic metrology apparatus.
US11143951B2 (en) * 2018-04-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
CN112384863A (zh) * 2018-07-05 2021-02-19 Asml荷兰有限公司 光刻装置和冷却装置
EP3594665A1 (en) * 2018-07-13 2020-01-15 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Optical inspection device and method
US11016383B2 (en) * 2018-08-31 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
CN113302554A (zh) * 2018-10-17 2021-08-24 阿斯特鲁公司 具有含非反射区的反射层的光掩模
US11703762B2 (en) * 2018-10-31 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of reducing undesired light influence in extreme ultraviolet exposure
NL2024461B1 (en) * 2018-12-20 2021-08-02 Asml Netherlands Bv Method of manufacturing a membrane assembly
US11835255B2 (en) 2018-12-27 2023-12-05 SkyCool Systems, Inc. Cooling panel system
EP3674797B1 (en) 2018-12-28 2021-05-05 IMEC vzw An euvl scanner
US11359841B2 (en) 2019-04-17 2022-06-14 SkyCool Systems, Inc. Radiative cooling systems
KR20210004544A (ko) 2019-07-05 2021-01-13 삼성전자주식회사 극자외선 리소그래피 장치
KR102242356B1 (ko) * 2019-08-05 2021-04-20 주식회사 에프에스티 일체화된 프레임과 멤브레인을 포함하는 펠리클, 그 제조방법, 펠리클을 포함하는 노광장치 및 펠리클의 제조장치
KR102242341B1 (ko) * 2019-08-05 2021-04-20 주식회사 에프에스티 펠리클 프레임의 제조방법 및 펠리클의 제조장치
KR102301568B1 (ko) * 2019-09-10 2021-09-14 주식회사 에프에스티 탄화규소 층을 포함하는 극자외선용 펠리클의 제조방법
KR102273266B1 (ko) * 2019-10-23 2021-07-06 주식회사 에프에스티 일체화된 프레임과 멤브레인을 포함하는 펠리클의 제조방법
KR102285721B1 (ko) * 2019-12-05 2021-08-05 주식회사 에스앤에스텍 극자외선 파장 간격으로 주기적 형상화된 금속 박막을 구비하는 극자외선 리소그래피용 펠리클 및 그 제조방법
NL2027098B1 (en) * 2020-01-16 2021-10-14 Asml Netherlands Bv Pellicle membrane for a lithographic apparatus
US11657323B2 (en) 2020-03-10 2023-05-23 International Business Machines Corporation Machine learning model accuracy fairness
WO2021210432A1 (ja) * 2020-04-17 2021-10-21 三井化学株式会社 露光用ペリクル膜、ペリクル、露光原版、露光装置及び露光用ペリクル膜の製造方法
KR20220017137A (ko) * 2020-08-04 2022-02-11 주식회사 에스앤에스텍 극자외선 리소그래피용 펠리클 및 그 제조방법
KR102440483B1 (ko) * 2020-08-04 2022-09-07 주식회사 에스앤에스텍 2층 구조의 중심층을 구비한 극자외선 리소그래피용 펠리클
KR20220062799A (ko) * 2020-11-09 2022-05-17 한국전자기술연구원 극자외선 노광용 펠리클
KR102375433B1 (ko) * 2020-12-02 2022-03-18 한국전자기술연구원 3성분계의 코어층을 포함하는 극자외선 노광용 펠리클
KR102278843B1 (ko) * 2020-12-15 2021-07-20 한국전자기술연구원 다성분계의 코어층을 포함하는 극자외선 노광용 펠리클
KR20220141378A (ko) * 2021-04-12 2022-10-20 한국전자기술연구원 이트륨계 기반의 극자외선 노광용 펠리클
JPWO2023027051A1 (zh) * 2021-08-25 2023-03-02
KR20230058044A (ko) 2021-10-20 2023-05-02 엔지케이 인슐레이터 엘티디 Euv 투과막
WO2023066685A1 (en) * 2021-10-22 2023-04-27 Asml Netherlands B.V. Pellicle membrane for a lithographic apparatus
EP4231097A1 (en) * 2022-02-22 2023-08-23 ASML Netherlands B.V. Inspection tool and barrier for use therein
WO2023160896A1 (en) * 2022-02-24 2023-08-31 Universiteit Twente Pellicles and membranes for use in a lithographic apparatus
EP4318128A1 (en) * 2022-07-28 2024-02-07 S&S Tech Co., Ltd. Pellicle for euv lithography
EP4365676A1 (en) * 2022-09-15 2024-05-08 NGK Insulators, Ltd. Euv-transmissive film, method for using same, and exposure method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102318010A (zh) * 2009-02-13 2012-01-11 Asml荷兰有限公司 多层反射镜和光刻设备
CN102472975A (zh) * 2009-06-30 2012-05-23 Asml荷兰有限公司 光谱纯度滤光片、光刻设备和用于制造光谱纯度滤光片的方法
CN103080840A (zh) * 2010-06-25 2013-05-01 Asml荷兰有限公司 光刻设备和方法
WO2013152921A1 (en) * 2012-04-12 2013-10-17 Asml Netherlands B.V. Pellicle, reticle assembly and lithographic apparatus

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5110373A (en) 1988-09-13 1992-05-05 Nanostructures, Inc. Silicon membrane with controlled stress
US5051326A (en) 1989-05-26 1991-09-24 At&T Bell Laboratories X-Ray lithography mask and devices made therewith
US6300017B1 (en) 1998-08-20 2001-10-09 Micron Technology, Inc. Stencil masks and methods of manufacturing stencil masks
US6316150B1 (en) 1998-08-24 2001-11-13 Euv Llc Low thermal distortion extreme-UV lithography reticle
KR20030076238A (ko) 2001-04-17 2003-09-26 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 극자외선 투과 계면 구조체 및 극자외선 리소그래피 투사장치
US7232631B2 (en) * 2003-05-08 2007-06-19 Dai Nippon Prinitng Co., Ltd. Mask for charged particle beam exposure, and method of forming the same
US7456932B2 (en) 2003-07-25 2008-11-25 Asml Netherlands B.V. Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby
JP4928494B2 (ja) 2008-05-02 2012-05-09 信越化学工業株式会社 ペリクルおよびペリクルの製造方法
US9195144B2 (en) * 2008-07-11 2015-11-24 Asml Netherlands B.V. Spectral purity filter, radiation source, lithographic apparatus, and device manufacturing method
WO2010017890A1 (en) * 2008-08-14 2010-02-18 Asml Netherlands B.V. Radiation source, lithographic apparatus, and device manufacturing method
EP2329323A1 (en) 2008-09-26 2011-06-08 ASML Netherlands BV Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
JP4907696B2 (ja) 2009-06-09 2012-04-04 株式会社沖データ 画像形成装置及びネットワークシステム
NL2004787A (en) * 2009-06-30 2011-01-04 Asml Netherlands Bv Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter.
NL2005460A (en) 2009-11-20 2011-05-23 Asml Netherlands Bv Multilayer mirror, lithographic apparatus, and methods for manufacturing a multilayer mirror and a product.
EP2534537B1 (en) * 2010-02-12 2016-05-04 ASML Netherlands B.V. Spectral purity filter
CN102859444B (zh) * 2010-04-27 2015-04-08 Asml荷兰有限公司 光谱纯度滤光片
EP2681625A1 (en) * 2011-03-04 2014-01-08 ASML Netherlands BV Lithograpic apparatus, spectral purity filter and device manufacturing method
US8778448B2 (en) 2011-07-21 2014-07-15 International Business Machines Corporation Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
JP5867046B2 (ja) 2011-12-12 2016-02-24 富士通株式会社 極紫外露光マスク用防塵装置及び極紫外露光装置
WO2013174656A2 (en) * 2012-05-21 2013-11-28 Asml Netherlands B.V. Lithographic apparatus
US9606445B2 (en) * 2012-08-03 2017-03-28 Asml Netherlands B.V. Lithographic apparatus and method of manufacturing a device
JP6364404B2 (ja) * 2013-05-24 2018-07-25 三井化学株式会社 ペリクル、及びこれらを含むeuv露光装置
CN106489084B (zh) * 2014-07-04 2020-10-30 Asml荷兰有限公司 用于光刻设备内的膜和包括这种膜的光刻设备

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102318010A (zh) * 2009-02-13 2012-01-11 Asml荷兰有限公司 多层反射镜和光刻设备
CN102472975A (zh) * 2009-06-30 2012-05-23 Asml荷兰有限公司 光谱纯度滤光片、光刻设备和用于制造光谱纯度滤光片的方法
CN103080840A (zh) * 2010-06-25 2013-05-01 Asml荷兰有限公司 光刻设备和方法
WO2013152921A1 (en) * 2012-04-12 2013-10-17 Asml Netherlands B.V. Pellicle, reticle assembly and lithographic apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12001135B2 (en) 2018-12-20 2024-06-04 Asml Netherlands B.V. Method of manufacturing a membrane assembly

Also Published As

Publication number Publication date
KR102438464B1 (ko) 2022-09-01
TW202248768A (zh) 2022-12-16
CN110501769B (zh) 2021-11-23
CN114035254A (zh) 2022-02-11
TWI667546B (zh) 2019-08-01
TW201606439A (zh) 2016-02-16
KR102560643B1 (ko) 2023-07-27
KR20220123482A (ko) 2022-09-06
TW202111437A (zh) 2021-03-16
KR102604554B1 (ko) 2023-11-22
TWI777310B (zh) 2022-09-11
TW201940980A (zh) 2019-10-16
KR20230116956A (ko) 2023-08-04
JP6741600B2 (ja) 2020-08-19
CN110501769A (zh) 2019-11-26
US20170205704A1 (en) 2017-07-20
CA2954307A1 (en) 2016-01-07
WO2016001351A1 (en) 2016-01-07
CA3165048A1 (en) 2016-01-07
EP3164764A1 (en) 2017-05-10
CN106489084A (zh) 2017-03-08
JP2017522590A (ja) 2017-08-10
TWI715104B (zh) 2021-01-01
US10698312B2 (en) 2020-06-30
JP6858817B2 (ja) 2021-04-14
CA3165053A1 (en) 2016-01-07
KR20230160962A (ko) 2023-11-24
JP2019204132A (ja) 2019-11-28
KR20170029556A (ko) 2017-03-15
EP3164764B1 (en) 2021-02-24
US20190146332A1 (en) 2019-05-16
US10228615B2 (en) 2019-03-12
KR102650131B1 (ko) 2024-03-21
KR20240038172A (ko) 2024-03-22
TWI825930B (zh) 2023-12-11
CA2954307C (en) 2022-08-30

Similar Documents

Publication Publication Date Title
CN106489084B (zh) 用于光刻设备内的膜和包括这种膜的光刻设备
NL2022557B1 (en) A membrane for euv lithography
US9897930B2 (en) Optical element comprising oriented carbon nanotube sheet and lithographic apparatus comprising such optical element
CN113156774A (zh) 用于制造隔膜组件的方法
KR20130105292A (ko) 스펙트럼 퓨리티 필터
KR101797052B1 (ko) 스펙트럼 퓨리티 필터
TW202411767A (zh) 用於一微影設備內之膜及包括此膜之微影設備
NL2013557A (en) Membranes for use within a lithographic apparatus and a lithographic apparatus comprising such a membrane.

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant