TW201245902A - Radiation source-collector and lithographic apparatus - Google Patents

Radiation source-collector and lithographic apparatus Download PDF

Info

Publication number
TW201245902A
TW201245902A TW101108523A TW101108523A TW201245902A TW 201245902 A TW201245902 A TW 201245902A TW 101108523 A TW101108523 A TW 101108523A TW 101108523 A TW101108523 A TW 101108523A TW 201245902 A TW201245902 A TW 201245902A
Authority
TW
Taiwan
Prior art keywords
mirror
radiation
refractive index
collector
stack
Prior art date
Application number
TW101108523A
Other languages
Chinese (zh)
Inventor
Vadim Yevgenyevich Banine
Christian Wagner
Harmeet Singh
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of TW201245902A publication Critical patent/TW201245902A/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • G02B5/0825Multilayer mirrors, i.e. having two or more reflecting layers the reflecting layers comprising dielectric materials only
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure

Abstract

A mirror for reflection of EUV radiation having a wavelength from 5 to 20nm has a multilayer stack of pairs of alternating layers materials having different refractive indices with a protective region is disposed on the stack, the protective region having from 1 to 5 pairs of alternating layers of materials of differing refractive indices disposed on the multilayer stack, so that alternation of magnitude of refractive index continues from the multilayer stack through the protective region. The mirror is arranged so that radiation for reflection is incident upon the protective region before being incident upon the multilayer stack. The materials of the protective region are selected to have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions, for instance from an EUV plasma source, in use. For instance, the multilayer stack may be of silicon/molybdenum, with the protective region of silicon nitride/molybdenum. The protective region acts to prevent hydrogen atoms or ions penetrating into and blistering the mirror, but without resulting in excessive losses in the reflectivity of the mirror. The mirror is particularly useful as a collector mirror such as a normal incidence collector for laser produced plasma EUV sources and is useful for device lithography and in lithography apparatus.

Description

201245902 六、發明說明: 【發明所屬之技術領域】 本發明係關於一種用於反射輻射(諸如,波長為5奈米至 20奈米之EUV輻射)之多堆疊鏡面,且係關於一種輻射源 收集器總成’及一種包括此鏡面或總成之微影裝置。 本申請案主張以下各案之權利:2011年4月4曰申請之美 國臨時申請案61/471,547 ;及2012年2月29日申請且全文以 引用之方式併入本文中之美國臨時申請案61/604,890。 【先前技術】 微影裝置為將所要圖案施加至基板上(通常施加至基板 之目標部分上)之機器。微影裝置可用於(例如)積體電路 UC)製造中。在彼情況下,圖案化器件(其或者被稱作光罩 成比例光罩)可用以產生待形成於I c之個別層上之電路圖 案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分 (例如,包括晶粒之部分、一個晶粒或若干晶粒)上。通常 經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上而 進行圖案之轉印。一般而言,單一基板將含有經順次地圖 案化之鄰近目標部分之網路》 微影被廣泛地認為在1C以及其他器件及/或結構之製造 中之關鍵步驟中的一者。然而,隨著使用微影所製造之特 徵之尺寸變得愈來愈小,微影正變為用於使能夠製造小型 1C或其他器件及/或結構之更具決定性之因素。 微影裝置通常包括:照明系統,其經組態以調節輻射光 束,支撐結構,其經建構以固持圖案化器件(諸如,比例 I62822.doc 201245902 “罩或光罩)圖案化器件能夠在輻射光束之橫截面中向 輻射光束賦予圖案以形成經圖案化輕射光束;基板台,其 經建構以固持基板;及投影系統,其經組態以將經圖案化 輻射光束投影至基板之目標部分上。 圖案印刷極限之理私^ /士 ▲上丄 <理娜估计可藉由瑞立(Rayleigh)解析度 準則給出,如方程式(1)所示: CD = k*-L·. NAps (1) 其中λ為所使用之輕射之波長,NAps為用以印刷圖案之投 影系統之數值隸,kl為程序相依調整因數(亦被稱為瑞立 常數),且CD為、經印刷特徵之特徵大小(或臨界尺寸)。自 方程式⑴可見,可以三種方式來獲得特徵之最小可印刷大 小之縮減:藉由縮短曝光波長λ、藉由增加數值孔徑 NAPS,或藉由減低k丨之值。 為了縮&曝光波長且因此縮減最小可印刷大小,已提議 使用極紫外線(Ευν)_^Ευν||射為具有在5奈来錢 奈米之範圍内(例如’在13奈米至14奈米之範圍内)之波長 的電磁輻射。已進—步提議可使用具有小於1〇奈米(例 如’在5奈米至10奈米之範圍内(諸如,6 7奈米或奈米》 之波長的蘭賴射。此輻射被稱為極紫外線轄射或軟X射 線輻射。可能之源包括(例如)雷射產生電衆源、放電電製 源’或基於藉由電子儲存環提供之同步加速器輻射之源。 可使用電漿來產生EUV輻射。用於產生EUV賴射之轄射 系統可包括用於激發燃料以提供電漿之雷射,及用於含有 162822.doc 201245902 =源收集器裝置。可(例如)藉由將雷射光束引導於燃 ^ 〇適材料(例如,錫)之粒子,或合適氣體或蒸汽 (諸如’Xe氣體或U蒸汽)之串流)處來創製電衆。所得電聚 發射輸出㈣(例如,EUV輻射),其係使用㈣收集” 、集ϋ射收集器可為鏡面式正入射輕射收集器,其接 收輻射且將輻射聚焦成光束。源收集器裝置可包括經配置 以提供真空環境來支援„之圍封結構或腔室。此轄射系 統通常被稱為雷射產生電漿(LPP)源。為了縮短曝光波長 且因此縮減最小可印刷大小,6提議使用極紫外線(euv) 輻射源。EUV輻射源經組態以輸出自5奈米至2〇奈米(諸 如,約13.5奈米及/或低於13.5奈米)之輻射波長。因此, EUV輻射源可構成針對達成小特徵印刷之重要步驟。此輻 射被稱為極紫外線或軟X射線,且可能之源包括(例如)雷射 產生電漿源、放電電漿源,或來自電子儲存環之同步加速 器輻射》 【發明内容】 正入射EUV收集器通常可用針對EUV輻射具有不同折射 率的鉬(Mo)及矽(Si)之多個雙層(亦即,交替層)製成。諸 如可藉由用於UEV輻射產生之電漿(特別是用雷射產生電 漿(LPP)源)產生之氫原子及離子(以及可能地,h2)可引起 起因於多層鏡面之層中之一或多者之起泡的損害。可歸因 於層中氣體物種之產生而發生損害。在多層堆疊之層中之 一者為石夕時,可形成諸如SiH4之物種,或層内之應力改變 可起因於化學鍵結改變及其類似者。 162822.doc 201245902 需要縮減或甚至防止對經配置以反射EUV輻射之鏡面 (諸如’多層鏡面)之損害。亦需要達成免受損害之保護, 而使鏡面針對EUV輻射之反射率無實質損失》此情形對於 用於使用雷射產生電漿源之EUV產生器之正人射收集器鏡 面特別重要.此等收集器鏡面可特別傾向於遭受源於曝光 至高能氫原子、離子或甚至分子之起泡損害。、- 本發明之一態樣提供一種經組態以反射具有自約5奈米 至約20奈米之-波長之輕射的鏡面,該鏡面包含針對:輕 射具有第-折射率之一第一材料及針對該輕射具有第二Λ折田 射率之一第二材料之交替層對的一多層堆疊, 其中該第-折射率高於該第二折射率,且該第_材料及 該第二材料針對該輻射具有一高透射率, 其中-保護區安置於該堆疊上’該保護區包含具有第三 折射率之-第三材料及具有第四折射率之—第四材料之自 1個至5個交替層對’其中該第三折射率不同㈣第四折射 率, 其中該保護區安置於該多層堆叠上,使得折射率之量值 交替自該多層堆疊通過該保護區而繼續, 其中該鏡面經配置成使得供反射之輻射在人射於該多層 堆疊上之前入射於該保護區上, 其中該第三材料及該第四材料在使用中經受氣原子或離 子之蟲擊時具有一高抗起泡形成性, 且其中該第二材料不同於該第一材料。 本發明之一態樣提供用於器件微影之源收集器總成,該 162822.doc 201245902 源、收集益總成經西?番 # & 奈米之集具有自約5奈米至約2〇 ^ 波長之輻射,該源收集器總成包含一私^ 及-收集器鏡面,並中該收隼器°射產生器 樣之一鏡面。〃中該收集器鏡面為根據本發明之一態 本發明之-態樣提供一種經配置以將 器件招·县彡S: « W示目 圖案化 人抱Γ 上之微影投影裝置,其中該微影裝置包 3根據本發明之一態樣之一鏡面。 ’、 = 月之-態樣提供一種形成經組態以反 ::至約2°奈米之-波長之輕射之-鏡面的方法,該方法 ==㈣射具㈣—折射率之_第〜材料及針對該 %射具有第二折射率一筮- 旱之第一材枓之交替層對的—多層堆 叠, =該第-折射率高於該第二折射率,且該第—材料及 该第二材料針對該輻射具有一高透射率, 在該夕層堆疊上提供安置於該多層堆疊上之-保護區, 該保護區包含具有第三 ° 和千又第二材枓及具有第四折 ,、之-第四材料之自】個至5個交替 射率不同於該第四折射率, 第一折 其中該保護區安置於該多層堆疊上,使得折射率之量值 交替自該多層堆疊通過該保護區而繼續, 其中該第三材料及該第四材料在使用中經受氣原子或離 之轟擊時具有一高抗起泡形成性, 且其中該第三材料不同於該第一材料。 162822.doc •9- 201245902 頁穿本說明書 巴3 J蒽谓包括所指定 όο ▼一 W祖份, 旦不應排除其他組份之存在。術語「基本上由…組成」意 謂包括所指定之組份,但排除其他組份,惟作為雜質= 在之材料、由於用以提供該等組份之程序而存在之不可= 免材料及為了除了達成本發明之技術效應以 的而添 加之組份除外。通常,基本上由組份集合組成之組合物將 〇包含小於10重量%(通常小於5重量%,更通常小於3重量 %(諸如,小於1重量%))之非指定組份。術語「由組成」里 意謂特定地排除其他組份。 」 ,、要適當,術語「包含」之使用便亦可被視為包括「基 本上由…組成」或「由…組成」之涵義。 當在本說明書中將一材料稱為Γχ」時,其意謂該材料 基本上由「X」組成。 在本說明書中提到諸如碳化物、氮化物或矽化物之化合 :時,應理解’此等術語指代化學計量化合物及非化學二 量化合物兩者,後者係在該化合物係藉由可明顯地導致提 供呈層形式之非化學計量化合物之程序(諸如,濺鍍或化 學氣相沈積)形成的情況下形成。舉例而f,氮化石夕可為 化學計量形式Si3N4,或其可為叫,其中χ為數字,例 如’自〇·1至1.4。相似地,氮化棚可指代π 化學計量化合物。 # 在本說明書中(在[實施方式]令或在申請專利範圍中)所 闡明之選用及/或較佳特徵可在適當時個別地或彼此組合 地予以使用,且特別以如隨附中請專利範圍中所闡明之組 162822.doc 201245902 2::用。本文所閣明之本發明之每-態樣的選用及/ 或^特徵亦在適當時適用於本發明之任何其他態樣。 —之-波二=態以反射具有自約5奈米 ΛΑ. ja EUV輻射)的鏡面β該 射具有第一折射率之-第-材料及針對 =射具有第二折射率之一第二材料之交替層對的—多層 皁了在本說明書中’對折射率之參考意欲意謂實部折射 率(real refractive index、而昨本 r— index)。叫而非虛部丨, 該第一折射率高於該第二折射率,且該第—材料及該第 -材料針對該鏡面經組態所針對之該輕射具有一高透射 率思舉例而言,高透射率意謂具有2.5奈米之厚度的材料 之層提供95%或95%以上(諸如,97%或㈣ 量之透射率。 )心射能 關於折射率,兩種材料之折射率之間的差可為(比如)自 :01至〇.丨。舉例而言’對於可用作第一材料之矽,在ιΐ3 奈米下之折射率為社觀,而對於在相同波長之翻 射率為約0.9514奈米。 經調適以用作針對EUV輻射之鏡面之此等多層堆疊在此 項技術尹為吾人所知。見(例如)專利公開案EP-A-1 065 532。每一層對之個別層通常將具有相似厚度,其中一對 中之兩個層之厚度比率為自约60:40至約40:60,諸如,(比 如)約5G:5G°對於經調適以用於正人射及正反射之鏡面, 該等對之週期性(亦即,每一層對之厚度)將為約λ/2,其中 162822.doc 201245902 λ為待反射之II射之波長。因此’舉例而言,對於具有 3 ·5不米之波長之EUV輻射,用於正入射堆疊之層對之厚 度可為6.75奈米,Μ第一材料之層及第二材料之層各自 為3.875奈米彳。通常,多層堆叠應有效地表現為半無限 堆疊,且為了達成此情形,多層堆疊通常可包含第一材料 及第一材料之自25個至2〇〇個(比如,Μ個至個)層對。 對於本發明之此態樣之該鏡面,一保護區安置於該多層 堆疊上,該保護區包含具有第三折射率之一第三材料及具 有第四折射率之一第四材料之自丨個至5個交替層對,其中 該第三折射率不同於該第四折射率,且其中該保護區安置 於該多層堆#上’使得折射率之量值交替自該多層堆疊通 過該保護區而繼續。換言之,若該多層堆疊之最上部層為 该第二材料(相比於該第一材料具有較低折射率),則直接 地接觸此層的該保護區之層(比如,該第三材料)將經選擇 為相比於該第二材料具有一較高折射率,且相比於該第四 材料亦具有一較高折射率,該第四材料將形成經提供成直 接地接觸該第三材料之下一層。 該鏡面經配置成使得供反射之輻射在入射於該多層堆疊 上之前入射於該保護區上。 s玄第二材料及該第四材料在使用中經受氫原子或離子之 羼擊時具有一高抗起泡形成性。該第三材料不同於該第一 材料或該第二材料。 換言之,在該第一材料或該第二材料中之一者為在用氫 進行轟擊時經受起泡之一材料的一情形中,在該保護區 162822.doc •12- 201245902 中"左又起/包之該材料在該多層結構中係藉由該第三材料 取代’該第三材料在此等情況下高度地抗起泡。 該第四材料可相同於該第一材料或該第二材料。舉例而 言,該第四材料可包含鉬或為鉬(亦即,基本上由鉬組 成)。該第三材料可選自碳化物、氮化物、氧化物及石夕化 物。合適地,陰離子為針對EUV輻射具有低吸收率之一元 素。對於碳化物,所包括之材料為類鑽石碳、碳化石夕、碳 化鉬(例如,M〇2C或非化學計量碳化銷)、碳化侧(例如, B4C、B9c或非化學計量碳化⑹、碳化釕、碳化紀、碳化 錯、碳化銳、碳㈣ '碳化鈦,或其類似者。對於石夕化 物,所包括之材料為石夕化钥、石夕化蝴、石夕化紀、石夕化錯、 矽化鈮、石夕化鋼、石夕化釕、石夕化鈦’及其類似者。對於氮 化物,合適材料可為氮切(例如,si3NqsiNX)、氮化 硼、氛化_、氮化釕、氮化纪、氮化錯、氮化能、氮化 鑭、氛化欽’及其類似者。對於氧化物 化鈦、氧化銷、氧化紀、氧 k括氧 釕。 K化錯、氧化鈮、氧化鑭、氧化 特別合適之材料為類鑽石碳'201245902 VI. Description of the Invention: [Technical Field] The present invention relates to a multi-stacked mirror for reflecting radiation, such as EUV radiation having a wavelength of 5 nm to 20 nm, and relating to a radiation source collection The assembly 'and a lithography device including the mirror or assembly. The present application claims the following claims: U.S. Provisional Application No. 61/471,547, filed on Apr. 4, 2011, and the U.S. Provisional Application No. 61, filed on Feb. 29, 2012, /604,890. [Prior Art] A lithography apparatus is a machine that applies a desired pattern onto a substrate (usually applied to a target portion of the substrate). The lithography apparatus can be used, for example, in the fabrication of integrated circuits UC). In that case, a patterned device (which may be referred to as a reticle proportional mask) may be used to create a circuit pattern to be formed on individual layers of IC. This pattern can be transferred to a target portion (e.g., including a portion of a die, a die, or a plurality of dies) on a substrate (e.g., a germanium wafer). Transfer of the pattern is typically carried out via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of sequentially mapped adjacent target portions. Microfilm is widely recognized as one of the key steps in the manufacture of 1C and other devices and/or structures. However, as the dimensions of features created using lithography become smaller and smaller, lithography is becoming a more decisive factor for enabling the fabrication of small 1C or other devices and/or structures. A lithography apparatus generally includes an illumination system configured to condition a radiation beam, a support structure configured to hold a patterned device (such as a ratio I62822.doc 201245902 "mask or reticle" patterned device capable of radiating a beam of radiation a cross-section imparting a pattern to the radiation beam to form a patterned light beam; a substrate stage configured to hold the substrate; and a projection system configured to project the patterned radiation beam onto the target portion of the substrate The pattern printing limit is private. / / ▲上丄<Lina estimates can be given by Rayleigh resolution criteria, as shown in equation (1): CD = k*-L·. NAps ( 1) where λ is the wavelength of the light shot used, NAps is the value of the projection system used to print the pattern, kl is the program dependent adjustment factor (also known as the Ryre constant), and the CD is the printed feature. Feature size (or critical dimension). As can be seen from equation (1), the minimum printable size reduction of the feature can be obtained in three ways: by shortening the exposure wavelength λ, by increasing the numerical aperture NAPS, or by subtracting The value of k丨. In order to reduce the exposure wavelength and thus reduce the minimum printable size, it has been proposed to use extreme ultraviolet (Ευν)_^Ευν|| shots to have a range of 5 nanometers (eg 'at 13' Electromagnetic radiation of wavelengths in the range of nanometers to 14 nm. It has been proposed to use less than 1 〇 nanometer (eg 'in the range of 5 nm to 10 nm (such as 6 7 nm) Or the wavelength of the nanometer. This radiation is called extreme ultraviolet radiation or soft X-ray radiation. Possible sources include, for example, laser generating electricity sources, discharge electricity sources' or based on electrons. The source of the synchrotron radiation provided by the storage ring. The plasma can be used to generate EUV radiation. The trajectory system for generating EUV radiation can include a laser for exciting the fuel to provide plasma, and for containing 162822.doc 201245902 = source collector device. For example, by directing a laser beam to particles of a suitable material (eg, tin), or a suitable gas or vapor (such as 'Xe gas or U vapor). Create electricity for the electricity generation. The resulting electro-aggregate emission output (4) (for example EUV radiation), which uses (4) collection, the collection of collectors can be a specular positive incidence light collector that receives radiation and focuses the radiation into a beam. The source collector device can be configured to provide a vacuum environment. Supports the enclosing structure or chamber. This radiant system is often referred to as the laser-generated plasma (LPP) source. In order to shorten the exposure wavelength and thus reduce the minimum printable size, 6 proposes the use of extreme ultraviolet (euv) radiation sources. The EUV radiation source is configured to output a radiation wavelength from 5 nanometers to 2 nanometers (such as about 13.5 nanometers and/or less than 13.5 nanometers). Thus, the EUV radiation source can be configured to achieve small feature printing. Important steps. This radiation is referred to as extreme ultraviolet or soft X-rays, and possible sources include, for example, laser-generated plasma sources, discharge plasma sources, or synchrotron radiation from electronic storage rings. [Summary] Normal incidence EUV collection The apparatus can generally be made of a plurality of double layers (i.e., alternating layers) of molybdenum (Mo) and bismuth (Si) having different refractive indices for EUV radiation. Hydrogen atoms and ions (and possibly h2), such as those produced by plasma for UEV radiation, particularly with a laser-generated plasma (LPP) source, can cause one of the layers of the multilayer mirror Or the blistering damage of many. Damage can occur attributable to the generation of gas species in the layer. When one of the layers of the multilayer stack is Shi Xi, a species such as SiH4 may be formed, or the stress change within the layer may result from a chemical bond change and the like. 162822.doc 201245902 There is a need to reduce or even prevent damage to mirrors that are configured to reflect EUV radiation, such as 'multilayer mirrors. It is also necessary to achieve protection from damage, so that there is no substantial loss of specularity for EUV radiation reflectance. This situation is particularly important for positive emitter collector mirrors for EUV generators that use lasers to generate plasma sources. Such collections The mirror may be particularly prone to blister damage resulting from exposure to high energy hydrogen atoms, ions or even molecules. - an aspect of the present invention provides a mirror configured to reflect a light shot having a wavelength of from about 5 nm to about 20 nm, the mirror comprising: a light-emitting having a first refractive index a multilayer stack of alternating layers of a second material having a second twist field rate for the light shot, wherein the first index of refraction is higher than the second index of refraction, and the first material and the The second material has a high transmittance for the radiation, wherein the protective region is disposed on the stack. The protective region includes a third material having a third refractive index and a fourth material having a fourth refractive index. To five alternating layer pairs, wherein the third index of refraction is different (four) fourth index of refraction, wherein the guard region is disposed on the multi-layer stack such that the magnitude of the index of refraction alternates from the multi-layer stack through the guard region, Wherein the mirror is configured such that the radiation for reflection is incident on the protective zone before the human is incident on the multilayer stack, wherein the third material and the fourth material are subjected to gas atom or ion attack during use. a high resistance to foam formation, And wherein the second material is different from the first material. One aspect of the present invention provides a source collector assembly for device lithography, the 162822.doc 201245902 source, collecting benefits into the west? The collection of the ######################################################################################################### One of the mirrors. The collector mirror in accordance with the present invention provides a lithographic projection apparatus configured to move the device to the device: The lithography apparatus package 3 is mirror-finished according to one aspect of the present invention. The ', = month-state provides a method of forming a specular surface that is configured to inverse:: to about 2° nanometer-wavelength, the method == (four) shot (four) - refractive index _ a material and a multi-layer stack of alternating pairs of layers of the first material having a second index of refraction - the first shot, wherein the first index of refraction is higher than the second index of refraction, and the first material and The second material has a high transmittance for the radiation, and a protection zone disposed on the multilayer stack is provided on the stack of the layer, the protection zone comprising a third phase and a second material and a fourth Folding, - the fourth material from the fifth to five alternating radiances different from the fourth refractive index, the first fold wherein the protective region is disposed on the multilayer stack such that the magnitude of the refractive index alternates from the plurality of layers The stacking continues through the protective region, wherein the third material and the fourth material have a high resistance to foaming formation when subjected to gas atoms or bombardment in use, and wherein the third material is different from the first material . 162822.doc •9- 201245902 Page of this manual Ba 3 J蒽 includes the specified όο ▼ a ancestor, and should not exclude the existence of other components. The term "consisting essentially of" means including the specified components, but excluding other components, but as impurities = in the material, due to the procedures used to provide the components, non-materials and Except for the components added to achieve the technical effects of the present invention. Typically, a composition consisting essentially of a collection of components will comprise less than 10% by weight (typically less than 5% by weight, more typically less than 3% by weight (such as less than 1% by weight) of non-specified components. The term "consisting of" means specifically excluding other components. The use of the term "including" may also be taken to include the meaning of "consisting essentially of" or "consisting of". When a material is referred to as "Γχ" in this specification, it means that the material consists essentially of "X". When reference is made in this specification to a compound such as a carbide, a nitride or a telluride: it should be understood that the terms refer to both a stoichiometric compound and a non-chemical two-quantity compound, the latter being This results in the formation of a process that provides a non-stoichiometric compound in the form of a layer, such as sputtering or chemical vapor deposition. For example, f, the nitride can be in the stoichiometric form Si3N4, or it can be called, wherein χ is a number, such as 'self-〇·1 to 1.4. Similarly, a nitration chamber can refer to a π stoichiometric compound. The optional and/or preferred features set forth in this specification (in the [Embodiment] or in the scope of the patent application) may be used individually or in combination with each other as appropriate, and in particular as claimed in the accompanying claims. The group 162822.doc 201245902 2:: is used in the scope. The selection and/or features of each aspect of the invention as set forth herein are also applicable to any other aspect of the invention as appropriate. - the wave two = state to reflect the mirror surface β having a self-densification of 5 nanometers. ja EUV radiation, the first material having a first refractive index and the second material having a second refractive index Alternate layer pairs - multi-layer soap In the present specification, the reference to the refractive index is intended to mean the real refractive index (or yesterday's r-index). The first refractive index is higher than the second refractive index, and the first material and the first material have a high transmittance for the light shot for which the mirror is configured. In other words, high transmittance means that a layer of material having a thickness of 2.5 nanometers provides a transmittance of 95% or more (such as 97% or (four)). The energy of the core is about the refractive index, and the refractive indices of the two materials. The difference can be (for example) from: 01 to 〇.丨. For example, for a crucible that can be used as a first material, the refractive index at ι 3 nm is social, and for a refractive index at the same wavelength is about 0.9514 nm. Such multi-layer stacks adapted for use as mirrors for EUV radiation are known to us in this technique. See, for example, Patent Publication EP-A-1 065 532. The individual layers of each layer will typically have a similar thickness, with a thickness ratio of two of the pair being from about 60:40 to about 40:60, such as, for example, about 5G: 5G° for adaptation. For the mirrors of positive and regular reflections, the periodicity of the pairs (i.e., the thickness of each layer) will be about λ/2, where 162822.doc 201245902 λ is the wavelength of the II shot to be reflected. Thus, for example, for EUV radiation having a wavelength of 3 · 5 meters, the thickness of the layer pair for the normal incidence stack can be 6.75 nm, and the layer of the first material and the second material layer are each 3.875. Nano 彳. In general, a multi-layer stack should effectively behave as a semi-infinite stack, and to achieve this, the multi-layer stack can typically comprise from 25 to 2 (eg, one to one) layer pairs of the first material and the first material. . For the mirror surface of this aspect of the invention, a protective region is disposed on the multilayer stack, the protective region comprising a third material having a third refractive index and a fourth material having a fourth refractive index Up to 5 alternating layer pairs, wherein the third index of refraction is different from the fourth index of refraction, and wherein the guard region is disposed on the multi-layer stack # such that the magnitude of the index of refraction alternates from the multi-layer stack through the guard region carry on. In other words, if the uppermost layer of the multilayer stack is the second material (having a lower refractive index than the first material), then directly contacting the layer of the protective region of the layer (eg, the third material) Will be selected to have a higher refractive index than the second material and have a higher refractive index than the fourth material, the fourth material being formed to provide direct contact with the third material The next layer. The mirror is configured such that the radiation for reflection is incident on the protective zone prior to being incident on the multilayer stack. The smectic second material and the fourth material have a high resistance to foaming formation when subjected to hydrogen atom or ion attack in use. The third material is different from the first material or the second material. In other words, in the case where one of the first material or the second material is subjected to foaming when bombarding with hydrogen, in the protected area 162822.doc •12-201245902 "left again The material that is lifted/packed is replaced by the third material in the multilayer structure. The third material is highly resistant to foaming in such cases. The fourth material can be the same as the first material or the second material. For example, the fourth material may comprise or be molybdenum (i.e., consist essentially of molybdenum). The third material may be selected from the group consisting of carbides, nitrides, oxides, and ceramides. Suitably, the anion is one of the elements having a low absorption rate for EUV radiation. For carbides, the materials included are diamond-like carbon, carbon carbide, molybdenum carbide (for example, M〇2C or non-stoichiometric carbonized pins), carbonized side (for example, B4C, B9c or non-stoichiometric carbonization (6), niobium carbide , carbonization, carbonization, carbonization, carbon (4) 'titanium carbide, or the like. For Shi Xi, the materials included are Shi Xihua, Shi Xihua, Shi Xihua, Shi Xihua , 矽化铌, 石夕化钢, 石夕化钌, 石夕化钛' and the like. For nitrides, suitable materials can be nitrogen cut (for example, si3NqsiNX), boron nitride, atmosphere _, nitriding Bismuth, nitriding, nitriding, nitriding, niobium nitride, sulphide, and the like. For titanium oxide, oxidation pin, oxidization, oxygen, oxygen Particularly suitable materials for cerium oxide and oxidation are diamond-like carbon'

SiN4HM、 妷化矽、氮化矽(例如, ,或SlNx)、碳化硼(例如,Β4(:、¥或“ 化硼)、氮化硼,及碳化鉬( 量厌 钥)。 铜(例如或非化學計量碳化 在一較佳配置中,該篦& u ϊ Τ a弟四材料可為翻且 化石夕。當在該多層堆疊中今 第一材枓為氮 r π第一材料為石夕且續结_ 鉬時,此情形特別較佳。 Μ第一材科為 J62822.doc 201245902 該保護層可合適地包含自丨個至3個交替層對,諸如 個、2個或三個層對。在需要時,可將該第三材料或該第 四材料之一另外最終層提供為一最外部層,從而維持 率交替。 ’ 該鏡面可經配置為針對具有自約5奈米至約2〇奈米之— 波長之輻射(諸如,Euv輻射)的—收集器鏡面。特定令 之’該收集器鏡面可經配置以用作一正入射收集器鏡面, 其(例如)適於供EUV輻射之一LPP產生器使用。 該鏡面可經調適以反射具有自約丨丨奈米至約16奈米之一 波長之輻射,或在根據本發明之另一配置中,該鏡面可經 調適以反射具有自約6.4奈米至約7 2奈米之一波長之輻 射。 本發明之-態樣提供一種用於器件微影之源收集器總成 (在本文中亦被稱作源收集器模組),該源收集器總成經配 置以產生及收集具有自約5奈米至約20奈米之一波長之韓 射(亦即’ EUV輻射),該源收集器總成包含一輻射產生器 及-收集器鏡面,《中該收集器鏡面為根據本發明之一態 樣之一鏡面。 本發明之此態樣之該輻射產生器可經配置以在使用中自 一雷射產生電漿產生輻射。 。本發明之—態樣提供—種經配置以將1案自—圖案化 器件投影至一基板上之微影投影萝 <又〜裒置’其令該微影裝置包 含根據本發明之—態樣之—鏡面。該微影裝置可進-步包 含: 162822.doc 14· 201245902 一照明系統,其經組態以調節一輻射光束; 一支撐結構’其經建構以固持一圖案化器件,該圖案化 器件能夠在該賴射光束之橫截面中向該輪射光束賦予一圖 案以形成一經圖案化輻射光束; 一基板台,其經建構以固持一基板;及一投影系統,其 經組,每以將該經圖案化轄射光束投影至該基板之一目標部 分上。 舉例而言,此態樣之一特定配置可提供一種微影裝置, 該微影裝置包含: 一EUV輻射產生器; 一收集器,其經組態以反射該EUV輻射,該收集器包含 一多層鏡面’該多層鏡面包含鉬及石夕之交替層對,該多層 鏡面具有安置於其上之一保護區’該保護區包含翻及氣化 矽之自1個至5個交替層對; 一照明系統’其經組態以將該EUV輻射調節成一輕射光 束; 一支撐結構,其經建構以固持一圖案化器件,該圖案化 器件能夠在該輕射光束之橫截面中向該輻射光束賦予一圖 案以形成一經圖案化輻射光束; 一基板台,其經建構以固持一基板;及 一投影系統,其經組態以將該經圖案化輻射光束投影至 該基板之一目標部分上。 本發明之一態樣提供一種形成經組態以反射 奈米至約20奈米之一波長之輻射之一鏡面的方法 具有自約5 該方法 162822.doc -15- 201245902 包含: 提供針對該輻射具有第一 輪射具有第二折射率二=之一第一材料及針對該 疊, 弟—材料之交替層對的一多層堆 『該第-折射率高於該第二,且該 該第二材料針對該輕射具有一高透射率, “4及 該==叠上提供安置於該多層堆疊上之-保護區, 's品^ 3具有第三折射率之一第三材料及具有第四 射率之一第四材料之自1個至5個交替層對, 其中該第三折射率不同於該第四折射率, 其中該保遵區安置於該多層堆疊上,使得折射率之量值 交替自該多層堆疊通過該保護區而繼續, 其中該第三材料及該第四材料在使用中經受氫 子之森擊時具有一高抗起泡形成性, 次離 且其中該第三材料不同於該第一材料或該第二材料。 、,本發明之另一態樣提供一種經組態以反射具有自約5奈 米至約20奈米之一波長之輻射的鏡面,該鏡面包含針對該 輪射具有第-折射率之—第―材料及針對該輻射具有第二 折射率之第一材料之交替層對的一多層堆疊, 其中該第一折射率高於該第二折射率,且該第一材料及 5玄第二材料針對該輻射具有一高透射率, 其中一頂蓋層安置於該堆疊上,該頂蓋層包含氮化硼, 較佳地包含立方晶氮化硼。 【實施方式】 162822.doc •16· 201245902 現在將參看隨附示意性圖式而僅藉由實例來描述本發明 之實施例,在該等圖式中,對應元件符號指示對應部件。 圖1示意性地描繪根據本發明之一實施例的微影裝置 100。該裝置包含:照明系統(照明器)IL,其經組態以調節 輻射光束B(例如,EUV輻射);支撐結構(例如,光罩 σ )MT,其經建構以支撐圖案化器件(例如,光罩或比例光 罩)MA,且連接至經組態以準確地定位該圖案化器件之第 -定位器PM;基板台(例如,晶圓台)WT,其經建構以固 持基板(例如’抗㈣塗佈晶圓,,且連接至經組態以準 確地定位遠基板之第二;^位器pw ;及投影系統(例如,反 射U統)PS ’其經组態以將藉由圖案化器件賦予至 幸田射光束B之圖案投影至基板w之目標部分。(例如,包含 一或多個晶粒)上。 照明系統可包括用於引導、塑形或控制輻射的各種類型 光干,且件諸如,折射、反射、磁性、電磁、靜電或其 他類型之光學組件,或仏㈣I。 、 μ撑σ構MT以取決於圖案化器件MA之定向、微影裝置 之又。十及其他條件(諸如,胃圖案化器件是否被固持於真 空環境中)的方式來固持該圖案化器#41结構可使用 機械真工、靜電或其他夾持技術以固持圖案化器件。支 Ζ,。構可為(例如)框架或台,其可根據需要而固定或可移 揮結構可確保圖案化器件(例如)相對於投影系 於所要位置。 術語「圖案化器件 應被廣泛地解釋為指代可用以在輻 162822.doc 201245902 射先束之橫截面中向轄射光束賦予圖案以便在基板之目標 部分中創製圖案之任何器件。被賦予至輕射光束之圖宰可 對應於目標部分中所創製之器件(諸如,積體電路)中^特 定功能層。 圖案化器件可為透射的或反射的。圖案化器件之實例包 括光罩、可程式化鏡面陣列,及可程式化lcd面板。光罩 在微影中為吾人所熟知,且包括諸如二元、交變相移及衰 減相移之光罩類型,以及各種混合光罩類型。可程式化鏡 面陣列之-實例使用小鏡面之矩陣配置,該等小鏡面中每 一者可個別地傾斜,以便在不时向上反射人射輻射光 束。傾斜鏡面在藉由鏡面矩陣反射之轄射光束中賦予圖 案。 類似㈣㈣統’投影系統可包括適於所使用之曝光賴 射或適於諸如真空之使用之其他因素的各種類型之光學組 件’諸如’折射、反射、磁性'電磁'靜電或其他類型之 先學組件’或其任何組合。可能需要將真空用於_ 射’此係因為氣體可能吸收過多輻射。因此,可憑藉真空 壁及真空泵而將真空環境提供至整個光束路徑。可將一些 氣體提供於微影裝置之一些部件中,例如,以允許使用氣 流以縮減污染到達微影裝置之光學組件之可能性。 地斤心纟會’裝置為反射類型(例如,使用反射光 罩)。 微影裝置可為具有兩個(雙載物台)或兩個以上基板台(及/ 或兩個或兩個以上光罩台)之類型。在此等「多載物台」 162822.doc •18- 201245902 機器中,可並行地使用額外台,或可在一或多個台上進行 預備步驟,同時將-或多個其他台用於曝光。 參看圖1,照明器IL自源收集器模組/總成s〇接收極紫外 線(EUV)輻射光束。用以產生刪光之方法包括(但未必限 於)用在EUV範圍内之—或多種發射譜線將具有至少一元 素(例如’ It、H或錫)之材料轉換成電n狀態。在一種此 類方法(常常被稱為雷射產生電漿「LPP」)中,可藉由用 雷射光束來輻照燃料(諸如,具有所需譜線發射元素之材 料之小滴、串流或叢集)而產生所要電漿。源收集器模組/ 總成so可為包括雷射(圆丨中未繪示)之EUV輻射系統之部 件,雷射用於提供激發燃料之雷射光束。所得電漿發射輸 出輻射(例如,EUV輻射),其係使用安置於源收集器模組/ 總成中之輻射收集器予以收集。舉例而言,當使ffiC〇2雷 射以k供用於燃料激發之雷射光束時,雷射及源收集器模 組/總成可為分離實體。 在此等狀況下,不認為雷射形成微影裝置之部件,且輻 射光束係憑藉包含(例如)合適引導鏡面及/或光束擴展器之 光束遞送系統而自雷射傳遞至源收集器模組/總成。在其 他狀況下,舉例而言,當源為放電產生電漿EUV產生器 “常被稱為DPP源)時’源可為源收集器模組/總成之整體 部件或總成。 照明器IL可包含用於調整輻射光束之角強度分佈之調整 器。通常’可調整照明器之光瞳平面中之強度分佈的至少 外部徑向範圍及/或内部徑向範圍(通常分別被稱作σ外部 162822.doc 19 201245902 及σ内部)。另外,照明器乩可包含各種其他組件,諸如, 琢面化場鏡面器件及琢面化光曈鏡面器件。照明器可用以 調節輻射光束,以在其橫截面中具有所要均一性及強度分 佈。 輻射光束B入射於被固持於支撐結構(例如,光罩台)Μτ 上之圖案化器件(例如,光罩)ΜΑ上,且係藉由該圖案化器 件而圖案化。在自圖案化器件(例如,光罩)ΜΑ反射之後, 輻射光束Β傳遞通過投影系統PS,投影系統ps將該光束聚 焦至基板W之目標部分(:上。憑藉第二定位器pw及位置感 測器PS2(例如,干涉量測器件、線性編碼器或電容性感測 器),可準確地移動基板台WT,例如,以便使不同目標部 分C定位於輻射光束B之路徑中。相似地,第一定位器 及另位置感測器PS 1可用以相對於輻射光束B之路徑來準 確地疋位圖案化器件(例如,光罩)MA。可使用光罩對準標 記Ml、M2及基板對準標記?1、p2來對準圖案化器件(例 如’光罩)MA及基板w。 所描繪裝置可用於以下模式中至少一者中: 1·在步進模式中,在將被賦予至輻射光束之整個圖案一 次性投影至目標部分c上時,使支撐結構(例如,光罩 台)MT及基板台冒丁保持基本上靜止(亦即,單次靜態曝 光接著,使基板台WT在X及/或γ方向上移位,使得可 曝光不同目標部分C。 2.在掃描模式中,在將被賦予至輻射光束之圖案投影至 目標部分c上時,同步地掃描支撐結構(例如,光罩台)μτ 162822.doc -20- 201245902 及基板台wt(亦即,單次動態曝光)β可藉由投影系統ps 之放大率(縮小率)及影像反轉特性來判定基板台WT相對於 支撐結構(例如,光罩台)MT之速度及方向。 3.在另一模式中,在將被賦予至輻射光束之圖案投影至 目標部分c上時,使支撐結構(例如,光罩台)MT保持基本 上靜止,從而固持可程式化圖案化器件,且移動或掃描基 板台WT。在此模式中,通常使用脈衝式輻射源且在基 板台WT之每-移動之後或在一掃描期間之順次輻射脈衝 之間根據需要而更新可程式化圖案化器件。此操作模式可 易於應用於利用可程式化圖案化器件(諸如,上文所提及 之類型之可程式化鏡面陣列)之無光罩微影。 亦可使用對上文所描述之使用模式之組合及/或變化或 完全不同之使用模式。 圖2更詳細地展示裝置刚,其包括源收集器模組/總成 SO、照明系統IL及投影系統PSe源收集器模板/總成犯經 建構及配置成使得可將真空環境維持於源收集器模組/總 成SO之圍封結構220中。可藉由放電產生電聚源形成刪 輻射發射電漿210。可藉由氣體或蒸汽(例如,心氣體、u 蒸汽或Sn蒸汽)產生EUV輻射,其中創製極熱電毁2ι〇以發 射在電磁光譜之EUV範圍内之輻射。藉由(例如)造成至少 部分離子化電漿之放電創製極熱電漿21〇。為了輻射之V 效率產生,可能需要為(例如)丨0帕斯卡之分壓之Xe .SiN4HM, antimony telluride, tantalum nitride (for example, or SlNx), boron carbide (for example, Β4 (:, ¥ or "boron"), boron nitride, and molybdenum carbide (quantity of the key). Copper (for example or Non-stoichiometric carbonization In a preferred configuration, the 篦&u ϊ Τ 弟 弟 弟 材料 弟 弟 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 This case is particularly preferred when the _ molybdenum is continuation. Μ The first material is J62822.doc 201245902 The protective layer may suitably comprise from one to three alternating layer pairs, such as one, two or three layer pairs. If desired, the third material or one of the other final layers of the fourth material may be provided as an outermost layer such that the maintenance rate alternates. 'The mirror may be configured to have a ratio of from about 5 nm to about 2收集Nano - a collector mirror of wavelength radiation (such as Euv radiation). Specifically, the collector mirror can be configured to act as a normal incidence collector mirror, which is, for example, suitable for EUV radiation One of the LPP generators is used. The mirror can be adapted to reflect from about 丨丨 nanometer to Radiation of one wavelength of 16 nm, or in another configuration according to the invention, the mirror may be adapted to reflect radiation having a wavelength from about 6.4 nm to about 72 nm. A source collector assembly (also referred to herein as a source collector module) for device lithography is provided that is configured to generate and collect from about 5 nm to about 20 a laser of one wavelength of nanometer (ie, 'EUV radiation), the source collector assembly comprising a radiation generator and a collector mirror, wherein the collector mirror is one of the mirrors according to one aspect of the invention The radiation generator of this aspect of the invention can be configured to generate plasma from a laser in use to generate radiation. The present invention provides a configuration to self-pattern a device A lithographic projection projected onto a substrate, which causes the lithography apparatus to include a mirror surface according to the present invention. The lithography apparatus can further include: 162822.doc 14· 201245902 A lighting system configured to adjust a radiation beam; a support Constructed to hold a patterned device capable of imparting a pattern to the laser beam in a cross section of the beam of light to form a patterned beam of radiation; a substrate stage constructed to Holding a substrate; and a projection system, each of which is configured to project the patterned ray beam onto a target portion of the substrate. For example, one of the aspects of the aspect provides a lithography device The lithography apparatus comprises: an EUV radiation generator; a collector configured to reflect the EUV radiation, the collector comprising a multi-layer mirror comprising the alternating pairs of molybdenum and stone The multi-layered mirror has a protective zone disposed thereon. The protective zone comprises from 1 to 5 alternating layers of turns and vaporization rafts; an illumination system 'configured to adjust the EUV radiation to a light beam a support structure configured to hold a patterned device, the patterned device capable of imparting a pattern to the radiation beam in a cross section of the light beam to form a patterned radiation beam; a substrate stage configured to hold a substrate; and a projection system configured to project the patterned radiation beam onto a target portion of the substrate. One aspect of the present invention provides a method of forming a mirror that is configured to reflect a wavelength of one nanometer to about 20 nanometers of radiation having a self-approximately 5 method 162822.doc -15-201245902 comprising: providing for the radiation Having a first shot having a second refractive index two = one of the first material and a multilayer stack for the alternating layer of the stack of materials - the first refractive index being higher than the second, and the first The second material has a high transmittance for the light shot, "4 and the == stack provides a protective region disposed on the multilayer stack, and the 's product has a third refractive index, a third material and has a One of the four ratios of the fourth material from one to five alternating layer pairs, wherein the third index of refraction is different from the fourth index of refraction, wherein the compliant region is disposed on the multi-layer stack such that the amount of refractive index The values alternately continue from the multilayer stack through the protective zone, wherein the third material and the fourth material have a high resistance to foaming formation when subjected to a hydrogen hit in use, and the third material Different from the first material or the second material. Another aspect provides a mirror configured to reflect radiation having a wavelength from about 5 nanometers to about 20 nanometers, the mirror comprising a first index of refraction for the shot - and The radiation has a multilayer stack of alternating pairs of first materials of a second index of refraction, wherein the first index of refraction is higher than the second index of refraction, and the first material and the second quasi-second material have a high transmittance, wherein a cap layer is disposed on the stack, the cap layer comprising boron nitride, preferably cubic cubic boron nitride. [Embodiment] 162822.doc •16· 201245902 Embodiments of the present invention are described by way of example only, and in the drawings, corresponding reference numerals indicate corresponding parts. FIG. 1 schematically depicts a lithography apparatus 100 in accordance with an embodiment of the present invention. The apparatus includes a lighting system (illuminator) IL configured to condition a radiation beam B (eg, EUV radiation), and a support structure (eg, reticle σ) MT configured to support the patterned device (eg, , reticle or proportional light MA, and connected to a first positioner PM configured to accurately position the patterned device; a substrate stage (eg, wafer table) WT constructed to hold the substrate (eg, 'anti-(four) coated wafer And connected to a second configured to accurately position the far substrate; a positioner pw; and a projection system (eg, reflective U system) PS' configured to impart to Koda Shot by the patterned device The pattern of beam B is projected onto a target portion of substrate w. (eg, comprising one or more dies). The illumination system can include various types of light drying for guiding, shaping, or controlling radiation, and such as, for example, refraction, Reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or 四 (4) I, μ σ 构 MT depending on the orientation of the patterned device MA, the lithography device. Ten and other conditions, such as whether the gastric patterned device is held in a vacuum environment, to hold the Patterner #41 structure can use mechanical, electrostatic or other clamping techniques to hold the patterned device. Support, oh. The structure can be, for example, a frame or table that can be fixed or movable as desired to ensure that the patterned device is, for example, tied to the desired position relative to the projection. The term "patterned device" is to be interpreted broadly to refer to any device that can be used to impart a pattern to the apical beam in the cross section of the ray 162822.doc 201245902 to create a pattern in the target portion of the substrate. The pattern of the light beam may correspond to a particular functional layer in a device (such as an integrated circuit) created in the target portion. The patterned device may be transmissive or reflective. Examples of patterned devices include a photomask, Stylized mirror arrays, and programmable lcd panels. Masks are well known in lithography and include reticle types such as binary, alternating phase shift and attenuated phase shift, as well as a variety of hybrid mask types. The mirror array-example uses a matrix configuration of small mirrors, each of which can be individually tilted to reflect the beam of human radiation upwards from time to time. The tilted mirror is imparted in the ray beam reflected by the mirror matrix Patterns. Similar to (d) (d) system projection systems may include various types of optics suitable for exposure exposure or other factors suitable for use such as vacuum. Piece 'such as 'refracting, reflecting, magnetic 'electromagnetic' static electricity or other types of pre-learning components' or any combination thereof. It may be necessary to use vacuum for the ray because this gas may absorb too much radiation. Therefore, by vacuum wall And a vacuum pump provides the vacuum environment to the entire beam path. Some gas may be provided to some components of the lithography apparatus, for example, to allow the use of gas flow to reduce the likelihood of contamination reaching the optical components of the lithography apparatus. The device is of the reflective type (for example, using a reflective mask). The lithography device can have two (dual stage) or two or more substrate stages (and / or two or more mask tables) Type. In these "multi-stages" 162822.doc • 18- 201245902 machines, additional stations can be used in parallel, or preparatory steps can be performed on one or more stations, and at the same time - or multiple other stations Exposure. Referring to Figure 1, the illuminator IL receives an extreme ultraviolet (EUV) radiation beam from the source collector module/assembly 〇. The method for generating the reticle includes, but is not necessarily limited to, the EUV range - or a plurality of emission lines converting a material having at least one element (e.g., 'It, H or tin) into an electrical n state. In one such method (often referred to as laser-generated plasma "LPP"), the fuel can be irradiated with a laser beam (such as droplets, streams of material having the desired spectral emission elements). Or clusters) to produce the desired plasma. The source collector module/assembly so can be a component of an EUV radiation system that includes a laser (not shown in the circle) that is used to provide a laser beam that excites the fuel. The resulting plasma emits output radiation (e.g., EUV radiation) that is collected using a radiation collector disposed in the source collector module/assembly. For example, when the ffiC 〇 2 laser is used to provide a laser beam for fuel excitation, the laser and source collector modules/assembly can be separate entities. Under these conditions, the laser is not considered to form part of the lithography apparatus, and the radiation beam is transmitted from the laser to the source collector module by means of a beam delivery system comprising, for example, a suitable guiding mirror and/or beam expander. / Assembly. In other cases, for example, when the source is a discharge generating plasma EUV generator "often referred to as a DPP source", the source may be an integral component or assembly of the source collector module/assembly. Illuminator IL An adjuster for adjusting the angular intensity distribution of the radiation beam may be included. Typically, at least the outer radial extent and/or the inner radial extent of the intensity distribution in the pupil plane of the illuminator can be adjusted (generally referred to as σ external, respectively) 162822.doc 19 201245902 and σ internal. In addition, the illuminator can include various other components, such as a faceted field mirror device and a faceted mirror device. The illuminator can be used to adjust the radiation beam in its horizontal direction. The cross-section has a desired uniformity and intensity distribution. The radiation beam B is incident on a patterned device (eg, a reticle) that is held on a support structure (eg, a reticle stage) , τ, and by the patterned device And patterned. After the self-patterning device (eg, reticle) is reflected, the radiation beam is transmitted through the projection system PS, and the projection system ps focuses the beam onto the target portion of the substrate W (: With the second positioner pw and the position sensor PS2 (for example, an interference measuring device, a linear encoder or a capacitive sensor), the substrate table WT can be accurately moved, for example, to position different target portions C on the radiation beam. Similarly, the first locator and the other position sensor PS 1 can be used to accurately clamp the patterned device (eg, reticle) MA with respect to the path of the radiation beam B. A reticle pair can be used The alignment marks M1, M2 and the substrate alignment marks ?1, p2 are used to align the patterned device (eg, 'mask" MA and substrate w. The depicted device can be used in at least one of the following modes: 1. In step mode In the case where the entire pattern to be given to the radiation beam is projected onto the target portion c at a time, the support structure (for example, the reticle stage) MT and the substrate stage are kept substantially stationary (ie, a single static exposure) Next, the substrate stage WT is displaced in the X and/or γ directions so that different target portions C can be exposed. 2. In the scan mode, when the pattern to be given to the radiation beam is projected onto the target portion c, synchronization is performed. Ground scan support (eg, reticle stage) μτ 162822.doc -20- 201245902 and substrate table wt (ie, single dynamic exposure) β can be determined by the magnification (reduction ratio) and image reversal characteristics of the projection system ps The speed and direction of the table WT relative to the support structure (eg, the reticle stage) MT. 3. In another mode, the support structure is made when the pattern to be imparted to the radiation beam is projected onto the target portion c (eg, The reticle stage MT remains substantially stationary, thereby holding the programmable patterning device and moving or scanning the substrate table WT. In this mode, a pulsed radiation source is typically used and after each movement of the substrate table WT or The programmable patterning device is updated as needed between successive radiation pulses during a scan. This mode of operation can be readily applied to matte lithography utilizing a programmable patterning device such as a programmable mirror array of the type mentioned above. Combinations of the modes of use described above and/or variations or completely different modes of use may also be used. Figure 2 shows the device just in more detail, including the source collector module/assembly SO, the illumination system IL, and the projection system PSe source collector template/assembly constitutively configured and configured to maintain the vacuum environment at source collection The module/assembly SO is enclosed in the enclosure structure 220. The radiant emission plasma 210 can be formed by generating an electropolymerization source by discharge. EUV radiation can be generated by a gas or vapor (e.g., heart gas, u vapor, or Sn vapor), wherein a very thermoelectrically destroyed 2 〇 is generated to emit radiation in the EUV range of the electromagnetic spectrum. The extremely hot plasma 21 is created by, for example, causing at least a partial discharge of ionized plasma. For the V efficiency of radiation, Xe may be required, for example, for a partial pressure of 丨0 Pascal.

Sn蒸汽或任何其他合適氣體或蒸汽。在_實施例:二丨供 受激發錫(Sn)電漿以產生EUV輻射。 ’、 162822.doc 4】· 201245902 藉由熱電漿210發射之輻射係經由定位於源腔室211中之 開口中或後方之選用氣體障壁或污染物截留器23〇(在一些 狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室 211傳遞至收集器腔室212中。污染物截留器23〇可包括通 道結構。污染截留器230亦可包括氣體障壁,或氣體障壁 與通道結構之組合。如在此項技術中所知,本文進一步所 指示之污染物截留器或污染物障壁23〇至少包括通道結 構。 收集器腔室211可包括可為所謂掠入射收集器之輻射收 集器CO。輻射收集器CO具有上游輻射收集器側25 1及下游 輻射收集器側252。橫穿收集器c〇之輻射可自光柵光譜濾 光器240反射以聚焦於虛擬源點IF中。虛擬源點IF通常被 稱作中間焦點’且源收集器模組/總成經配置成使得中間 焦點IF位於圍封結構2 2 0中之開口 2 2 1處或附近》虛擬源點 IF為輻射發射電漿21 〇之影像。 隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化 場鏡面器件22及琢面化光瞳鏡面器件24,琢面化場鏡面器 件22及琢面化光瞳鏡面器件24經配置以提供在圖案化器件 MA處輻射光束21之所要角分佈,以及在圖案化器件MA處 賴射強度之所要均一性。在藉由支撐結構MT固持之圖案 化器件MA處輻射光束21之反射後,隨即形成經圖案化光 束26 ’且藉由投影系統pS將經圖案化光束26經由反射元件 28、30而成像至藉由晶圓載物台或基板台WT固持之基板 W上。 162822.doc •22- 201245902 比所示元件多之元件通常可存在㈣明光學件單元^及 投影系統Ps中。取決於微影裝置之類型,可視情況存在光 樹光譜遽光器240。另外,可存在比諸圖所示之鏡面多的 鏡面,例如,在投影系統PS中可存在比圖2所示之反射元 件多1至6個的額外反射元件。 如圖2所說明’收集器光學件c〇被描料具有掠入射反 射器253、254及255之巢套式收集器,僅僅作為收集器(或 收集器鏡面)之實例。掠入射反射器253、254及255經安置 成圍繞練Ο㈣地對稱,且此類型之收集器光學件⑺係 較佳地結合放電產生電漿源(常常被稱為Dpp源)予以使 用。 或者,源收集器模組/總成s〇可為如圖3所示之輻射 系統之牛冑射L A經配置以將雷射能量沈積至諸如氣 (Xe)、錫(Sn)或鋰(Li)之燃料中,從而創製具有數十電子 伏特之電子溫度之高度離子化電毁210。在此等離子之去 激發及再結合期間所產生之高能輻射係自電漿發射、藉由 近正入射收集器光學件c〇收集’且聚焦至圍封結構22〇中 之開口 221上。 圖4示意性地說明作為圖3之正入射收集器光學件c〇的 收集器鏡面300之第一實施例。如所說明,根據本發明之 一態樣’收集器光學件C0包括多層鏡面_,多層鏡面 I括刀別為第二材料(在此實施例中為鉬)及第一材料 (在此實施例中為石夕)之交替層對31〇、,交替層對m 〇彼此交替以創製多層堆疊。在收集器光學件CO之最上 162822.doc -23- 201245902 部部分處’存在由單一層對31()/322形成之保護區。對於 此保護區,最頂部層322為非反應性材料(在此特定實施例 中其為Si3N4)之層322,其針對EUV之折射率高於鄰近銷層 310之折射率。如本文所使用,術語「最頂部」意欲意謂 在多層堆疊之其餘部分之前接收入射於收集胃光學件⑺ 上之輻射的層。 圖5示意性地說明可用作圖3之收集器光學件c〇之收集 器鏡面的多層鏡面400之第二實施例。如所說明,形成收 集器鏡面之最頂部部分之保護層具有分別為鉬及氮化矽 Si3N4之兩個交替層對310、322。 圖ό示意性地說明可用作圖3之收集器光學件c〇之收集 鏡面的多層鏡面5 0 〇之第三實施例。如所說明,此第: 實施例中之保護區為分別為翻及氮化石夕Si3%之三個交替 層對310 、 322 。 在圖4至圖6所說明之實施例中任一者中,可反轉層之次 序,或可在最頂部層上方或在最下部層下方添加按交替折 射率序列之一或若干另外層。在用(例如)Si3N4來替換多層 鏡面堆疊中之每一 Si層的實施例中,可發生高達約2〇0/〇之 相對反射率損失。在僅用SisN4來替換1個至3個最頂部以層 的實施例中(諸如,在圖4至圖6所說明之實施例中),預期 發生約5%至10%之相對透射損失。 除了使用存在於鏡面附近之分子氫、氫離子或氫自由基 之任何氣體以外亦使用氨(NH3)可縮減作為最頂部層之 ShN4層之侵蝕速率。氫自由基插入至氮化石夕中,從而攻 162822.doc -24 - 201245902 擊晶格中之Si與N之間的鍵。過量氫自由基終止Si懸空鍵 且經由形成NHX*種而使N揮發。因此,氫電漿能夠歸因 於氫自由基及離子轟擊之存在而增強si3N4之蝕刻。 因此,NH3或任何其他含氮氣體之此使用可縮減8丨3乂層 之蝕刻速率。NH3之解離將產生氮自由基,且氮自由基通 里將S卜Η鍵再轉換成si-N鍵。推薦1:1〇〇至5〇:1〇〇2NH3 Η2軋體比率。可最佳化NH3(或N2)對Η:之比率以延長障壁 壽命,同時使在13.5奈求波長輻射透射中之損失保持於最 小值。其他含氮氣體之實例為ν2。 可藉由標準多層沈積方法(諸如,藉由濺鍍及,或化學氣 相沈積將交替層沈積至基板上)進行本發明之鏡面之形 成。對於保護區,僅僅需要修改沈積程序,以便用第三材 料來替換第-材料或第二材料中之—者(且根據需要:用 第四材料來替換第一材料及第二材料中之另一者卜 本發明提供優於先前技術之數個技術益處。鏡面經配置 成使得供反射之輻射在人射於多層㈣上之前人射於保護 區上°因為第三材料及第四材料在使用中經受氫原子或離 子之轟擊時具有高抗起泡形成性,所以可保護鏡面免受起 泡。第三材料不同於第一材料或第二材料,因&,在第一 材料或第二材料中之—者為在用氫進行Α擊時經受起泡之 材料,情形中’在本發明之多層結構中,經受起泡之材料 在保蠖區中係藉由第三材料取代,第三材料在此等情況下 ^度地抗起泡。第三材料可針對丽輻射具有相對低透射 率(比如,小於咖)’但因為僅幾個層對存在於保護區 I62822.doc •25· 201245902 中,所以鏡面之總透射率損失小,但保護區可具有適 度以防止在使用中氫穿透至多層堆疊中及造成起泡。田 第四材料可相同於第-材料或第二材料。此情形具有如 下優點:在本發明之鏡面之製造中,需要僅三種材料類 型,因此簡化程序。在一較佳配置中,第四材料可為 第三材料可為氮切。當在多層堆疊中第—材料為石夕且第 二材料為翻時’此情形特別較佳。因此,可(例如)藉由將 氣添加至保護區之經沈積層中來修改在沈積程序中石夕層之 化學沈積或濺鍍而容易地形成保護區。 鏡面特別用作電㈣(其中氫可在使用中存在於電毁中) 之收集器鏡面’例如’ LPP源(其中氫可用以提供殘材及沈 積物之清除)之收集器鏡面。在此收集器鏡面可必須經定 位成接近電漿源時,對於先前技術之鏡面,存在氫揸擊於 鏡面上及造成起泡之風險。本發明之鏡面縮減或消除此起 泡之風險。 圖7不意性地說明可用作圖3之收集器光學件c〇之收集 器鏡面的多層鏡面600之又一實施例。該實施例為圖*之實 施例之修改。在圖7中用層324來替換圓4之實施例中之層 322。在圖7之實施例中,層324為氮化硼(較佳地,為極抗 触刻材料之立方晶氮化棚)之層324。 立方晶氮化硼為僅次於鑽石之極硬材料β B-N鍵(亦即, 硼原子與氮原子之間的鍵)通常具有167千卡/莫耳之鍵能。 Si-N鍵(亦即,矽原子與氮原子之間的鍵)通常具有ι〇5千卡/ 莫耳之鍵能。強B-N鍵縮減可存在於用於圖3之收集器光學 162822.doc -26· 201245902 件co之收集器鏡面附近之氫自由基/離子可使B_N鍵斷裂 的速率。因為化學程序展示對活化能之指數相依性,所以 尚B-N鍵強度(比Si_N之鍵強度高約6〇%)顯著地縮減針對氫 自由基曝光的氮化硼相對於氮化矽之侵蝕速率。 將少量(較佳地小於約2%)]3比及NH3(或N2)添加至存在 於鏡面600附近之分子氫、氫離子或氫自由基之任何氣體 將會藉由將氮及硼再插入於藉由源中之氫電漿產生之表面 懸空鍵上(此係因為B_N鍵相比於B_H鍵及n_h鍵受到強烈 地偏愛)而進一步增強氮化硼層324之抗侵蝕性。立方晶氮 化硼及氮化矽針對具有約13·5奈米之波長之輻射具有相似 透射。對於10奈米膜,相對於氮化矽之86%之透射,立方 晶氮化硼具有83%之透射。 儘管在本文中可特定地參考微影裝置在IC製造中之使 用,但應理解,本文所描述之微影裝置可具有其他應用, 諸如,製造整合式光學系統、磁疇記憶體之導引及偵測圖 案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭,等等。 儘管上文可特定地參考在光學微影之内容背景中對本發 明之實施例之使用,但應瞭解,本發明可用於其他應用 (例如,壓印微影)中,且在内容背景允許時不限於光學微 影。 本文所使用之術語「輻射」及「光束」涵蓋所有類型之 電磁輻射,包括紫外線(UV)輻射及極紫外線(EUV)輻射(例 如,具有在5奈米至20奈米之範圍内之波長),以及粒子束 (諸如,離子束或電子束)。 162822.doc •27- 201245902 雖然上文已描述本發明之特定實施例,但應瞭解,可以 與所描述之方式不同的其他方式來實踐本發明。舉例而 言’多層鏡面可用於想要或需要反射具有在約64奈米至 約7.2奈米之範圍内之波長之輻射的任何應用中(例如,用 於輻射源、對準系統或其類似者中)。 以上描述意欲為說明性而非限制性的。因此,對於熟習 此項技術者將顯而易見,可在不脫離下文所闞明之申請專 利範圍之範疇的情況下對所描述之本發明進行修改。。 【圖式簡單說明】 圖1示意性地描繪根據本發明之一實施例的微影裝置; 圖2示意性地描繪圖丨之微影投影裝置之e u v照/系統及 投影系統之實施例的側視圖; 圖3示意性地描繪根據本發明之一音 w乃又貫施例的圖1之裝置之 雷射產生電漿源收集器模組/總成的視圖; 圖4示意性地描繪根據本發明之一音 M ^ ^ 貫施例的可用於圖3之 收集器模組/總成中之多層鏡面; 圖5示意性地描繪根據本發明之一音 ^ ^ 貫施例的可用於圖3之 源收集器模組/總成中之多層鏡面; 圖6示意性地描繪根據本發明之一音 ^ ^ 貫施例的可用於圖3之 源收集器模組/總成中之多層鏡面;及 圖7示意性地描繪圖4之多層鏡面之修改。 【主要元件符號說明】 21 輻射光束 22 琢面化場鏡面器件 I62822.doc •28· 201245902 24 26 28 30 100 210 211 212 220 221 230 240 251 252 253 254 255 300 310 320 322 324 162822.doc 琢面化光瞳鏡面器件 經圖案化光束 反射元件 反射元件 微影裝置 極紫外線輻射發射電漿/極熱電漿/高度離 子化電漿 源腔室 收集器腔室 圍封結構 開口 氣體障壁/污染物截留器/污染截留器/污染 物障壁 光栅光譜濾光器 上游輻射收集器側 下游輻射收集器側 掠入射反射器 掠入射反射器 掠入射反射器 收集器鏡面/多層鏡面 交替層對/鉬層 交替層對 交替層對/最頂部層 氮化硼層 -29- 201245902 400 多層鏡面 500 多層鏡面 600 多層鏡面 B 輻射光束 C 目標部分 CO 輻射收集器/正入射收集器光學件 IF 虛擬源點/中間焦點 IL 照明系統/照明器/照明光學件單元 LA 雷射 Ml 光罩對準標記 M2 光罩對準標記 MA 圖案化器件 MT 支撐結構 0 光轴 PI 基板對準標記 P2 基板對準標記 PM 第一定位器 PS 投影系統 PS1 位置感測器 PS2 位置感測器 PW 第二定位器 SO 源收集器模組/總成 w 基板 WT 基板台 162822.doc -30-Sn steam or any other suitable gas or vapor. In the embodiment: the bismuth is supplied with excited tin (Sn) plasma to generate EUV radiation. ', 162822.doc 4】· 201245902 The radiation emitted by the pyroelectric 210 is via an optional gas barrier or contaminant trap 23 located in or behind the opening in the source chamber 211 (in some cases, also It is referred to as a contaminant barrier or foil trap) and is transferred from source chamber 211 into collector chamber 212. The contaminant trap 23 can include a channel structure. The pollution trap 230 can also include a gas barrier, or a combination of a gas barrier and a channel structure. As is known in the art, the contaminant trap or contaminant barrier 23 进一步 further indicated herein includes at least a channel structure. The collector chamber 211 can include a radiation collector CO, which can be a so-called grazing incidence collector. The radiation collector CO has an upstream radiation collector side 25 1 and a downstream radiation collector side 252. Radiation across the collector c〇 can be reflected from the grating spectral filter 240 to focus in the virtual source point IF. The virtual source point IF is generally referred to as the intermediate focus' and the source collector module/assembly is configured such that the intermediate focus IF is located at or near the opening 2 2 1 in the enclosure structure 220. Virtual source point IF is radiation The image of the plasma 21 is emitted. Subsequently, the radiation traverses the illumination system IL, and the illumination system IL can include a facetted field mirror device 22 and a faceted pupil mirror device 24, the facetized field mirror device 22 and the pupilized pupil mirror device 24 configured The desired angular distribution of the radiation beam 21 at the patterned device MA and the desired uniformity of the Raise intensity at the patterned device MA are provided. After the reflection of the radiation beam 21 at the patterned device MA held by the support structure MT, the patterned beam 26' is then formed and the patterned beam 26 is imaged by the reflection elements 28, 30 by the projection system pS The substrate W is held by the wafer stage or the substrate stage WT. 162822.doc •22- 201245902 More components than the components shown can usually be present in (4) the optical unit and the projection system Ps. Depending on the type of lithography device, a light tree spectral chopper 240 may be present as appropriate. In addition, there may be more mirrors than the mirrors shown in the figures, for example, there may be one to six additional reflective elements in the projection system PS than the reflective elements shown in Figure 2. As illustrated in Figure 2, the collector optics c〇 are depicted as nested collectors with grazing incidence reflectors 253, 254 and 255, just as an example of a collector (or collector mirror). The grazing incidence reflectors 253, 254, and 255 are disposed symmetrically about the process (four), and collector optics (7) of this type are preferably used in conjunction with a discharge generating plasma source (often referred to as a Dpp source). Alternatively, the source collector module/assembly 〇 can be configured as a radiant system of the radiant system as shown in FIG. 3 configured to deposit laser energy such as gas (Xe), tin (Sn) or lithium (Li) In the fuel, thereby creating a highly ionized electrocution 210 having an electron temperature of tens of electron volts. The high energy radiation generated during the deionization and recombination of the plasma is collected from the plasma, collected by the near normal incidence collector optics, and focused onto the opening 221 in the enclosure structure 22A. Figure 4 schematically illustrates a first embodiment of a collector mirror 300 as the normal incidence collector optic c of Figure 3. As illustrated, in accordance with an aspect of the present invention, the collector optic C0 includes a multi-layer mirror _, the multi-layer mirror I includes a second material (in this embodiment, molybdenum) and a first material (in this embodiment) In the middle of the Shi Xi), the alternating layers are 31 〇, and the alternating layers m m 〇 alternate with each other to create a multi-layer stack. At the top of the collector optics CO, there is a protected area formed by a single layer pair 31()/322 at the portion 162822.doc -23- 201245902. For this protected area, the topmost layer 322 is a layer 322 of a non-reactive material (which in this particular embodiment is Si3N4) having a higher index of refraction for EUV than adjacent pin layer 310. As used herein, the term "topmost" is intended to mean a layer that receives radiation incident on the collection gastric optic (7) prior to the remainder of the multilayer stack. Figure 5 schematically illustrates a second embodiment of a multi-layer mirror 400 that can be used as a collector mirror for the collector optics c of Figure 3. As illustrated, the protective layer forming the topmost portion of the collector mirror has two alternating layer pairs 310, 322 of molybdenum and tantalum nitride Si3N4, respectively. Figure 3 schematically illustrates a third embodiment of a multi-layered mirror 50 that can be used as a collecting mirror for the collector optics c of Figure 3. As illustrated, the protection zones in this embodiment are three alternating layer pairs 310, 322 which are respectively turned over and nitrided Si 3%. In any of the embodiments illustrated in Figures 4-6, the order of the layers may be reversed, or one or several additional layers in a sequence of alternating refractive indices may be added above the topmost layer or below the lowermost layer. In embodiments in which, for example, Si3N4 is substituted for each Si layer in a multi-layer mirror stack, a relative reflectance loss of up to about 2 〇 0 / 〇 can occur. In embodiments where only one to three topmost layers are replaced with SisN4 (such as in the embodiment illustrated in Figures 4-6), a relative transmission loss of about 5% to 10% is expected to occur. The use of ammonia (NH3) in addition to any gas of molecular hydrogen, hydrogen ions or hydrogen radicals present near the mirror surface reduces the rate of erosion of the ShN4 layer as the topmost layer. Hydrogen radicals are inserted into the nitrite, thereby attacking the bond between Si and N in the crystal lattice of 162822.doc -24 - 201245902. Excessive hydrogen radicals terminate the Si dangling bonds and volatilize N by forming NHX* species. Therefore, hydrogen plasma can enhance the etching of si3N4 due to the presence of hydrogen radicals and ion bombardment. Therefore, the use of NH3 or any other nitrogen-containing gas can reduce the etching rate of the 8 丨 3 乂 layer. The dissociation of NH3 will generate nitrogen radicals, and the nitrogen radicals will reconvert the S-pup bond to the si-N bond. It is recommended to be 1:1〇〇 to 5〇: 1〇〇2NH3 Η2 rolling ratio. The ratio of NH3 (or N2) to Η: can be optimized to extend the barrier life while keeping the loss in wavelength transmission of radiation at 13.5 to a minimum. An example of other nitrogen-containing gas is ν2. The formation of the mirror of the present invention can be carried out by standard multilayer deposition methods such as deposition of alternating layers onto a substrate by sputtering and chemical vapor deposition. For the protected area, it is only necessary to modify the deposition procedure in order to replace the first material or the second material with a third material (and, if necessary: replace the first material and the second material with a fourth material) The present invention provides several technical advantages over the prior art. The mirror is configured such that the radiation for reflection is incident on the protected area before the person is hit on the multilayer (4) because the third material and the fourth material are in use. It has high anti-foaming formation when subjected to bombardment by hydrogen atoms or ions, so it can protect the mirror surface from foaming. The third material is different from the first material or the second material, because &, in the first material or the second material Among them, a material that undergoes foaming when slamming with hydrogen, in which case, in the multilayer structure of the present invention, the material subjected to foaming is replaced by a third material in the security zone, the third material In these cases, the foam is resistant to foaming. The third material can have a relatively low transmittance (for example, less than coffee) for the radiant radiation, but because only a few layers exist in the protected area I62822.doc •25·201245902 , so the mirror The total transmission loss is small, but the protective zone may be moderate to prevent hydrogen from penetrating into the multilayer stack and causing foaming during use. The fourth material may be the same as the first or second material. This situation has the following advantages: In the manufacture of the mirror of the present invention, only three material types are required, thus simplifying the procedure. In a preferred configuration, the fourth material may be a third material that may be nitrogen cut. When in the multilayer stack the first material is Shi Xi And the second material is turned over. This is particularly preferred. Therefore, it is possible to modify the chemical deposition or sputtering of the layer in the deposition process, for example, by adding gas to the deposited layer of the protection zone. Forming a protected area. The mirror is used in particular as a collector mirror for the electric (4) (where hydrogen can be present in electrical damage during use), such as the collector mirror of the LPP source where hydrogen can be used to provide removal of debris and deposits. When the collector mirror may have to be positioned close to the plasma source, there is a risk of hydrogen slamming on the mirror surface and causing foaming for the prior art mirror surface. The mirror surface of the present invention is reduced or eliminated. Risk Figure 7. Figure 7 is a schematic illustration of yet another embodiment of a multi-layer mirror 600 that can be used as a collector mirror for the collector optics c of Figure 3. This embodiment is a modification of the embodiment of Figure 4. Layer 324 is used in place of layer 322 in the embodiment of circle 4. In the embodiment of Figure 7, layer 324 is a layer of boron nitride (preferably a cubic nitriding etch of extremely resistive material). 324. Cubic boron nitride is a diamond-only hard material β BN bond (that is, a bond between a boron atom and a nitrogen atom) usually has a bond energy of 167 kcal/mole. That is, the bond between the ruthenium atom and the nitrogen atom usually has a bond energy of 〇5 kcal/mole. The strong BN bond reduction can be present in the collector optical used in Fig. 3 162822.doc -26· 201245902 The rate at which the B_N bond is broken by hydrogen radicals/ions near the mirror of the collector of co. Since the chemical program exhibits an exponential dependence on the activation energy, the B-N bond strength (about 6% higher than the bond strength of Si_N) significantly reduces the erosion rate of boron nitride relative to tantalum nitride for hydrogen radical exposure. Adding a small amount (preferably less than about 2%) of the ratio of 3 and NH3 (or N2) to any of the molecular hydrogen, hydrogen or hydrogen radicals present in the vicinity of the mirror 600 will be reinserted by nitrogen and boron. The corrosion resistance of the boron nitride layer 324 is further enhanced by surface dangling bonds generated by hydrogen plasma in the source (this is because the B_N bond is strongly favored compared to the B_H bond and the n_h bond). Cubic boron nitride and tantalum nitride have similar transmission for radiation having a wavelength of about 13.5 nm. For a 10 nm film, cubic boron nitride has a transmission of 83% relative to the transmission of 86% of tantalum nitride. Although reference may be made specifically to the use of lithographic apparatus in IC fabrication herein, it should be understood that the lithographic apparatus described herein may have other applications, such as manufacturing integrated optical systems, magnetic domain memory guidance, and Detection patterns, flat panel displays, liquid crystal displays (LCDs), thin film heads, and more. Although the use of embodiments of the present invention in the context of the content of optical lithography may be specifically referenced above, it should be appreciated that the present invention can be used in other applications (eg, imprint lithography) and not when the context of the content allows Limited to optical lithography. The terms "radiation" and "beam" as used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation and extreme ultraviolet (EUV) radiation (eg, having a wavelength in the range of 5 nm to 20 nm). And a particle beam (such as an ion beam or an electron beam). 162822.doc • 27-201245902 While particular embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise. For example, a 'multilayered mirror can be used in any application where it is desirable or desirable to reflect radiation having a wavelength in the range of from about 64 nanometers to about 7.2 nanometers (eg, for a radiation source, alignment system, or the like) in). The above description is intended to be illustrative, and not restrictive. Therefore, it will be apparent to those skilled in the art that the invention described herein may be modified without departing from the scope of the invention. . BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 schematically depicts a lithography apparatus in accordance with an embodiment of the present invention; FIG. 2 schematically depicts an side of an embodiment of an euv illumination/system and projection system of a lithographic projection apparatus of FIG. 3 is a view schematically showing a laser generated plasma source collector module/assembly of the apparatus of FIG. 1 in accordance with one embodiment of the present invention; FIG. 4 is schematically depicted in accordance with the present invention; One of the inventions can be used for the multi-layer mirror in the collector module/assembly of Fig. 3; Fig. 5 is a schematic illustration of one of the embodiments of the present invention which can be used in Fig. 3 Multi-layer mirror in the source collector module/assembly; FIG. 6 schematically depicts a multi-layer mirror that can be used in the source collector module/assembly of FIG. 3 in accordance with one embodiment of the present invention; And Figure 7 schematically depicts a modification of the multilayer mirror of Figure 4. [Major component symbol description] 21 Radiation beam 22 琢面面镜镜装置 I62822.doc •28· 201245902 24 26 28 30 100 210 211 212 220 221 230 240 251 252 253 254 255 300 310 320 322 324 162822.doc瞳光瞳Mirror device patterned beam reflector element reflective element lithography device extreme ultraviolet radiation emission plasma / very hot plasma / highly ionized plasma source chamber collector chamber enclosure structure opening gas barrier / pollutant trap / Pollution interceptor / Contaminant barrier grating spectral filter upstream Radiation collector side downstream radiation collector side grazing incident reflector grazing incidence reflector grazing incident reflector collector mirror / multilayer mirror alternating layer pair / molybdenum layer alternating layer pair Alternating Layer Pair / Top Layer Boron Nitride Layer -29- 201245902 400 Multilayer Mirror 500 Multilayer Mirror 600 Multilayer Mirror B Radiation Beam C Target Part CO Radiation Collector / Normal Incident Collector Optics IF Virtual Source Point / Intermediate Focus IL Illumination System / Illuminator / Illumination Optics Unit LA Laser Ml Mask Alignment Marker M2 Mask Alignment Marker MA Patterned Device MT Support structure 0 Optical axis PI Substrate alignment mark P2 Substrate alignment mark PM First positioner PS Projection system PS1 Position sensor PS2 Position sensor PW Second positioner SO Source collector module / Assembly w Substrate WT Substrate table 162822.doc -30-

Claims (1)

201245902 七、申請專利範圚·· !· 一種經組態以反射具 之輻射的鏡面,人 不只至約20奈米之一波長 ::鏡面,其包含針對該韓射具有 第-材料及針對該輻射具有第二折射率: 父替層對的一多層堆疊, 第一材科之 其中該第-折射率高於該第二折射率,且該第— 該第二材料針對該輻射具有一高透射率, ’ it:車保護“置於該堆疊上,該保護區包含具有第三 :射率之-第三材料及具有第四折射率之一第四材料之 自Η固至5個交替層對,其中該第三折射率不同於該第四 折射率, :中該保護區安置於該多層堆疊上,使得折射率之量值 父替自該多層堆疊通過該保護區而繼續, 其中該鏡面經配置成使得供反射之輻射在入射於該多層 堆疊上之前入射於該保護區上, 其中該第三材料及該第四材料在使用中經受氫原子或離 子之轟擊時具有一高抗起泡形成性, 且其中該第三材料不同於該第一材料或該第二材料。 2.如明求項1之鏡面’其中該第四材料相同於該第一材料 或該第二材料。 如請求項1或2之鏡面,其中該第四材料包含鉬或基本上 由鉬組成。 4.如請求項1或2之鏡面,其中該第三材料係選自碳化物、 氮化物、氧化物及矽化物。 162822.doc 201245902 5.如請求項4之鏡面,其 碳化矽、胃# > 、 '"第二材料係選自類鑽石碳、 6 碳化'、氣化,及碳_。 為氮化矽。 …第四材料為銷且該第三材料 7.如請求項6之鏡面,其中該 為鉬。 材抖為石夕且該第二材料 8. 士》月求項1或2之鏡面,其中該 替層對。 、”保護區包含自1個至3個交 9. -種經組態以反射具有自約 之輻射的鏡面,其包含針對該輕射波長 第一材料及針對該轄射具有第射斤射革之 交替層對的-多層堆#, 斤射李之—第二材料之 其中s玄第—折射率古认—枕 =二材料針對該:射:有:=率且該第一材料Α 10.如請求項9之疊 頂羞層包含氮化硼。 ".如請求項9或Η)之鏡… 為方-氣化硼。 射之一收集器鏡面。 八〒該鏡面經配置為針對該輻 12. 如。月求項u之鏡面,其 射收集器鏡面。 仪粟15鏡面經配置為一正入 13. 如請求項9或1〇之鏡面 自約η奈米至約16太…該鏡面經調適以反射具有 %如請求項%〇中::、項之=之輕射: 反射具有自約6 兄,其中該鏡面經調適以 不、示至約7 2太伞今 ,丄= .'丁、未之一波長之輻射。 162822.doc 201245902 15.-種用於器件微影之源收集器總成,該源 配置以產生及收隼且古ό & e + 果器成經 收集具有自約5奈米至約2〇奈米之 二輪射’該源收集器總成包含一輻射產生器及一收集器 ^。’其中該收集器鏡面為—如前述請求項中任一項二 i6·如請求項15之源收集器總成,其 α Λ m ^ a B輻射產生器經配置 在使用中自—雷射產生電渡產生輻射。 17. -種經配置以將一圖案自—圖案化器件投影 之微影投影裝置,其中該微与获罢今▲ 基板上 Η中任—項之鏡面。〜裝置包含-如請求項1至 18. 如請求項17之微影裝置,其進一步包含·· -照明系統’其經組態以調節一輻射光束丨 -支撐結構,其經建構以固持一圖案 該輻射光束之橫截―= 圖案以形成一經圖案化輻射光束; 一基板台,其經建構以固持一基板;及 統’其經組態以將該經圖案化輻射 主Θ基板之一目標部分上。 19;=f組態以反射具有自約5奈米至約2。奈米之— /長之輻射之一鏡面的方法,該方法包含: 提供針對該輻射具有第一折射率 該賴射具有第二折射率之_第二材料之及針對 層堆疊, ^之交替層對的-多 其中該第—折射率高於該第二折射率,且該第-材料及 I62822.doc 201245902 該第二材料針對該㈣具有—高透射率, 在該多層堆#上提供安置於該多層堆疊上之 區,該保護區&人B士# 保護 1〔包含具有第三折&率之一第三材料及且右 之—第四材料之自1個至5個交替層對,其 〇第二折射率不同於該第四折射率, 其中該保護區安置於該多層堆4上,使得折射率 交替自°亥多層堆疊通過該保護區而繼續, ^中^三材料及該第四材料在使用中經受氫原 子之轟擊時具有一高抗起泡形成性, 一離 且其中該第三材料不同於該第—材料或該第二材料。 20. —種微影裝置,其包含: 一EUV輻射產生器; 一^器,其經組態以反射該蘭輻射,該收集 3-多層鏡面’該多層鏡面包含翻及石夕之交替層對,該 多:鏡面具有安置於其上之—保護區’該保護區包含 及氮化矽之自1個至5個交替層對; ,,照明系統’其經組態以將該聊輕射調節成一輕射 光束, 一支撐結構’其經建構以固捭一 U符圖案化器件,該圖室 化器件能夠在該輻射光束之糂进a 士丄 系 之橫截面$向該ϋ射光束賦予 一圖案以形成一經圖案化輻射光束; Τ 一基板台,其經建構以固持—基板.及 -投影系統,其經組態以將該經圖案化輻 至該基板之一目標部分上》 I I62822.doc201245902 VII. Application for patents ···· A mirror that is configured to reflect the radiation of a person, not only to a wavelength of about 20 nm: mirror, which contains the first material for the Korean shot and The radiation has a second refractive index: a multilayer stack of pairs of parent layers, wherein the first refractive index is higher than the second refractive index, and the second material has a high for the radiation Transmittance, ' it: car protection' is placed on the stack, the protective zone comprising a third material having a third: luminosity and a self-curing to a fifth material having a fourth material having a fourth refractive index Pairing, wherein the third refractive index is different from the fourth refractive index, wherein the protective region is disposed on the multilayer stack such that a magnitude of the refractive index is continued from the multilayer stack through the protective region, wherein the mirror surface Arranged such that the radiation for reflection is incident on the protective region prior to being incident on the multilayer stack, wherein the third material and the fourth material have a high resistance to foaming when subjected to hydrogen atom or ion bombardment in use Formative, and The third material is different from the first material or the second material. 2. The mirror surface of claim 1 wherein the fourth material is the same as the first material or the second material. a mirror surface, wherein the fourth material comprises or consists essentially of molybdenum. 4. The mirror of claim 1 or 2, wherein the third material is selected from the group consisting of carbides, nitrides, oxides, and tellurides. Doc 201245902 5. According to the mirror of claim 4, the carbonized bismuth, stomach # > , '" second material is selected from the group consisting of diamond-like carbon, 6 carbonized, gasification, and carbon _. The fourth material is a pin and the third material is 7. The mirror surface of claim 6, wherein the molybdenum is the molybdenum. The material is shaken to the stone and the second material is 8. The mirror of the first item 1 or 2, wherein the Layered., "The protected area contains from 1 to 3 intersections. - Species are configured to reflect a mirror having self-conducting radiation, comprising a first material for the light-wavelength wavelength and a first shot for the light-emitting wavelength The alternating layers of the jinsing leather are opposite to the multi-layer stack #, 斤射李之—the second material of which s Xuan Di - refractive index - for the second material pillow =: emission: are: rate = [alpha] and the first material 10. The stack as the requested item 9 comprises a top layer of boron nitride shame. ". The mirror of claim 9 or ...)... is square-vaporized boron. Shoot one of the collector mirrors. The mirror is configured to target the spoke. The mirror of the item u is shot, and the collector is mirrored. The instrument mirror 15 is configured as a positive entrance 13. If the mirror surface of claim 9 or 1〇 is from about η nm to about 16 too... the mirror is adapted to reflect with % as requested item %〇::, item = Light shot: The reflection has about 6 brothers, where the mirror is adjusted to not show up to about 7 2 too umbrella today, 丄 = . ', not one wavelength of radiation. 162822.doc 201245902 15. A source collector assembly for device lithography, the source is configured to generate and receive and the ό & e + fruit is collected from about 5 nm to about 2 〇 The second shot of the nanometer 'the source collector assembly includes a radiation generator and a collector ^. Where the collector mirror is - as in any of the preceding claims, i6. The source collector assembly of claim 15, the alpha Λ m ^ a B radiation generator configured to be self-generated from laser Electricity is used to generate radiation. 17. A lithographic projection apparatus configured to project a pattern from a patterned device, wherein the micro-and the mirror of the substrate on the substrate. The device comprises - as claimed in claims 1 to 18. The lithography device of claim 17, further comprising - a lighting system configured to adjust a radiation beam 丨-support structure configured to hold a pattern a cross-section of the radiation beam -= pattern to form a patterned radiation beam; a substrate stage configured to hold a substrate; and a configuration configured to target one of the patterned radiation main substrate on. 19; = f configured to reflect from about 5 nm to about 2. A method of mirroring a nanometer-/long radiation, the method comprising: providing a second refractive index for the radiation having a first refractive index, a second material, and an alternating layer for the layer stack, ^ a plurality of wherein the first refractive index is higher than the second refractive index, and the second material and I62822.doc 201245902 the second material has a high transmittance for the (four), and is disposed on the multilayer stack # The area on the multi-layer stack, the protection area & person B ## protection 1 [contains a third material having a third fold & rate and a right-fourth material from one to five alternating layer pairs a second refractive index different from the fourth refractive index, wherein the protective region is disposed on the multilayer stack 4 such that the refractive index alternates from the multilayer stack through the protective region, and the material The fourth material has a high resistance to foaming formation when subjected to bombardment by a hydrogen atom in use, and wherein the third material is different from the first material or the second material. 20. A lithography apparatus comprising: an EUV radiation generator; an apparatus configured to reflect the blue radiation, the collection 3-multilayer mirror 'the multilayer mirror comprising alternating layers of turns and stones More than: the mirror has a protective zone disposed thereon - the protective zone comprises from 1 to 5 alternating layers of tantalum nitride; and the illumination system is configured to adjust the light Forming a light beam, a support structure 'constructed to fix a U-shaped patterning device, the chambering device is capable of imparting a cross section of the radiation beam to the axillary beam Patterning to form a patterned beam of radiation; Τ a substrate stage configured to hold a substrate. and a projection system configured to pattern the radiation onto a target portion of the substrate. I I62822. Doc
TW101108523A 2011-04-04 2012-03-13 Radiation source-collector and lithographic apparatus TW201245902A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161471547P 2011-04-04 2011-04-04
US201261604890P 2012-02-29 2012-02-29

Publications (1)

Publication Number Publication Date
TW201245902A true TW201245902A (en) 2012-11-16

Family

ID=45811487

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101108523A TW201245902A (en) 2011-04-04 2012-03-13 Radiation source-collector and lithographic apparatus

Country Status (3)

Country Link
NL (1) NL2008391A (en)
TW (1) TW201245902A (en)
WO (1) WO2012136420A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI572844B (en) * 2014-05-07 2017-03-01 Asml荷蘭公司 Diamond-based monitoring apparatus for lithographic apparatus, and a lithographic apparatus comprising diamond-based monitoring apparatus
TWI621153B (en) * 2013-08-14 2018-04-11 美商克萊譚克公司 System and method for imaging a sample with a laser sustained plasma illumination output
TWI829622B (en) * 2016-09-15 2024-01-21 德商卡爾蔡司Smt有限公司 Optical assembly, in an euv lithographic projection exposure apparatus, the apparatus and method thereof

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2009846A (en) * 2011-12-23 2013-06-26 Asml Netherlands Bv Radiation source and method for lithographic apparatus and device manufacture.
JP6394968B2 (en) * 2015-02-06 2018-09-26 豊田合成株式会社 Optical multilayer film and light emitting device
DE102016213831A1 (en) 2016-07-27 2018-02-01 Carl Zeiss Smt Gmbh Reflective optical element for EUV lithography
DE102016226202A1 (en) 2016-12-23 2018-06-28 Carl Zeiss Smt Gmbh Optical element, in particular for a microlithographic projection exposure apparatus
DE102017200667A1 (en) 2017-01-17 2018-07-19 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus or an inspection system
DE102017211824A1 (en) 2017-07-11 2017-09-21 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus
DE102017213172A1 (en) 2017-07-31 2017-09-14 Carl Zeiss Smt Gmbh Method for applying a cover layer and reflective optical element
DE102017213178A1 (en) 2017-07-31 2018-06-21 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus
CN107790730B (en) * 2017-10-20 2023-07-28 北京航空航天大学 Method for preparing high-temperature oxidation-resistant coating on Nb-Si-based alloy
US11268911B2 (en) * 2019-01-04 2022-03-08 Kla-Tencor Corporation Boron-based capping layers for EUV optics

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW561279B (en) 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
US20030008148A1 (en) * 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
US6563907B1 (en) * 2001-12-07 2003-05-13 Euv Llc Radiation source with shaped emission
US7420653B2 (en) * 2003-10-02 2008-09-02 Asml Netherlands B.V. Lithographic projection apparatus, mirror, method of supplying a protective cap layer, device manufacturing method and device manufactured accordingly
US7300724B2 (en) * 2004-06-09 2007-11-27 Intel Corporation Interference multilayer capping design for multilayer reflective mask blanks
EP1944652A1 (en) * 2007-01-10 2008-07-16 Carl Zeiss SMT AG A method for operating a euv lithography apparatus, and a euv lithography apparatus
DE102008040265A1 (en) * 2008-07-09 2010-01-14 Carl Zeiss Smt Ag Reflective optical element and method for its production
US20100071720A1 (en) * 2008-09-19 2010-03-25 Carl Zeiss Smt Ag Method and system for removing contaminants from a surface
EP2478416A2 (en) * 2009-09-16 2012-07-25 ASML Netherlands BV Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI621153B (en) * 2013-08-14 2018-04-11 美商克萊譚克公司 System and method for imaging a sample with a laser sustained plasma illumination output
TWI572844B (en) * 2014-05-07 2017-03-01 Asml荷蘭公司 Diamond-based monitoring apparatus for lithographic apparatus, and a lithographic apparatus comprising diamond-based monitoring apparatus
US10082424B2 (en) 2014-05-07 2018-09-25 Asml Netherlands B.V. Diamond-based monitoring apparatus for lithographic apparatus, and a lithographic apparatus comprising diamond-based monitoring apparatus
TWI829622B (en) * 2016-09-15 2024-01-21 德商卡爾蔡司Smt有限公司 Optical assembly, in an euv lithographic projection exposure apparatus, the apparatus and method thereof

Also Published As

Publication number Publication date
NL2008391A (en) 2012-10-08
WO2012136420A1 (en) 2012-10-11

Similar Documents

Publication Publication Date Title
TW201245902A (en) Radiation source-collector and lithographic apparatus
JP6858817B2 (en) Membranes used in lithographic equipment and lithographic equipment containing such films
US9773578B2 (en) Radiation source-collector and method for manufacture
TWI400580B (en) Optical element for a lithographic apparatus, lithographic apparatus comprising such optical element and method for making the optical element
JP5844154B2 (en) Spectral purity filter, lithographic apparatus and method of manufacturing spectral purity filter
US20120147350A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
TW201239548A (en) Grazing incidence reflectors, lithographic apparatus, methods for manufacturing a grazing incidence reflector and methods for manufacturing a device
WO2007129890A1 (en) Anti-reflection coating for euv mask
US20100328639A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
TW201137412A (en) Multilayer mirror, lithographic apparatus, and methods for manufacturing a multilayer mirror and a product
KR20130105292A (en) Spectral purity filter
TW201250397A (en) Multilayer mirror, method and lithographic apparatus
TW201122570A (en) Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus
TW201213891A (en) Multilayer mirror
NL2015521A (en) Radiation source-collector and method for manufacture.
TW201142372A (en) Spectral purity filter
TW201122569A (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
NL2011761A (en) Radiation source-collector and method for manufacture.
JP2007163180A (en) Soft x-ray multilayer film mirror