WO2012136420A1 - Mirror, radiation source - collector and lithographic apparatus - Google Patents

Mirror, radiation source - collector and lithographic apparatus Download PDF

Info

Publication number
WO2012136420A1
WO2012136420A1 PCT/EP2012/053534 EP2012053534W WO2012136420A1 WO 2012136420 A1 WO2012136420 A1 WO 2012136420A1 EP 2012053534 W EP2012053534 W EP 2012053534W WO 2012136420 A1 WO2012136420 A1 WO 2012136420A1
Authority
WO
WIPO (PCT)
Prior art keywords
mirror
radiation
refractive index
collector
protective region
Prior art date
Application number
PCT/EP2012/053534
Other languages
French (fr)
Inventor
Vadim Banine
Christian Wagner
Harmeet Singh
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2012136420A1 publication Critical patent/WO2012136420A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • G02B5/0825Multilayer mirrors, i.e. having two or more reflecting layers the reflecting layers comprising dielectric materials only
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure

Definitions

  • the present invention relates to a multi-stack mirror for reflection of radiation such as EUV radiation of wavelength 5 to 20 nm and to a radiation source-collector assembly and a lithographic apparatus that includes such a mirror or assembly.
  • a lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC.
  • This pattern can be transferred onto a target portion (e.g. including part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate.
  • a single substrate will contain a network of adjacent target portions that are successively patterned.
  • Lithography is widely recognized as one of the key steps in the manufacture of ICs and other devices and/or structures. However, as the dimensions of features made using lithography become smaller, lithography is becoming a more critical factor for enabling miniature IC or other devices and/or structures to be manufactured.
  • a lithographic apparatus typically includes an illumination system configured to condition a radiation beam; a support structure constructed to hold a patterning device, such as a reticle or mask, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; and a projection system configured to project the patterned radiation beam onto a target portion of the substrate.
  • a patterning device such as a reticle or mask
  • CD k *- ⁇ - (1 )
  • NA PS where ⁇ is the wavelength of the radiation used, NA PS is the numerical aperture of the projection system used to print the pattern, k-i is a process dependent adjustment factor, also called the Rayleigh constant, and CD is the feature size (or critical dimension) of the printed feature. It follows from equation (1 ) that reduction of the minimum printable size of features can be obtained in three ways: by shortening the exposure wavelength ⁇ , by increasing the numerical aperture NA PS , or by decreasing the value of k r
  • EUV radiation is electromagnetic radiation having a wavelength within the range of 5-20 nm, for example within the range of 13-14 nm. It has further been proposed that EUV radiation with a wavelength of less than 10 nm could be used, for example within the range of 5-10 nm such as 6.7 nm or 6.8 nm. Such radiation is termed extreme ultraviolet radiation or soft x-ray radiation. Possible sources include, for example, laser-produced plasma sources, discharge plasma sources, or sources based on synchrotron radiation provided by an electron storage ring.
  • EUV radiation may be produced using a plasma.
  • a radiation system for producing EUV radiation may include a laser for exciting a fuel to provide the plasma, and a source-collector apparatus for containing the plasma.
  • the plasma may be created, for example, by directing a laser beam at a fuel, such as particles of a suitable material (e.g. tin), or a stream of a suitable gas or vapor, such as Xe gas or Li vapor.
  • the resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector.
  • the radiation collector may be a mirrored normal incidence radiation collector, which receives the radiation and focuses the radiation into a beam.
  • the source-collector apparatus may include an enclosing structure or chamber arranged to provide a vacuum environment to support the plasma.
  • a radiation system is typically termed a laser produced plasma (LPP) source.
  • LPP laser produced plasma
  • EUV radiation sources are configured to output a radiation wavelength from 5 to 20 nm, such as of about and/or below 13.5 nm.
  • EUV radiation sources may constitute a significant step toward achieving small features printing.
  • extreme ultraviolet or soft x-ray and possible sources include, for example, laser-produced plasma sources, discharge plasma sources, or synchrotron radiation from electron storage rings.
  • Normal incidence EUV collectors may typically made with multiple bilayers (i.e. alternating layers) of molybdenum (Mo) and silicon (Si), which have differing refractive indices for EUV radiation.
  • Hydrogen atoms and ions, and possibly H 2 as well, such as may be generated by a plasma used for EUV radiation generation, particularly with a laser produced plasma (LPP) source, may give rise to damage arising from blistering of one or more of the layers of the multilayer mirror. The damage may occur due to the production of gaseous species in a layer.
  • species such as SiH 4 may be formed, or change of stress within the layer may arise from chemical bonding changes and the like.
  • mirrors such as multilayer mirrors, arranged for reflection of EUV radiation. It is also desirable to achieve protection from damage without substantial loss in the reflectivity of the mirror for EUV radiation, this is of particular importance for normal incidence collector mirrors for EUV generators using a laser produced plasma source: such collector mirrors may be particularly prone to suffering blistering damage from exposure to high energy hydrogen atoms, ions or even molecules.
  • An aspect of the invention provides a mirror configured for reflection of radiation having a wavelength from about 5 to about 20nm, comprising a multilayer stack of pairs of alternating layers of a first material of first refractive index and a second material of second refractive index for said radiation, wherein the first refractive index is higher than the second refractive index, and the first and second materials have a high transmittivity for said radiation,
  • a protective region is disposed on the stack, the protective region comprising from 1 to 5 pairs of alternating layers of a third material of third refractive index and a fourth material of fourth refractive index, wherein the third refractive index differs from the fourth refractive index,
  • the protective region is disposed on the multilayer stack, so that alternation of magnitude of refractive index continues from the multilayer stack through the protective region,
  • the mirror is arranged so that radiation for reflection is incident upon the protective region before being incident upon the multilayer stack
  • third material and fourth material have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions in use
  • An aspect of the invention provides source-collector assembly for device lithography arranged for generation and collection of radiation having a wavelength from about 5 to about 20nm, comprising a radiation generator and a collector mirror, wherein the collector mirror is a mirror according to an aspect of the invention.
  • An aspect of the invention provides a lithographic projection apparatus arranged to project a pattern from a patterning device onto a substrate, wherein the lithographic apparatus comprises a mirror according to an aspect of the invention.
  • An aspect of the invention provides a method of forming a mirror configured to reflect radiation having a wavelength from about 5 to about 20 nm, the method comprising:
  • the protective region comprising from 1 to 5 pairs of alternating layers of a third material of third refractive index and a fourth material of fourth refractive index, wherein the third refractive index differs from the fourth refractive index,
  • the protective region is disposed on the multilayer stack so that alternation of magnitude of refractive index continues from the multilayer stack through the protective region
  • third material and fourth material have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions in use
  • composition consisting essentially of a set of components will comprise less than 10% by weight, typically less than 5% by weight, more typically less than 3% by weight, such as less than 1 % by weight of non-specified components.
  • consisting of or “consists of” means that other components are specifically excluded.
  • silicon nitride may be the stoichiometric form Si 3 N 4 , or it may be SiN x , where x is a number, for instance from 0.1 to 1 .4.
  • boron nitride may refer to B 4 C, B 9 C, or to non-stoichiometric compounds.
  • This aspect of the invention provides a mirror configured for reflection of radiation, such as EUV radiation, having a wavelength from about 5 to about 20nm.
  • the mirror has a multilayer stack of pairs of alternating layers of a first material of first refractive index and a second material of second refractive index for said radiation.
  • refractive index are meant to mean real refractive index rather than imaginary refractive index.
  • the first refractive index is higher than the second refractive index, and the first and second materials have a high transmittivity for the radiation for which the mirror is configured.
  • a high transmissivity means that a layer of the material having a thickness of 2.5nm provides a transmissivity of radiation energy of 95% or more, such as 97% or more.
  • the difference between refractive indices for the two materials may be say from 0.01 to 0.1 .
  • the refractive index at 1 1 .3nm is about 1 .0055 whereas for molybdenum at the same wavelength, the refractive index is about 0.9514nm.
  • Such multilayer stacks adapted for use as mirrors for EUV radiation, are known in the art. See, for instance, the patent publication EP-A-1 065 532.
  • the individual layers of each pair of layers will typically have similar thicknesses, with the ratio of thicknesses for the two layers in a pair being from about 60:40 to about 40:60, such as say about 50:50.
  • the periodicity of the pairs i.e.
  • the thickness of each pair of layers will be about ⁇ /2, where ⁇ is the wavelength of the radiation to be reflected, so, for instance, for EUV radiation having a wavelength of 13.5nm, the thickness of a layer pair for a normal incidence stack may be 6.75 nm, with the layers of fist and second material each being 3.875 nm thick.
  • the multilayer stack should behave effectively as a semi-infinite stack and to achieve this, the multilayer stack may typically comprise from 25 to 200 pairs, say 50 to 150 pairs, of layers of first and second material.
  • a protective region is disposed on the multilayer stack, the protective region comprising from 1 to 5 pairs of alternating layers of a third material of third refractive index and a fourth material of fourth refractive index, wherein the third refractive index differs from the fourth refractive index, and wherein the protective region is disposed on the multilayer stack, so that alternation of magnitude of refractive index continues from the multilayer stack through the protective region.
  • the layer of the protective region that is directly in contact with this layer will be selected to have a higher refractive index than the second material, and also a higher refractive index than the fourth material which will form the next layer provided directly in contact with it.
  • the mirror is arranged so that radiation for reflection is incident upon the protective region before being incident upon the multilayer stack.
  • the third material and fourth material have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions in use.
  • the third material is different to the first material or second materials.
  • the material subject to blistering is substituted, in the multilayer structure, by the third material, which is highly resistant to blistering under such circumstances.
  • the fourth material may be the same as the first or second material.
  • the fourth material may comprise or be (i.e. consists essentially of) molybdenum.
  • the third material may be selected from carbides, nitrides, oxides and silicides.
  • the anion is an element having low absorption for EUV radiation.
  • materials included are diamond-like carbon, silicon carbide, molybdenum carbide (e.g. M0 2 C or non-stoichiometric molybdenum carbide), boron carbide (e.g.
  • B 4 C, B 9 C, or non-stoichiometric boron carbides ruthenium carbide, yttrium carbide, zirconium carbide, niobium carbide, lanthanum carbide titanium carbide or the like.
  • silicides materials included are molybdenum silicide, boron silicide, yttrium silicide, zirconium silicide, niobium silicide, lanthanum silicide, ruthenium silicide, titanium silicide and the like.
  • suitable materials may be silicon nitride (e.g.
  • suitable materials include titanium oxide, molybdenum oxide, yttrium oxide, zirconium oxide, niobium oxide, lanthanum oxide, ruthenium oxide.
  • Particularly suitable materials are diamond-like carbon, silicon carbide, silicon nitride (e.g. Si 3 N4 or SiN x ), boron carbide (e.g. B 4 C, B 9 C, or non-stoichiometric boron carbides), boron nitride and molybdenum carbide (e.g. Mo 2 C or non-stoichiometric molybdenum carbide).
  • the fourth material may be molybdenum and the third material silicon nitride. This is particularly preferred when the first material is silicon and the second material is molybdenum in the multilayer stack.
  • the protective layer may suitably comprise from 1 to 3 pairs of alternating layers, such as 1 , 2 or three pairs of layers.
  • a further final layer of either the third or fourth material may be provided as an outermost layer, maintaining the alternation of refractive index, if required.
  • the mirror may be arranged as a collector mirror for radiation, such as EUV radiation having a wavelength from about 5 to about 20 nm.
  • the collector mirror may be arranged for use as a normal incidence collector mirror, for instance suitable for use with an LPP generator of EUV radiation.
  • the mirror may be adapted to reflect radiation having a wavelength from about 1 1 to about 16 nm, or in another arrangement according to the invention, the mirror may be adapted to reflect radiation having a wavelength from about 6.4 nm to about 7.2 nm.
  • An aspect of the invention provides a source-collector assembly (also referred to herein as a source-collector module) for device lithography, arranged for generation and collection of radiation having a wavelength from about 5 to about 20nm (i.e. EUV radiation), comprising a radiation generator and a collector mirror, wherein the collector mirror is a mirror according to an aspect of the invention.
  • a source-collector assembly also referred to herein as a source-collector module for device lithography, arranged for generation and collection of radiation having a wavelength from about 5 to about 20nm (i.e. EUV radiation)
  • EUV radiation i.e. EUV radiation
  • the radiation generator of this aspect of the invention may be arranged to produce radiation from a laser produced plasma in use.
  • An aspect of the invention provides a lithographic projection apparatus arranged to project a pattern from a patterning device onto a substrate, wherein the lithographic apparatus comprises a mirror according to an aspect of the invention.
  • the lithographic apparatus may further comprise
  • an illumination system configured to condition a radiation beam
  • a support structure constructed to hold a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam;
  • a substrate table constructed to hold a substrate; and a projection system configured to project the patterned radiation beam onto a target portion of the substrate.
  • a specific arrangement of this aspect may provide a lithographic apparatus comprising:
  • collector configured to reflect said EUV radiation, the collector comprising a multilayer mirror comprising alternating pairs of layers of molybdenum and silicon having a protective region disposed thereon comprising from 1 to 5 alternating pairs of layers of molybdenum and silicon nitride,
  • an illumination system configured to condition said EUV radiation into a radiation beam
  • a support structure constructed to hold a patterning device, the patterning device being capable of imparting said radiation beam with a pattern in its cross-section to form a patterned radiation beam;
  • a substrate table constructed to hold a substrate
  • a projection system configured to project said patterned radiation beam onto a target portion of the substrate.
  • An aspect of the invention provides a method of forming a mirror configured to reflect radiation having a wavelength from about 5 to about 20 nm, the method comprising:
  • the protective region comprising from 1 to 5 pairs of alternating layers of a third material of third refractive index and a fourth material of fourth refractive index,
  • the third refractive index differs from the fourth refractive index
  • the protective region is disposed on the multilayer stack so that alternation of magnitude of refractive index continues from the multilayer stack through the protective region.
  • third material and fourth material have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions in use
  • Another aspect of the invention provides a mirror configured for reflection of radiation having a wavelength from about 5 to about 20nm, comprising a multilayer stack of pairs of alternating layers of a first material of first refractive index and a second material of second refractive index for said radiation,
  • first refractive index is higher than the second refractive index
  • first and second materials have a high transmittivity for said radiation
  • a cap layer is disposed on the stack, the cap layer comprising boron nitride, preferably cubic boron nitride.
  • Figure 1 schematically depicts a lithographic apparatus according to an embodiment of the present invention
  • Figure 2 schematically depicts a side view of an embodiment of an EUV illumination system and projection system of the lithographic projection apparatus of Figure 1 ;
  • Figure 3 schematically depicts a view of a laser produced plasma source-collector module/assembly of the apparatus of Figure 1 in accordance with an embodiment of the present invention;
  • Figure 4 schematically depicts a multilayer mirror that may be used in the collector module/assembly of Figure 3 in accordance with an embodiment of the present invention
  • Figure 5 schematically depicts a multilayer mirror that may be used in the source-collector module/assembly of Figure 3 in accordance with an embodiment of the present invention
  • Figure 6 schematically depicts a multilayer mirror that may be used in the source-collector module/assembly of Figure 3 in accordance with an embodiment of the present invention.
  • Figure 7 schematically depicts a modification of the multilayer mirror of Figure 4.
  • FIG. 1 schematically depicts a lithographic apparatus 100 according to an embodiment of the invention.
  • the apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation); a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device; a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and a projection system (e.g. a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
  • a radiation beam B e.g. EUV radiation
  • a support structure e.g
  • the illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • the support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment.
  • the support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device.
  • the support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system.
  • patterning device should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate.
  • the pattern imparted to the radiation beam may correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
  • the patterning device may be transmissive or reflective.
  • Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels.
  • Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types.
  • An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
  • the projection system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of a vacuum. It may be desired to use a vacuum for EUV radiation since gases may absorb too much radiation. A vacuum environment may therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps. Some gas may be provided in some parts of the lithographic apparatus, for example to allow gas flow to be used to reduce the likelihood of contamination reaching optical components of the lithographic apparatus. [0054] As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask).
  • the lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such "multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
  • the illuminator IL receives an extreme ultra violet (EUV) radiation beam from the source-collector module/assembly SO.
  • EUV extreme ultra violet
  • Methods to produce EUV light include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range.
  • the desired plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the required line-emitting element, with a laser beam.
  • the source-collector module/assembly SO may be part of an EUV radiation system including a laser, not shown in Figure 1 , for providing the laser beam exciting the fuel.
  • the resulting plasma emits output radiation, e.g. EUV radiation, which is collected using a radiation collector, disposed in the source-collector module/assembly.
  • the laser and the source-collector module/assembly may be separate entities, for example when a CO 2 laser is used to provide the laser beam for fuel excitation.
  • the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source-collector module/assembly with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander.
  • the source may be an integral part of the source-collector module/assembly or assembly, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
  • the illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as ⁇ -outer and ⁇ -inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted.
  • the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
  • the radiation beam B is incident on the patterning device (e.g. mask) MA, which is held on the support structure (e.g. mask table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B.
  • the second positioner PW and position sensor PS2 e.g. an interferometric device, linear encoder or capacitive sensor
  • the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B.
  • Patterning device (e.g. mask) MA and substrate W may be aligned using mask alignment marks M1 , M2 and substrate alignment marks P1 , P2.
  • the depicted apparatus could be used in at least one of the following modes:
  • step mode the support structure (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of the substrate table WT relative to the support structure (e.g. mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS.
  • the support structure (e.g. mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • FIG. 2 shows the apparatus 100 in more detail, including the source-collector module/assembly SO, the illumination system IL, and the projection system PS.
  • the source-collector module/assembly SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 220 of the source-collector module/assembly SO.
  • An EUV radiation emitting plasma 210 may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the very hot plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum.
  • 210 is created by, for example, an electrical discharge causing an at least partially ionized plasma. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation.
  • a plasma of excited tin (Sn) is provided to produce EUV radiation.
  • the radiation emitted by the hot plasma 210 is passed from a source chamber
  • the contaminant trap 230 may include a channel structure.
  • Contamination trap 230 may also include a gas barrier or a combination of a gas barrier and a channel structure.
  • the contaminant trap or contaminant barrier 230 further indicated herein at least includes a channel structure, as known in the art.
  • the collector chamber 21 1 may include a radiation collector CO which may be a so-called grazing incidence collector.
  • Radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. Radiation that traverses collector CO can be reflected off a grating spectral filter 240 to be focused in a virtual source point IF.
  • the virtual source point IF is commonly referred to as the intermediate focus, and the source-collector module/assembly is arranged such that the intermediate focus IF is located at or near an opening 221 in the enclosing structure 220.
  • the virtual source point IF is an image of the radiation emitting plasma 210.
  • the radiation traverses the illumination system IL, which may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21 , at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • the illumination system IL may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21 , at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • More elements than shown may generally be present in illumination optics unit IL and projection system PS.
  • the grating spectral filter 240 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the figures, for example there may be 1 - 6 additional reflective elements present in the projection system PS than shown in Figure 2.
  • Collector optic CO is depicted as a nested collector with grazing incidence reflectors 253, 254 and 255, just as an example of a collector (or collector mirror).
  • the grazing incidence reflectors 253, 254 and 255 are disposed axially symmetric around an optical axis O and a collector optic CO of this type is preferably used in combination with a discharge produced plasma source, often called a DPP source.
  • the source-collector module/assembly SO may be part of an LPP radiation system as shown in Figure 3.
  • a laser LA is arranged to deposit laser energy into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li), creating the highly ionized plasma 210 with electron temperatures of several 10's of eV.
  • Xe xenon
  • Sn tin
  • Li lithium
  • the energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma, collected by a near normal incidence collector optic CO and focused onto the opening 221 in the enclosing structure 220.
  • FIG 4 schematically illustrates a first embodiment of a collector mirror 300 as normal incidence collector optic CO of Figure 3.
  • the collector optic CO includes a multilayer mirror 300 according to an aspect of the invention that includes pairs of alternating layers 310; 320 of a second material (of molybdenum in this embodiment) and a first material (silicon in this embodiment) respectively that alternate with each other to create a multilayer stack.
  • a protective region is present, formed of a single pair of layers 310/322.
  • the topmost layer 322 is a layer 322 of non-reactive material, which is Si 3 N 4 in this particular embodiment, having a refractive index for EUV higher than that of the adjacent molybdenum layer 310.
  • the term "topmost” is intended to mean the layer(s) that receive radiation that is incident on the collector optic CO, prior to the rest of the multilayer stack.
  • FIG. 5 schematically illustrates a second embodiment of a multilayer mirror 400 that may be used as collector mirror for the collector optic CO of Figure 3.
  • the protective layer forming the topmost part of the collector mirror has two pairs of alternating layers 310; 322 of molybdenum and silicon nitride Si 3 N 4 respectively.
  • FIG. 6 schematically illustrates a third embodiment of a multilayer mirror 500 that may be used as collector mirror for the collector optic CO of Figure 3.
  • the protective region in this third embodiment is of three pairs of alternating layers 310; 322 of molybdenum and silicon nitride Si 3 N 4 respectively.
  • the orders of the layers may be reversed, or a further layer, or layers, in alternating refractive index sequence, may be added over the topmost layer or under the lowermost layer.
  • a relative reflectivity loss of up to about 20% may occur.
  • a relative transmission loss of about 5-10% is expected to occur.
  • NH 3 ammonia
  • hydrogen ions or hydrogen radicals present near the mirror may reduce the erosion rate of a Si 3 N 4 layer as the topmost layer.
  • Hydrogen radicals insert into silicon nitride, attacking bonds between Si and N in the lattice. Excess hydrogen radicals terminate Si dangling bonds and volatilize N through formation of NH X species. Hydrogen plasma is thus capable of enhancing etch of Si 3 N 4 due to presence of hydrogen radicals and ion bombardment.
  • NH 3 or an other nitrogen-containing gas may thus reduce the rate of etching of the Si 3 N 4 layer. Dissociation of NH 3 will generate nitrogen radicals and nitrogen radical flux will reconvert Si-H bonds to Si-N bonds.
  • NH 3 : H 2 gas ratio of 1 :100 to 50:100 is recommended. The ratio of NH 3 (or N 2 ) to H 2 may be optimized for extension of barrier lifetime while keeping the loss in 13.5 nm wavelength radiation transmission at a minimum.
  • An example of an other nitrogen-containing gas is N 2 .
  • the formation of the mirror of the invention may be carried out by standard multilayer deposition methods, such as deposition of the alternating layers by sputtering and/or chemical vapour deposition onto a substrate.
  • the deposition process merely needs to be modified in order to replace one of the first or second materials with the third material (and to replace the other of the first and second materials with the fourth material, as required).
  • the invention provides a number of technical benefits over the prior art.
  • the mirror is arranged so that radiation for reflection is incident upon the protective region before being incident upon the multilayer stack.
  • the third material and fourth material have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions in use, the mirror may be protected from blistering.
  • the third material is different to the first material or second materials, so in a situation where one of the first or second materials is a material subject to blistering when bombarded with hydrogen, the material subject to blistering is substituted in the protective region, in the multilayer structure of the invention, by the third material, which is highly resistant to blistering under such circumstances.
  • the third material may have a relatively low transmissivity for EUV radiation, say less than 95%, but because only a few pairs of layers are present in the protective region, the overall loss in transmissivity for the mirror is small, but the protective region may have adequate thickness to prevent hydrogen from penetrating into the multilayer stack and causing blistering in use.
  • the fourth material may be the same as the first or second material. This has the advantage that in manufacture of the mirror of the invention, only three material types are needed, hence simplifying the process.
  • the fourth material may be molybdenum and the third material silicon nitride. This is particularly preferred when the first material is silicon and the second material is molybdenum in the multilayer stack.
  • the protective region may be easily formed, for instance, by modifying chemical deposition or sputtering of the silicon layers in a deposition process by addition of nitrogen into the deposited layers for the protective region.
  • the mirror is of particular use as a collector mirror for a plasma source where hydrogen may be present in the plasma in use, for instance for an LPP source where hydrogen may be used to provide scavenging of debris and deposits.
  • a collector mirror may have to be located close to the plasma source, there is risk of hydrogen impinging on the mirror and causing blistering for prior art mirrors.
  • the mirror of the invention reduces or eliminates the risk of such blistering.
  • Figure 7 schematically illustrates yet a further embodiment of a multilayer mirror 600 that may be used as collector mirror for the collector optic CO of Figure 3.
  • the embodiment is a modification of the embodiment of Figure 4.
  • Layer 322 in the embodiment of Figure 4 is replaced in Figure 7 with a layer 324.
  • the layer 324 is, in the embodiment of Figure 7, a layer 324 of boron nitride, preferably cubic boron nitride which is a very etch resistant material.
  • Cubic boron nitride is an extremely hard material, second only to diamond.
  • a B-N bond i.e. a bond between a boron atom and a nitrogen atom, typically has a bond energy of 167 kcal/mol.
  • a Si-N bond i.e. a bond between a silicon atom and a nitrogen atom, typically has a bond energy of 105 kcal/mol.
  • the strong B-N bond reduces the rate at which hydrogen radicals/ions which may be present near collector mirrors for the collector optic CO of figure 3 can break B-N bonds. Since chemical processes show exponential dependence on activation energy the high B-N bond strength (about 60% higher than that of Si-N) dramatically reduces the erosion rate of boron nitride relative to silicon nitride for hydrogen radical exposure.
  • lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc.
  • LCDs liquid-crystal displays
  • UV radiation ultraviolet
  • EUV radiation extreme ultra-violet radiation
  • particle beams such as ion beams or electron beams.
  • the multilayer mirror may be used in any application in which reflection of radiation having a wavelength in the range of about 6.4 nm to about 7.2 nm is desirable or required (e.g. in a radiation source, an alignment system, or the like).

Abstract

A mirror for reflection of EUV radiation having a wavelength from 5 to 20nm has a multilayer stack of pairs of alternating layers materials having different refractive indices with a protective region is disposed on the stack, the protective region having from 1 to 5 pairs of alternating layers of materials of differing refractive indices disposed on the multilayer stack, so that alternation of magnitude of refractive index continues from the multilayer stack through the protective region. The mirror is arranged so that radiation for reflection is incident upon the protective region before being incident upon the multilayer stack. The materials of the protective region are selected to have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions, for instance from an EUV plasma source, in use. For instance, the multilayer stack may be of silicon/molybdenum, with the protective region of silicon nitride/molybdenum. The protective region acts to prevent hydrogen atoms or ions penetrating into and blistering the mirror, but without resulting in excessive losses in the reflectivity of the mirror. The mirror is particularly useful as a collector mirror such as a normal incidence collector for laser produced plasma EUV sources and is useful for device lithography and in lithography apparatus.

Description

MIRROR, RADIATION SOURCE - COLLECTOR AND LITHOGRAPHIC APPARATUS
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims the benefit of US provisional application 61 /471 ,547, which was filed on April 4th, 201 1 and of US provisional application 61 /604,890, which was filed on February 29th 2012, and which is incorporated herein in its entirety by reference.
FIELD
[0002] The present invention relates to a multi-stack mirror for reflection of radiation such as EUV radiation of wavelength 5 to 20 nm and to a radiation source-collector assembly and a lithographic apparatus that includes such a mirror or assembly.
BACKGROUND
[0003] A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g. including part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned.
[0004] Lithography is widely recognized as one of the key steps in the manufacture of ICs and other devices and/or structures. However, as the dimensions of features made using lithography become smaller, lithography is becoming a more critical factor for enabling miniature IC or other devices and/or structures to be manufactured.
[0005] A lithographic apparatus typically includes an illumination system configured to condition a radiation beam; a support structure constructed to hold a patterning device, such as a reticle or mask, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; and a projection system configured to project the patterned radiation beam onto a target portion of the substrate.
[0006] A theoretical estimate of the limits of pattern printing can be given by the Rayleigh criterion for resolution as shown in equation (1 ):
CD = k *-^- (1 )
NAPS where λ is the wavelength of the radiation used, NAPS is the numerical aperture of the projection system used to print the pattern, k-i is a process dependent adjustment factor, also called the Rayleigh constant, and CD is the feature size (or critical dimension) of the printed feature. It follows from equation (1 ) that reduction of the minimum printable size of features can be obtained in three ways: by shortening the exposure wavelength λ, by increasing the numerical aperture NAPS, or by decreasing the value of kr
[0007] In order to shorten the exposure wavelength and, thus, reduce the minimum printable size, it has been proposed to use an extreme ultraviolet (EUV) radiation source. EUV radiation is electromagnetic radiation having a wavelength within the range of 5-20 nm, for example within the range of 13-14 nm. It has further been proposed that EUV radiation with a wavelength of less than 10 nm could be used, for example within the range of 5-10 nm such as 6.7 nm or 6.8 nm. Such radiation is termed extreme ultraviolet radiation or soft x-ray radiation. Possible sources include, for example, laser-produced plasma sources, discharge plasma sources, or sources based on synchrotron radiation provided by an electron storage ring.
[0008] EUV radiation may be produced using a plasma. A radiation system for producing EUV radiation may include a laser for exciting a fuel to provide the plasma, and a source-collector apparatus for containing the plasma. The plasma may be created, for example, by directing a laser beam at a fuel, such as particles of a suitable material (e.g. tin), or a stream of a suitable gas or vapor, such as Xe gas or Li vapor. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector. The radiation collector may be a mirrored normal incidence radiation collector, which receives the radiation and focuses the radiation into a beam. The source-collector apparatus may include an enclosing structure or chamber arranged to provide a vacuum environment to support the plasma. Such a radiation system is typically termed a laser produced plasma (LPP) source. In order to shorten the exposure wavelength and, thus, reduce the minimum printable size, it has been proposed to use an extreme ultraviolet (EUV) radiation source. EUV radiation sources are configured to output a radiation wavelength from 5 to 20 nm, such as of about and/or below 13.5 nm. Thus, EUV radiation sources may constitute a significant step toward achieving small features printing. Such radiation is termed extreme ultraviolet or soft x-ray, and possible sources include, for example, laser-produced plasma sources, discharge plasma sources, or synchrotron radiation from electron storage rings.
SUMMARY
[0009] Normal incidence EUV collectors may typically made with multiple bilayers (i.e. alternating layers) of molybdenum (Mo) and silicon (Si), which have differing refractive indices for EUV radiation. Hydrogen atoms and ions, and possibly H2 as well, such as may be generated by a plasma used for EUV radiation generation, particularly with a laser produced plasma (LPP) source, may give rise to damage arising from blistering of one or more of the layers of the multilayer mirror. The damage may occur due to the production of gaseous species in a layer. Where one of the layers of a multilayer stack is of silicon, species such as SiH4 may be formed, or change of stress within the layer may arise from chemical bonding changes and the like.
[0010] It is desirable to reduce or even prevent damage to mirrors, such as multilayer mirrors, arranged for reflection of EUV radiation. It is also desirable to achieve protection from damage without substantial loss in the reflectivity of the mirror for EUV radiation, this is of particular importance for normal incidence collector mirrors for EUV generators using a laser produced plasma source: such collector mirrors may be particularly prone to suffering blistering damage from exposure to high energy hydrogen atoms, ions or even molecules.
[0011] An aspect of the invention provides a mirror configured for reflection of radiation having a wavelength from about 5 to about 20nm, comprising a multilayer stack of pairs of alternating layers of a first material of first refractive index and a second material of second refractive index for said radiation, wherein the first refractive index is higher than the second refractive index, and the first and second materials have a high transmittivity for said radiation,
wherein a protective region is disposed on the stack, the protective region comprising from 1 to 5 pairs of alternating layers of a third material of third refractive index and a fourth material of fourth refractive index, wherein the third refractive index differs from the fourth refractive index,
wherein the protective region is disposed on the multilayer stack, so that alternation of magnitude of refractive index continues from the multilayer stack through the protective region,
wherein the mirror is arranged so that radiation for reflection is incident upon the protective region before being incident upon the multilayer stack,
wherein the third material and fourth material have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions in use,
and wherein the third material is different to the first material.
[0012] An aspect of the invention provides source-collector assembly for device lithography arranged for generation and collection of radiation having a wavelength from about 5 to about 20nm, comprising a radiation generator and a collector mirror, wherein the collector mirror is a mirror according to an aspect of the invention.
[0013] An aspect of the invention provides a lithographic projection apparatus arranged to project a pattern from a patterning device onto a substrate, wherein the lithographic apparatus comprises a mirror according to an aspect of the invention.
[0014] An aspect of the invention provides a method of forming a mirror configured to reflect radiation having a wavelength from about 5 to about 20 nm, the method comprising:
providing a multilayer stack of pairs of alternating layers of a first material of first refractive index and a second material of second refractive index for said radiation, wherein the first refractive index is higher than the second refractive index, and the first and second materials have a high transmittivity for said radiation,
providing thereon a protective region disposed on the multilayer stack, the protective region comprising from 1 to 5 pairs of alternating layers of a third material of third refractive index and a fourth material of fourth refractive index, wherein the third refractive index differs from the fourth refractive index,
wherein the protective region is disposed on the multilayer stack so that alternation of magnitude of refractive index continues from the multilayer stack through the protective region,
wherein the third material and fourth material have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions in use,
and wherein the third material is different to the first material
[0015] Throughout this specification, the term "comprising" or "comprises" means including the component(s) specified but not to the exclusion of the presence of others. The term "consisting essentially of" or "consists essentially of" means including the components specified but excluding other components except for materials present as impurities, unavoidable materials present as a result of processes used to provide the components, and components added for a purpose other than achieving the technical effect of the invention. Typically, a composition consisting essentially of a set of components will comprise less than 10% by weight, typically less than 5% by weight, more typically less than 3% by weight, such as less than 1 % by weight of non-specified components. The term "consisting of" or "consists of" means that other components are specifically excluded.
[0016] Whenever appropriate, the use of the term "comprises" or "comprising" may also be taken to include the meaning or "consisting essentially of" or "consisting of".
[0017] When it is said, in this specification, that a material is "X", it means that the material consists essentially of "X".
[0018] Where mention is made in this specification of compounds such as carbides, nitrides or silicides, it is to be understood that these terms refer to both stoichiometric and non-stoichiometric compounds, the latter being formed in circumstances where the compound is formed by a process, such as sputtering or chemical vapour deposition, that may evidently lead to the provision of non-stoichiometric compounds in layers. For instance, silicon nitride may be the stoichiometric form Si3N4, or it may be SiNx, where x is a number, for instance from 0.1 to 1 .4. Similarly, boron nitride may refer to B4C, B9C, or to non-stoichiometric compounds. [0019] The optional and/or preferred features set out in this specification, either in the description or in the claims, may be used either individually or in combination with each other where appropriate and particularly in the combinations as set out in the accompanying claims. The optional and/or preferred features for each aspect of the invention set out herein are also applicable to any other aspects of the invention, where appropriate.
[0020] This aspect of the invention provides a mirror configured for reflection of radiation, such as EUV radiation, having a wavelength from about 5 to about 20nm. The mirror has a multilayer stack of pairs of alternating layers of a first material of first refractive index and a second material of second refractive index for said radiation. In this specification, references to refractive index are meant to mean real refractive index rather than imaginary refractive index.
[0021] The first refractive index is higher than the second refractive index, and the first and second materials have a high transmittivity for the radiation for which the mirror is configured. For instance, a high transmissivity means that a layer of the material having a thickness of 2.5nm provides a transmissivity of radiation energy of 95% or more, such as 97% or more.
[0022] With regard to refractive index, the difference between refractive indices for the two materials may be say from 0.01 to 0.1 . For instance, for silicon, which may be used as first material, the refractive index at 1 1 .3nm is about 1 .0055 whereas for molybdenum at the same wavelength, the refractive index is about 0.9514nm.
[0023] Such multilayer stacks, adapted for use as mirrors for EUV radiation, are known in the art. See, for instance, the patent publication EP-A-1 065 532. The individual layers of each pair of layers will typically have similar thicknesses, with the ratio of thicknesses for the two layers in a pair being from about 60:40 to about 40:60, such as say about 50:50. For a mirror adapted for normal incidence and normal reflection, the periodicity of the pairs (i.e. the thickness of each pair of layers) will be about λ/2, where λ is the wavelength of the radiation to be reflected, so, for instance, for EUV radiation having a wavelength of 13.5nm, the thickness of a layer pair for a normal incidence stack may be 6.75 nm, with the layers of fist and second material each being 3.875 nm thick. Typically, the multilayer stack should behave effectively as a semi-infinite stack and to achieve this, the multilayer stack may typically comprise from 25 to 200 pairs, say 50 to 150 pairs, of layers of first and second material.
[0024] For the mirror of this aspect of the invention, a protective region is disposed on the multilayer stack, the protective region comprising from 1 to 5 pairs of alternating layers of a third material of third refractive index and a fourth material of fourth refractive index, wherein the third refractive index differs from the fourth refractive index, and wherein the protective region is disposed on the multilayer stack, so that alternation of magnitude of refractive index continues from the multilayer stack through the protective region. In other words, if the uppermost layer of the multilayer stack is of the second material (lower refractive index than the first material), then the layer of the protective region that is directly in contact with this layer, say of the third material, will be selected to have a higher refractive index than the second material, and also a higher refractive index than the fourth material which will form the next layer provided directly in contact with it.
[0025] The mirror is arranged so that radiation for reflection is incident upon the protective region before being incident upon the multilayer stack.
[0026] The third material and fourth material have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions in use. The third material is different to the first material or second materials.
[0027] In other words, in a situation where one of the first or second materials is a material subject to blistering when bombarded with hydrogen, in the protective region, the material subject to blistering is substituted, in the multilayer structure, by the third material, which is highly resistant to blistering under such circumstances.
[0028] The fourth material may be the same as the first or second material. For instance, the fourth material may comprise or be (i.e. consists essentially of) molybdenum. The third material may be selected from carbides, nitrides, oxides and silicides. Suitably, the anion is an element having low absorption for EUV radiation. For carbides, materials included are diamond-like carbon, silicon carbide, molybdenum carbide (e.g. M02C or non-stoichiometric molybdenum carbide), boron carbide (e.g. B4C, B9C, or non-stoichiometric boron carbides), ruthenium carbide, yttrium carbide, zirconium carbide, niobium carbide, lanthanum carbide titanium carbide or the like. For silicides, materials included are molybdenum silicide, boron silicide, yttrium silicide, zirconium silicide, niobium silicide, lanthanum silicide, ruthenium silicide, titanium silicide and the like. For nitrides, suitable materials may be silicon nitride (e.g. S13N4 or SiNx), boron nitride molybdenum nitride, ruthenium nitride, yttrium nitride, zirconium nitride, niobium nitride, lanthanum nitride, titanium nitride and the like. For oxides, suitable materials include titanium oxide, molybdenum oxide, yttrium oxide, zirconium oxide, niobium oxide, lanthanum oxide, ruthenium oxide.
[0029] Particularly suitable materials are diamond-like carbon, silicon carbide, silicon nitride (e.g. Si3N4 or SiNx), boron carbide (e.g. B4C, B9C, or non-stoichiometric boron carbides), boron nitride and molybdenum carbide (e.g. Mo2C or non-stoichiometric molybdenum carbide).
[0030] In a preferred arrangement, the fourth material may be molybdenum and the third material silicon nitride. This is particularly preferred when the first material is silicon and the second material is molybdenum in the multilayer stack.
[0031] The protective layer may suitably comprise from 1 to 3 pairs of alternating layers, such as 1 , 2 or three pairs of layers. A further final layer of either the third or fourth material may be provided as an outermost layer, maintaining the alternation of refractive index, if required.
[0032] The mirror may be arranged as a collector mirror for radiation, such as EUV radiation having a wavelength from about 5 to about 20 nm. Particularly, the collector mirror may be arranged for use as a normal incidence collector mirror, for instance suitable for use with an LPP generator of EUV radiation.
[0033] The mirror may be adapted to reflect radiation having a wavelength from about 1 1 to about 16 nm, or in another arrangement according to the invention, the mirror may be adapted to reflect radiation having a wavelength from about 6.4 nm to about 7.2 nm.
[0034] An aspect of the invention provides a source-collector assembly (also referred to herein as a source-collector module) for device lithography, arranged for generation and collection of radiation having a wavelength from about 5 to about 20nm (i.e. EUV radiation), comprising a radiation generator and a collector mirror, wherein the collector mirror is a mirror according to an aspect of the invention.
[0035] The radiation generator of this aspect of the invention may be arranged to produce radiation from a laser produced plasma in use. [0036] An aspect of the invention provides a lithographic projection apparatus arranged to project a pattern from a patterning device onto a substrate, wherein the lithographic apparatus comprises a mirror according to an aspect of the invention. The lithographic apparatus may further comprise
an illumination system configured to condition a radiation beam;
a support structure constructed to hold a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam;
a substrate table constructed to hold a substrate; and a projection system configured to project the patterned radiation beam onto a target portion of the substrate.
[0037] For instance, a specific arrangement of this aspect may provide a lithographic apparatus comprising:
a generator of EUV radiation
a collector configured to reflect said EUV radiation, the collector comprising a multilayer mirror comprising alternating pairs of layers of molybdenum and silicon having a protective region disposed thereon comprising from 1 to 5 alternating pairs of layers of molybdenum and silicon nitride,
an illumination system configured to condition said EUV radiation into a radiation beam;
a support structure constructed to hold a patterning device, the patterning device being capable of imparting said radiation beam with a pattern in its cross-section to form a patterned radiation beam;
a substrate table constructed to hold a substrate; and
a projection system configured to project said patterned radiation beam onto a target portion of the substrate.
[0038] An aspect of the invention provides a method of forming a mirror configured to reflect radiation having a wavelength from about 5 to about 20 nm, the method comprising:
providing a multilayer stack of pairs of alternating layers of a first material of first refractive index and a second material of second refractive index for said radiation, wherein the first refractive index is higher than the second refractive index, and the first and second materials have a high transmittivity for said radiation,
providing thereon a protective region disposed on the multilayer stack, the protective region comprising from 1 to 5 pairs of alternating layers of a third material of third refractive index and a fourth material of fourth refractive index,
wherein the third refractive index differs from the fourth refractive index, wherein the protective region is disposed on the multilayer stack so that alternation of magnitude of refractive index continues from the multilayer stack through the protective region.
wherein the third material and fourth material have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions in use,
and wherein the third material is different to the first or second materials.
[0039] Another aspect of the invention provides a mirror configured for reflection of radiation having a wavelength from about 5 to about 20nm, comprising a multilayer stack of pairs of alternating layers of a first material of first refractive index and a second material of second refractive index for said radiation,
wherein the first refractive index is higher than the second refractive index, and the first and second materials have a high transmittivity for said radiation,
wherein a cap layer is disposed on the stack, the cap layer comprising boron nitride, preferably cubic boron nitride.
BRIEF DESCRIPTION OF THE DRAWINGS
[0040] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, and in which:
[0041] Figure 1 schematically depicts a lithographic apparatus according to an embodiment of the present invention;
[0042] Figure 2 schematically depicts a side view of an embodiment of an EUV illumination system and projection system of the lithographic projection apparatus of Figure 1 ; [0043] Figure 3 schematically depicts a view of a laser produced plasma source-collector module/assembly of the apparatus of Figure 1 in accordance with an embodiment of the present invention;
[0044] Figure 4 schematically depicts a multilayer mirror that may be used in the collector module/assembly of Figure 3 in accordance with an embodiment of the present invention;
[0045] Figure 5 schematically depicts a multilayer mirror that may be used in the source-collector module/assembly of Figure 3 in accordance with an embodiment of the present invention;
[0046] Figure 6 schematically depicts a multilayer mirror that may be used in the source-collector module/assembly of Figure 3 in accordance with an embodiment of the present invention; and.
[0047] Figure 7 schematically depicts a modification of the multilayer mirror of Figure 4.
DETAILED DESCRIPTION
[0048] Figure 1 schematically depicts a lithographic apparatus 100 according to an embodiment of the invention. The apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation); a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device; a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and a projection system (e.g. a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
[0049] The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. [0050] The support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system.
[0051] The term "patterning device" should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. The pattern imparted to the radiation beam may correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
[0052] The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
[0053] The projection system, like the illumination system, may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of a vacuum. It may be desired to use a vacuum for EUV radiation since gases may absorb too much radiation. A vacuum environment may therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps. Some gas may be provided in some parts of the lithographic apparatus, for example to allow gas flow to be used to reduce the likelihood of contamination reaching optical components of the lithographic apparatus. [0054] As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask).
[0055] The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such "multiple stage" machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
[0056] Referring to Figure 1 , the illuminator IL receives an extreme ultra violet (EUV) radiation beam from the source-collector module/assembly SO. Methods to produce EUV light include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range. In one such method, often termed laser produced plasma ("LPP"), the desired plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the required line-emitting element, with a laser beam. The source-collector module/assembly SO may be part of an EUV radiation system including a laser, not shown in Figure 1 , for providing the laser beam exciting the fuel. The resulting plasma emits output radiation, e.g. EUV radiation, which is collected using a radiation collector, disposed in the source-collector module/assembly. The laser and the source-collector module/assembly may be separate entities, for example when a CO2 laser is used to provide the laser beam for fuel excitation.
[0057] In such cases, the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source-collector module/assembly with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases, the source may be an integral part of the source-collector module/assembly or assembly, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
[0058] The illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
[0059] The radiation beam B is incident on the patterning device (e.g. mask) MA, which is held on the support structure (e.g. mask table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B. Patterning device (e.g. mask) MA and substrate W may be aligned using mask alignment marks M1 , M2 and substrate alignment marks P1 , P2.
[0060] The depicted apparatus could be used in at least one of the following modes:
[0061] 1 . In step mode, the support structure (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
[0062] 2. In scan mode, the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure (e.g. mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS.
[0063] 3. In another mode, the support structure (e.g. mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
[0064] Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed.
[0065] Figure 2 shows the apparatus 100 in more detail, including the source-collector module/assembly SO, the illumination system IL, and the projection system PS. The source-collector module/assembly SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 220 of the source-collector module/assembly SO. An EUV radiation emitting plasma 210 may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the very hot plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum. The very hot plasma
210 is created by, for example, an electrical discharge causing an at least partially ionized plasma. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation. In an embodiment, a plasma of excited tin (Sn) is provided to produce EUV radiation.
[0066] The radiation emitted by the hot plasma 210 is passed from a source chamber
21 1 into a collector chamber 212 via an optional gas barrier or contaminant trap 230 (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber 21 1 . The contaminant trap 230 may include a channel structure. Contamination trap 230 may also include a gas barrier or a combination of a gas barrier and a channel structure. The contaminant trap or contaminant barrier 230 further indicated herein at least includes a channel structure, as known in the art.
[0067] The collector chamber 21 1 may include a radiation collector CO which may be a so-called grazing incidence collector. Radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. Radiation that traverses collector CO can be reflected off a grating spectral filter 240 to be focused in a virtual source point IF. The virtual source point IF is commonly referred to as the intermediate focus, and the source-collector module/assembly is arranged such that the intermediate focus IF is located at or near an opening 221 in the enclosing structure 220. The virtual source point IF is an image of the radiation emitting plasma 210.
[0068] Subsequently the radiation traverses the illumination system IL, which may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21 , at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA. Upon reflection of the beam of radiation 21 at the patterning device MA, held by the support structure MT, a patterned beam 26 is formed and the patterned beam 26 is imaged by the projection system PS via reflective elements 28, 30 onto a substrate W held by the wafer stage or substrate table WT.
[0069] More elements than shown may generally be present in illumination optics unit IL and projection system PS. The grating spectral filter 240 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the figures, for example there may be 1 - 6 additional reflective elements present in the projection system PS than shown in Figure 2.
[0070] Collector optic CO, as illustrated in Figure 2, is depicted as a nested collector with grazing incidence reflectors 253, 254 and 255, just as an example of a collector (or collector mirror). The grazing incidence reflectors 253, 254 and 255 are disposed axially symmetric around an optical axis O and a collector optic CO of this type is preferably used in combination with a discharge produced plasma source, often called a DPP source.
[0071] Alternatively, the source-collector module/assembly SO may be part of an LPP radiation system as shown in Figure 3. A laser LA is arranged to deposit laser energy into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li), creating the highly ionized plasma 210 with electron temperatures of several 10's of eV. The energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma, collected by a near normal incidence collector optic CO and focused onto the opening 221 in the enclosing structure 220.
[0072] Figure 4 schematically illustrates a first embodiment of a collector mirror 300 as normal incidence collector optic CO of Figure 3. As illustrated, the collector optic CO includes a multilayer mirror 300 according to an aspect of the invention that includes pairs of alternating layers 310; 320 of a second material (of molybdenum in this embodiment) and a first material (silicon in this embodiment) respectively that alternate with each other to create a multilayer stack. At the uppermost portion of the collector optic CO, a protective region is present, formed of a single pair of layers 310/322. For this protective region, the topmost layer 322 is a layer 322 of non-reactive material, which is Si3N4 in this particular embodiment, having a refractive index for EUV higher than that of the adjacent molybdenum layer 310. As used herein, the term "topmost" is intended to mean the layer(s) that receive radiation that is incident on the collector optic CO, prior to the rest of the multilayer stack.
[0073] Figure 5 schematically illustrates a second embodiment of a multilayer mirror 400 that may be used as collector mirror for the collector optic CO of Figure 3. As illustrated, the protective layer forming the topmost part of the collector mirror has two pairs of alternating layers 310; 322 of molybdenum and silicon nitride Si3N4 respectively.
[0074] Figure 6 schematically illustrates a third embodiment of a multilayer mirror 500 that may be used as collector mirror for the collector optic CO of Figure 3. As illustrated, the protective region in this third embodiment is of three pairs of alternating layers 310; 322 of molybdenum and silicon nitride Si3N4 respectively.
[0075] In any of the embodiments illustrated in Figures 4-6, the orders of the layers may be reversed, or a further layer, or layers, in alternating refractive index sequence, may be added over the topmost layer or under the lowermost layer. In an embodiment in which each layer of Si in the multilayer mirror stack is replaced with, for example, Si3N4, a relative reflectivity loss of up to about 20% may occur. In an embodiment in which only 1 to 3 of the topmost Si layers are replaced with Si3N4 such as in the embodiments illustrated if Figures 4-6, a relative transmission loss of about 5-10% is expected to occur.
[0076] Using ammonia (NH3) in addition to any gas of molecular hydrogen, hydrogen ions or hydrogen radicals present near the mirror may reduce the erosion rate of a Si3N4 layer as the topmost layer. Hydrogen radicals insert into silicon nitride, attacking bonds between Si and N in the lattice. Excess hydrogen radicals terminate Si dangling bonds and volatilize N through formation of NHX species. Hydrogen plasma is thus capable of enhancing etch of Si3N4 due to presence of hydrogen radicals and ion bombardment.
[0077] Such use of NH3 or an other nitrogen-containing gas may thus reduce the rate of etching of the Si3N4 layer. Dissociation of NH3 will generate nitrogen radicals and nitrogen radical flux will reconvert Si-H bonds to Si-N bonds. NH3 : H2 gas ratio of 1 :100 to 50:100 is recommended. The ratio of NH3 (or N2) to H2 may be optimized for extension of barrier lifetime while keeping the loss in 13.5 nm wavelength radiation transmission at a minimum. An example of an other nitrogen-containing gas is N2.
[0078] The formation of the mirror of the invention may be carried out by standard multilayer deposition methods, such as deposition of the alternating layers by sputtering and/or chemical vapour deposition onto a substrate. For the protective region, the deposition process merely needs to be modified in order to replace one of the first or second materials with the third material (and to replace the other of the first and second materials with the fourth material, as required).
[0079] The invention provides a number of technical benefits over the prior art. The mirror is arranged so that radiation for reflection is incident upon the protective region before being incident upon the multilayer stack. As the third material and fourth material have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions in use, the mirror may be protected from blistering. The third material is different to the first material or second materials, so in a situation where one of the first or second materials is a material subject to blistering when bombarded with hydrogen, the material subject to blistering is substituted in the protective region, in the multilayer structure of the invention, by the third material, which is highly resistant to blistering under such circumstances. The third material may have a relatively low transmissivity for EUV radiation, say less than 95%, but because only a few pairs of layers are present in the protective region, the overall loss in transmissivity for the mirror is small, but the protective region may have adequate thickness to prevent hydrogen from penetrating into the multilayer stack and causing blistering in use.
[0080] The fourth material may be the same as the first or second material. This has the advantage that in manufacture of the mirror of the invention, only three material types are needed, hence simplifying the process. In a preferred arrangement, the fourth material may be molybdenum and the third material silicon nitride. This is particularly preferred when the first material is silicon and the second material is molybdenum in the multilayer stack. Hence, the protective region may be easily formed, for instance, by modifying chemical deposition or sputtering of the silicon layers in a deposition process by addition of nitrogen into the deposited layers for the protective region.
[0081] The mirror is of particular use as a collector mirror for a plasma source where hydrogen may be present in the plasma in use, for instance for an LPP source where hydrogen may be used to provide scavenging of debris and deposits. Where such a collector mirror may have to be located close to the plasma source, there is risk of hydrogen impinging on the mirror and causing blistering for prior art mirrors. The mirror of the invention reduces or eliminates the risk of such blistering.
[0082] Figure 7 schematically illustrates yet a further embodiment of a multilayer mirror 600 that may be used as collector mirror for the collector optic CO of Figure 3. The embodiment is a modification of the embodiment of Figure 4. Layer 322 in the embodiment of Figure 4 is replaced in Figure 7 with a layer 324. The layer 324 is, in the embodiment of Figure 7, a layer 324 of boron nitride, preferably cubic boron nitride which is a very etch resistant material.
[0083] Cubic boron nitride is an extremely hard material, second only to diamond. A B-N bond, i.e. a bond between a boron atom and a nitrogen atom, typically has a bond energy of 167 kcal/mol. A Si-N bond, i.e. a bond between a silicon atom and a nitrogen atom, typically has a bond energy of 105 kcal/mol. The strong B-N bond reduces the rate at which hydrogen radicals/ions which may be present near collector mirrors for the collector optic CO of figure 3 can break B-N bonds. Since chemical processes show exponential dependence on activation energy the high B-N bond strength (about 60% higher than that of Si-N) dramatically reduces the erosion rate of boron nitride relative to silicon nitride for hydrogen radical exposure.
[0084] Addition of a small amount (preferably less than about 2%) of BH3 and NH3 (or N2) to any gas of molecular hydrogen, hydrogen ions or hydrogen radicals present near the mirror 600 will further enhance erosion resistance of the layer 324 of boron nitride by reinserting nitrogen and boron on surface dangling bonds created by hydrogen plasma in the source since B-N bonds are strongly favored over B-H bonds and N-H bonds. Cubic boron nitride and silicon nitride have similar transmissions for radiation having a wavelength of about 13.5 nm. For a 10 nm film, cubic boron nitride has a transmission of 83% relative to 86% for silicon nitride. [0085] Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc.
[0086] Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography.
[0087] The terms "radiation" and "beam" used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams.
[0088] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the multilayer mirror may be used in any application in which reflection of radiation having a wavelength in the range of about 6.4 nm to about 7.2 nm is desirable or required (e.g. in a radiation source, an alignment system, or the like).
[0089] The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.

Claims

WHAT IS CLAIMED IS:
1 . A mirror configured for reflection of radiation having a wavelength from about 5 to about 20nm, comprising a multilayer stack of pairs of alternating layers of a first material of first refractive index and a second material of second refractive index for said radiation, wherein the first refractive index is higher than the second refractive index, and the first and second materials have a high transmittivity for said radiation, wherein a protective region is disposed on the stack, the protective region comprising from 1 to 5 pairs of alternating layers of a third material of third refractive index and a fourth material of fourth refractive index, wherein the third refractive index differs from the fourth refractive index, wherein the protective region is disposed on the multilayer stack, so that alternation of magnitude of refractive index continues from the multilayer stack through the protective region, wherein the mirror is arranged so that radiation for reflection is incident upon the protective region before being incident upon the multilayer stack, wherein the third material and fourth material have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions in use, and wherein the third material is different to the first or second materials.
2. The mirror of claim 1 wherein the fourth material is the same as the first or second material.
3. The mirror of claim 1 or claim 2 wherein the fourth material comprises or consists essentially of molybdenum.
4. The mirror of any preceding claim wherein the third material is selected from carbides, nitrides, oxides and silicides.
5. The mirror of claim 4 wherein the third material is selected from diamond-like carbon, silicon carbide, silicon nitride, boron carbide, boron nitride and molybdenum carbide.
5. The mirror of claim 4 wherein the fourth material is molybdenum and the third material is silicon nitride.
6. The mirror of claim 5 wherein the first material is silicon and the second material is molybdenum.
7. The mirror of any preceding claim wherein the protective region comprises from 1 to 3 pairs of alternating layers.
8. A mirror configured for reflection of radiation having a wavelength from about 5 to about 20nm, comprising a multilayer stack of pairs of alternating layers of a first material of first refractive index and a second material of second refractive index for said radiation, wherein the first refractive index is higher than the second refractive index, and the first and second materials have a high transmittivity for said radiation, wherein a cap layer is disposed on the stack, cap layer comprising boron nitride.
9. The mirror of claim 8, wherein the boron nitride is cubic boron nitride.
10. The mirror of any proceeding claim wherein the mirror is arranged as a collector mirror for said radiation.
1 1 . The mirror of claim 10 wherein the collector mirror is arranged as a normal incidence collector mirror.
12. The mirror of any preceding claim, wherein the mirror is adapted to reflect radiation having a wavelength from about 1 1 to about 16 nm.
13. The mirror of any one of claims 1 to 1 1 , wherein the mirror is adapted to reflect radiation having a wavelength from about 6.4 nm to about 7.2 nm.
14. A source-collector assembly for device lithography arranged for generation and collection of radiation having a wavelength from about 5 to about 20nm, comprising a radiation generator and a collector mirror, wherein the collector mirror is a mirror according to any preceding claim.
15. A source-collector assembly according to claim 14, wherein the radiation generator is arranged to produce radiation from a laser produced plasma in use.
16. A lithographic projection apparatus arranged to project a pattern from a patterning device onto a substrate, wherein the lithographic apparatus comprises a mirror according to any one of claims 1 to 13.
17. The lithographic apparatus of claim 16, further comprising:
an illumination system configured to condition a radiation beam;
a support structure constructed to hold a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam;
a substrate table constructed to hold a substrate; and a projection system configured to project the patterned radiation beam onto a target portion of the substrate.
18. A method of forming a mirror configured to reflect radiation having a wavelength from about 5 to about 20 nm, the method comprising: providing a multilayer stack of pairs of alternating layers of a first material of first refractive index and a second material of second refractive index for said radiation, wherein the first refractive index is higher than the second refractive index, and the first and second materials have a high transmittivity for said radiation, providing thereon a protective region disposed on the multilayer stack, the protective region comprising from 1 to 5 pairs of alternating layers of a third material of third refractive index and a fourth material of fourth refractive index, wherein the third refractive index differs from the fourth refractive index, wherein the protective region is disposed on the multilayer stack so that alternation of magnitude of refractive index continues from the multilayer stack through the protective region. wherein the third material and fourth material have a high resistance to blister formation when subjected to bombardment by hydrogen atoms or ions in use, and wherein the third material is different to the first or second materials.
19. A lithographic apparatus comprising:
a generator of EUV radiation
a collector configured to reflect said EUV radiation, the collector comprising a multilayer mirror comprising alternating pairs of layers of molybdenum and silicon having a protective region disposed thereon comprising from 1 to 5 alternating pairs of layers of molybdenum and silicon nitride, an illumination system configured to condition said EUV radiation into a radiation beam;
a support structure constructed to hold a patterning device, the patterning device being capable of imparting said radiation beam with a pattern in its cross-section to form a patterned radiation beam;
a substrate table constructed to hold a substrate; and
a projection system configured to project said patterned radiation beam onto a target portion of the substrate.
PCT/EP2012/053534 2011-04-04 2012-03-01 Mirror, radiation source - collector and lithographic apparatus WO2012136420A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161471547P 2011-04-04 2011-04-04
US61/471,547 2011-04-04
US201261604890P 2012-02-29 2012-02-29
US61/604,890 2012-02-29

Publications (1)

Publication Number Publication Date
WO2012136420A1 true WO2012136420A1 (en) 2012-10-11

Family

ID=45811487

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2012/053534 WO2012136420A1 (en) 2011-04-04 2012-03-01 Mirror, radiation source - collector and lithographic apparatus

Country Status (3)

Country Link
NL (1) NL2008391A (en)
TW (1) TW201245902A (en)
WO (1) WO2012136420A1 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013092094A1 (en) * 2011-12-23 2013-06-27 Asml Netherlands B.V. Radiation source and method for lithographic apparatus and device manufacture
JP2016146407A (en) * 2015-02-06 2016-08-12 豊田合成株式会社 Optical multilayer film and light emitting element
DE102017213172A1 (en) 2017-07-31 2017-09-14 Carl Zeiss Smt Gmbh Method for applying a cover layer and reflective optical element
DE102017211824A1 (en) 2017-07-11 2017-09-21 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus
CN107790730A (en) * 2017-10-20 2018-03-13 北京航空航天大学 A kind of method that high-temperature oxidation resistant coating is prepared in Nb Si based alloys
DE102017213178A1 (en) 2017-07-31 2018-06-21 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus
WO2018114159A1 (en) 2016-12-23 2018-06-28 Carl Zeiss Smt Gmbh Optical element, in particular for a microlithographic projection exposure apparatus
DE102017200667A1 (en) 2017-01-17 2018-07-19 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus or an inspection system
US10082424B2 (en) 2014-05-07 2018-09-25 Asml Netherlands B.V. Diamond-based monitoring apparatus for lithographic apparatus, and a lithographic apparatus comprising diamond-based monitoring apparatus
US10649340B2 (en) 2016-07-27 2020-05-12 Carl Zeiss Smt Gmbh Reflective optical element for EUV lithography
WO2020142302A1 (en) * 2019-01-04 2020-07-09 Kla Corporation Boron-based capping layers for euv optics

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9558858B2 (en) * 2013-08-14 2017-01-31 Kla-Tencor Corporation System and method for imaging a sample with a laser sustained plasma illumination output
DE102016217633A1 (en) * 2016-09-15 2018-03-15 Carl Zeiss Smt Gmbh Optical arrangement, in particular in a projection exposure apparatus for EUV lithography

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1065532A2 (en) 1999-07-02 2001-01-03 Asm Lithography B.V. Multilayer extreme-ultraviolet mirror with enhanced reflectivity and lithographic projection apparatus comprising the mirror
US20030008180A1 (en) * 2001-07-03 2003-01-09 The Regents Of The University Of California Optimized capping layers for EUV multilayers
US6563907B1 (en) * 2001-12-07 2003-05-13 Euv Llc Radiation source with shaped emission
US20050118835A1 (en) * 2003-10-02 2005-06-02 Asml Netherlands B.V. Lithographic projection apparatus, mirror, method of supplying a protective cap layer, device manufacturing method and device manufactured accordingly
US20050277030A1 (en) * 2004-06-09 2005-12-15 Pei-Yang Yan Interference multilayer capping design for multilayer reflective mask blanks
EP1944652A1 (en) * 2007-01-10 2008-07-16 Carl Zeiss SMT AG A method for operating a euv lithography apparatus, and a euv lithography apparatus
DE102008040265A1 (en) * 2008-07-09 2010-01-14 Carl Zeiss Smt Ag Reflective optical element and method for its production
US20100071720A1 (en) * 2008-09-19 2010-03-25 Carl Zeiss Smt Ag Method and system for removing contaminants from a surface
WO2011032768A2 (en) * 2009-09-16 2011-03-24 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1065532A2 (en) 1999-07-02 2001-01-03 Asm Lithography B.V. Multilayer extreme-ultraviolet mirror with enhanced reflectivity and lithographic projection apparatus comprising the mirror
US20030008180A1 (en) * 2001-07-03 2003-01-09 The Regents Of The University Of California Optimized capping layers for EUV multilayers
US6563907B1 (en) * 2001-12-07 2003-05-13 Euv Llc Radiation source with shaped emission
US20050118835A1 (en) * 2003-10-02 2005-06-02 Asml Netherlands B.V. Lithographic projection apparatus, mirror, method of supplying a protective cap layer, device manufacturing method and device manufactured accordingly
US20050277030A1 (en) * 2004-06-09 2005-12-15 Pei-Yang Yan Interference multilayer capping design for multilayer reflective mask blanks
EP1944652A1 (en) * 2007-01-10 2008-07-16 Carl Zeiss SMT AG A method for operating a euv lithography apparatus, and a euv lithography apparatus
DE102008040265A1 (en) * 2008-07-09 2010-01-14 Carl Zeiss Smt Ag Reflective optical element and method for its production
US20100071720A1 (en) * 2008-09-19 2010-03-25 Carl Zeiss Smt Ag Method and system for removing contaminants from a surface
WO2011032768A2 (en) * 2009-09-16 2011-03-24 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013092094A1 (en) * 2011-12-23 2013-06-27 Asml Netherlands B.V. Radiation source and method for lithographic apparatus and device manufacture
US10082424B2 (en) 2014-05-07 2018-09-25 Asml Netherlands B.V. Diamond-based monitoring apparatus for lithographic apparatus, and a lithographic apparatus comprising diamond-based monitoring apparatus
JP2016146407A (en) * 2015-02-06 2016-08-12 豊田合成株式会社 Optical multilayer film and light emitting element
US10649340B2 (en) 2016-07-27 2020-05-12 Carl Zeiss Smt Gmbh Reflective optical element for EUV lithography
DE102016226202A1 (en) 2016-12-23 2018-06-28 Carl Zeiss Smt Gmbh Optical element, in particular for a microlithographic projection exposure apparatus
US10578974B2 (en) 2016-12-23 2020-03-03 Carl Zeiss Smt Gmbh Optical element, in particular for a microlithographic projection exposure apparatus
WO2018114159A1 (en) 2016-12-23 2018-06-28 Carl Zeiss Smt Gmbh Optical element, in particular for a microlithographic projection exposure apparatus
DE102017200667A1 (en) 2017-01-17 2018-07-19 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus or an inspection system
WO2018134077A1 (en) 2017-01-17 2018-07-26 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus or an inspection system
US11086055B2 (en) 2017-01-17 2021-08-10 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus or an inspection system
DE102017211824A1 (en) 2017-07-11 2017-09-21 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus
DE102017213178A1 (en) 2017-07-31 2018-06-21 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus
DE102017213172A1 (en) 2017-07-31 2017-09-14 Carl Zeiss Smt Gmbh Method for applying a cover layer and reflective optical element
CN107790730A (en) * 2017-10-20 2018-03-13 北京航空航天大学 A kind of method that high-temperature oxidation resistant coating is prepared in Nb Si based alloys
WO2020142302A1 (en) * 2019-01-04 2020-07-09 Kla Corporation Boron-based capping layers for euv optics
US11268911B2 (en) 2019-01-04 2022-03-08 Kla-Tencor Corporation Boron-based capping layers for EUV optics
EP3906434A4 (en) * 2019-01-04 2023-04-05 KLA Corporation Boron-based capping layers for euv optics

Also Published As

Publication number Publication date
NL2008391A (en) 2012-10-08
TW201245902A (en) 2012-11-16

Similar Documents

Publication Publication Date Title
WO2012136420A1 (en) Mirror, radiation source - collector and lithographic apparatus
US9773578B2 (en) Radiation source-collector and method for manufacture
US9897930B2 (en) Optical element comprising oriented carbon nanotube sheet and lithographic apparatus comprising such optical element
US8665420B2 (en) Spectral purity filter and lithographic apparatus
WO2013152921A1 (en) Pellicle, reticle assembly and lithographic apparatus
JP5752786B2 (en) Multilayer mirror and method for improving its robustness
US20100328639A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
US9472313B2 (en) Reflective optical components for lithographic apparatus and device manufacturing method
US20120170015A1 (en) Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus
US9007565B2 (en) Spectral purity filter
WO2016058822A1 (en) Radiation source-collector and method for manufacture
EP2283396B1 (en) Multilayer mirror and lithographic apparatus
US9046780B2 (en) Multilayer mirror and lithographic apparatus
WO2013092094A1 (en) Radiation source and method for lithographic apparatus and device manufacture
NL2011761A (en) Radiation source-collector and method for manufacture.
NL2004994A (en) MULTILAYER MIRROR.

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12707739

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12707739

Country of ref document: EP

Kind code of ref document: A1