DE102017213172A1 - Method for applying a cover layer and reflective optical element - Google Patents

Method for applying a cover layer and reflective optical element Download PDF

Info

Publication number
DE102017213172A1
DE102017213172A1 DE102017213172.1A DE102017213172A DE102017213172A1 DE 102017213172 A1 DE102017213172 A1 DE 102017213172A1 DE 102017213172 A DE102017213172 A DE 102017213172A DE 102017213172 A1 DE102017213172 A1 DE 102017213172A1
Authority
DE
Germany
Prior art keywords
cover layer
layer
reflective coating
optical element
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102017213172.1A
Other languages
German (de)
Inventor
Andrea llliberi
Dirk Heinrich Ehm
Fred Roozeboom
Moritz Becker
Edwin te Sligte
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMT GmbH
Original Assignee
Carl Zeiss SMT GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMT GmbH filed Critical Carl Zeiss SMT GmbH
Priority to DE102017213172.1A priority Critical patent/DE102017213172A1/en
Publication of DE102017213172A1 publication Critical patent/DE102017213172A1/en
Ceased legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/14Protective coatings, e.g. hard coatings
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • G02B5/0825Multilayer mirrors, i.e. having two or more reflecting layers the reflecting layers comprising dielectric materials only
    • G02B5/0833Multilayer mirrors, i.e. having two or more reflecting layers the reflecting layers comprising dielectric materials only comprising inorganic materials only
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Die Erfindung betrifft ein Verfahren zur Aufbringen einer Decksicht (5) auf eine reflektierende Beschichtung (7) oder auf ein Substrat eines optischen Elements (1) zur Reflexion von Licht, welches zumindest eine Wellenlänge im EUV-Wellenlängenbereich aufweist, das Verfahren umfassend: Aufbringen der Deckschicht (5) mittels Atomlagenabscheidung, bevorzugt mittels räumlicher Atomlagenabscheidung, wobei bevorzugt vor dem Aufbringen der Deckschicht (5) mindestens eine Schutzschicht (4) auf die reflektierende Beschichtung (7) aufgebracht wird, die ein Metall, insbesondere ein Edelmetall, enthält. Die Erfindung betrifft auch ein optisches Element (1) zur Reflexion von Licht, welches zumindest eine Wellenlänge im EUV-Wellenlängenbereich aufweist, umfassend: eine reflektierende Beschichtung (7) sowie eine auf die reflektierende Beschichtung (7) oder auf ein Substrat des optischen Elements (1) durch Atomlagendeposition aufgebrachte Deckschicht (5), die bevorzugt eine Dicke von weniger als 10 Monolagen, weniger als 5 Monolagen oder genau einer Monolage aufweist. The invention relates to a method for applying a cover sheet (5) to a reflective coating (7) or to a substrate of an optical element (1) for reflecting light having at least one wavelength in the EUV wavelength range, the method comprising: applying the Cover layer (5) by means of atomic layer deposition, preferably by means of spatial atomic layer deposition, wherein preferably before applying the cover layer (5) at least one protective layer (4) is applied to the reflective coating (7) containing a metal, in particular a noble metal. The invention also relates to an optical element (1) for reflecting light which has at least one wavelength in the EUV wavelength range, comprising: a reflective coating (7) and one on the reflective coating (7) or on a substrate of the optical element ( 1) deposited by Atomlagendeposition cover layer (5), which preferably has a thickness of less than 10 monolayers, less than 5 monolayers or exactly one monolayer.

Figure DE102017213172A1_0001
Figure DE102017213172A1_0001

Description

Hintergrund der Erfindung Background of the invention

Die Erfindung betrifft ein Verfahren zum Aufbringen einer Deckschicht auf eine reflektierende Beschichtung und/oder auf ein Substrat eines optischen Elements zur Reflexion von Licht, welches zumindest eine Wellenlänge im EUV-Wellenlängenbereich aufweist. Die Erfindung betrifft auch ein optisches Element zur Reflexion von Licht, welches zumindest eine Wellenlänge im EUV-Wellenlängenbereich aufweist. Derartige optische Elemente können beispielsweise in Systemen für die optische Lithographie im EUV-Wellenlängenbereich verwendet werden. The invention relates to a method for applying a cover layer to a reflective coating and / or to a substrate of an optical element for reflection of light, which has at least one wavelength in the EUV wavelength range. The invention also relates to an optical element for reflection of light, which has at least one wavelength in the EUV wavelength range. Such optical elements can be used, for example, in systems for optical lithography in the EUV wavelength range.

Zur Herstellung mikrostrukturierter oder nanostrukturierter Bauteile der Mikroelektronik oder Mikrosystemtechnik mittels optischer Lithografie werden projektionslithografische Anlagen eingesetzt. Solche projektionslithografischen Anlagen weisen ein Beleuchtungssystem zur Beleuchtung einer Photomaske (Retikels) mit Licht eines engen Spektralbereichs um eine Arbeitswellenlänge auf. Ferner weisen diese Anlagen ein projektionsoptisches System auf, um mit Hilfe des Lichts eine Struktur des Retikels auf eine lichtempfindliche Schicht eines Wafers zu projizieren. For the production of microstructured or nanostructured components of microelectronics or microsystem technology by means of optical lithography projection lithographic systems are used. Such projection lithographic systems have an illumination system for illuminating a photomask (reticle) with light of a narrow spectral range around a working wavelength. Furthermore, these systems have a projection optical system in order to project a structure of the reticle onto a photosensitive layer of a wafer with the aid of the light.

Um für die herzustellenden Halbleiter-Bauelemente eine möglichst kleine Strukturbreite zu erhalten, sind neuere projektionslithographische Anlagen auf eine Arbeitswellenlänge von z.B. 13,5 Nanometern ausgelegt, d.h. einer Wellenlänge im extrem ultravioletten (EUV-)Wellenlängenbereich. Grundsätzlich ist jedoch auch die Verwendung anderer Wellenlängen des EUV-Wellenlängenbereichs (d.h. in einem Bereich von im Wesentlichen 5 Nanometer bis im Wesentlichen 20 Nanometer) möglich. Da Wellenlängen in diesem Bereich von nahezu allen Materialen stark absorbiert werden, können keine transmissiven optischen Elemente verwendet werden, sondern es ist der Einsatz reflektiver optischer Elemente erforderlich. Solche reflektiven optischen Elemente können beispielsweise Spiegel, reflektiv arbeitende Monochromatoren, Kollimatoren oder Photomasken sein. Da EUV-Licht auch stark durch Luftmoleküle absorbiert wird, muss der Strahlengang der projektionslithographischen Anlage innerhalb einer Vakuumkammer angeordnet sein. In order to obtain the smallest possible feature width for the semiconductor devices to be produced, newer projection lithographic systems are designed for a working wavelength of e.g. 13.5 nanometers, i. a wavelength in the extreme ultraviolet (EUV) wavelength range. However, in principle, it is also possible to use other wavelengths of the EUV wavelength range (i.e., in a range of substantially 5 nanometers to substantially 20 nanometers). Since wavelengths in this range are strongly absorbed by almost all materials, no transmissive optical elements can be used, but the use of reflective optical elements is required. Such reflective optical elements may be, for example, mirrors, reflective monochromators, collimators or photomasks. Since EUV light is also strongly absorbed by air molecules, the beam path of the projection lithographic system must be arranged within a vacuum chamber.

Solche reflektiven optischen Elemente können auch bei anderen optischen Systemen verwendet werden, welche im Rahmen von EUV-Lithografieverfahren eingesetzt werden. Beispiele hierfür sind Metrologiesysteme zur Untersuchung von belichteten oder zu belichteten Wafern, zur Untersuchung von Retikeln, sowie zur Untersuchung weiterer Komponenten der projektionslithografischen Anlage, wie beispielsweise Spiegeln. Such reflective optical elements can also be used with other optical systems used in EUV lithography processes. Examples include metrology systems for the investigation of exposed or exposed wafers, for the study of reticles, as well as to study other components of the projection lithographic equipment, such as mirrors.

Das Restgas in der Vakuumkammer enthält Kohlenwasserstoffe, welche durch Ausgasungen von Komponenten innerhalb der Vakuumkammer herrühren. Solche ausgasenden Komponenten können beispielsweise Sensoren, Kabel, die Maske, oder der Photoresist des zu strukturierenden Wafers sein. Eine weitere Quelle für die Kohlenwasserstoffe können aber auch Dämpfe des Öls von Vakuumpumpen sein, welche in die Vakuumkammer diffundieren. Vor allem Kohlenwasserstoffe mit einer Massenzahl von mehr als 100 amu führen zu einer Kontamination von optischen Flächen der reflektiven optischen Elemente. Hierbei werden die Kohlenwasserstoffe zunächst adsorbiert, wobei sich ein dynamisches Gleichgewicht zwischen Adsorption und Desorption einstellt. Beim Betrieb der Projektionsbelichtungsanlage werden dann durch die Wechselwirkung des eingestrahlten EUV-Lichts mit den Materialien der optischen Elemente Photoelektronen erzeugt. Eine Wechselwirkung der erzeugten Photoelektronen mit den adsorbierten Kohlenwasserstoffen kann zu einer Dissoziation der adsorbierten Moleküle führen. Es können aber auch die adsorbierten Moleküle direkt durch das eingestrahlte EUV-Licht dissoziiert werden. Beide Prozesse führen zu einem Aufwachsen von Kohlenstoff-Kontaminationen auf den optischen Flächen. The residual gas in the vacuum chamber contains hydrocarbons resulting from outgassing of components within the vacuum chamber. Such outgassing components may be, for example, sensors, cables, the mask, or the photoresist of the wafer to be patterned. Another source of the hydrocarbons may also be vapors of the oil from vacuum pumps which diffuse into the vacuum chamber. Above all, hydrocarbons with a mass number of more than 100 amu lead to a contamination of optical surfaces of the reflective optical elements. In this case, the hydrocarbons are first adsorbed, which sets a dynamic balance between adsorption and desorption. During operation of the projection exposure apparatus, photoelectrons are then generated by the interaction of the irradiated EUV light with the materials of the optical elements. An interaction of the generated photoelectrons with the adsorbed hydrocarbons can lead to a dissociation of the adsorbed molecules. However, it is also possible for the adsorbed molecules to be dissociated directly by the irradiated EUV light. Both processes lead to the growth of carbon contaminants on the optical surfaces.

Zusätzlich zu den Kohlenwasserstoff-Kontaminationen kann es auch zu einer Oxidation der optischen Flächen kommen. Die Oxidation wird hauptsächlich von freien Sauerstoffradikalen verursacht, die durch Einwirkung der EUV-Strahlung auf Wassermoleküle oder Sauerstoffmoleküle erzeugt werden. Auch andere kontaminierende Stoffe, beispielsweise Silizium, können sich auf den optischen Flächen ablagern. In addition to the hydrocarbon contaminations, oxidation of the optical surfaces may also occur. The oxidation is mainly caused by free oxygen radicals, which are generated by the action of EUV radiation on water molecules or oxygen molecules. Other contaminants, such as silicon, can be deposited on the optical surfaces.

Sowohl das Aufwachsen der Kohlenstoff-Kontaminationen, die Oxidation oder die Anlagerung anderer Kontaminationen an den optischen Flächen führen zu einer zunehmenden Verschlechterung der Reflektivität der optischen Fläche und somit des reflektierenden optischen Elements. Bei einer projektionslithographischen Anlage führt dies zu einer Verringerung des Durchsatzes. Da in den derzeit realisierten projektionslithographischen Anlagen eine Reflexion des EUV-Lichts typischerweise an sechs bzw. an acht Spiegeln sowie an einem reflektiven Retikel erfolgt, können bereits geringere Verringerungen der Reflektivität bei einer jeden optischen Fläche zu einer starken Reduzierung des Durchsatzes führen. Both the growth of carbon contaminants, the oxidation or the accumulation of other contaminants on the optical surfaces lead to an increasing deterioration of the reflectivity of the optical surface and thus of the reflective optical element. In a projection lithographic system, this leads to a reduction in throughput. Since reflection of the EUV light typically takes place at six or at eight mirrors and at a reflective reticle in the projection lithographic installations currently realized, even smaller reductions in the reflectivity in each optical area can lead to a large reduction in the throughput.

Zur Reinigung der optischen Flächen von solchen Kontaminationen wurde vorgeschlagen, atomaren Wasserstoff zu verwenden. Unter dem Begriff „atomarer Wasserstoff“ wird im Rahmen dieser Anmeldung Wasserstoff in Form von Radikalen (H·) oder Ionen (H+) verstanden, aber auch molekularer Wasserstoff, der sich in einem angeregten Elektronenzustand (H2*) befindet. Der atomare Wasserstoff hat auf den kontaminierten Flächen den Effekt, dass die oxidativen Kontaminationen reduziert werden und dass die kohlenstoffhaltigen Rückstände der Kohlenstoff-Kontaminationen zu flüchtigen Verbindungen, wie beispielsweise Methan, reagieren. Auch andere Arten von kontaminierenden Stoffen können mit Hilfe von atomarem Wasserstoff von der kontaminierten optischen Fläche entfernt werden, sofern diese mit atomarem Wasserstoff zu flüchtigen Verbindungen reagieren. For cleaning the optical surfaces of such contaminants, it has been proposed to use atomic hydrogen. The term "atomic hydrogen" is hydrogen in the form of radicals (H *), or ions in the context of this application understood (H +), but also molecular hydrogen in an excited electronic state (H 2 *) is located. The atomic hydrogen has the effect on the contaminated areas that the oxidative contaminants are reduced and that the carbonaceous residues of the carbon contaminants react to volatile compounds such as methane. Other types of contaminants can also be removed from the contaminated optical surface by means of atomic hydrogen as long as they react with atomic hydrogen to form volatile compounds.

Der atomare Wasserstoff kann mit Hilfe des EUV-Lichts durch Dissoziation aus molekularem Wasserstoff erhalten werden. Zusätzlich kann in der Vakuumkammer auch ein Glühdraht angeordnet sein, um molekularen Wasserstoff in atomaren Wasserstoff zu spalten. Des Weiteren wurde vorgeschlagen, ein Wasserstoff-Plasma einzusetzen, um die optischen Flächen von Kontaminationen zu reinigen. The atomic hydrogen can be obtained by dissociation from molecular hydrogen by means of the EUV light. In addition, in the vacuum chamber, a filament may be arranged to split molecular hydrogen into atomic hydrogen. It has also been proposed to use a hydrogen plasma to clean the optical surfaces of contaminants.

Es wurde ferner vorgeschlagen, Wasserstoff als Spülgas zu verwenden. Beispielsweise ist aus der WO 2008/034582 bekannt, eine lokale Einkapselung von kontaminationsgefährdeten Komponenten, wie optischen Oberflächen, durch Teilgehäuse mit begrenztem Teilvolumina (sogenannte Mini-Environments) innerhalb der Vakuumkammer vorzusehen. Die Teilgehäuse werden dann mit Wasserstoff gespült, um das Eindringen von kontaminierenden Stoffen aus der Umgebung des Teilgehäuses zu erschweren. Kontaminierende Stoffe, welche innerhalb des Teilgehäuses freigesetzt werden, sollen mit dem Spülgas mitgenommen und über einen Auslass des Teilgehäuses in die Umgebung transportiert werden. Der Wasserstoff wird hierbei der EUV-Strahlung ausgesetzt, welche zur Belichtung des Wafers verwendet wird. Der dadurch ionisierte Wasserstoff kann dann mit anderen ionisierten Spezies sowie mit Elektronen ein Plasma bilden, welches die optischen Flächen von den Kohlenstoff-Kontaminationen und oxidativen Kontaminationen reinigt. It has also been proposed to use hydrogen as purge gas. For example, is from the WO 2008/034582 It is known to provide a local encapsulation of contaminated components, such as optical surfaces, by partial housings with limited partial volumes (so-called mini-environments) within the vacuum chamber. The sub-housings are then purged with hydrogen to make it more difficult for contaminants to enter from around the sub-housing. Contaminating substances which are released within the sub-housing should be taken with the purge gas and transported via an outlet of the sub-housing in the environment. The hydrogen is exposed to the EUV radiation which is used to expose the wafer. The thus ionized hydrogen can then form a plasma with other ionized species as well as with electrons, which cleans the optical surfaces of the carbon contaminants and oxidative contaminants.

Es hat sich jedoch gezeigt, dass es diesen Verfahren durch den Wasserstoff zu sogenanntem wasserstoffinduzierten Ausgasen kommt. Dies hat seinen Grund darin, dass einige Elemente bei Anwesenheit von Wasserstoff-Ionen und/oder Wasserstoffradikalen leichtflüchtige Hydride bilden. Beispiele für solche Elemente sind Zinn, Zink, Phosphor, Silizium, Blei und Fluor. Die Anwesenheit von Bauteilen in der Vakuum-Umgebung, welche zumindest eines dieser Elemente enthalten, kann in der Regel nicht vollständig vermieden werden. However, it has been shown that these processes result in so-called hydrogen-induced outgassing by the hydrogen. This is because some elements in the presence of hydrogen ions and / or hydrogen radicals form volatile hydrides. Examples of such elements are tin, zinc, phosphorus, silicon, lead and fluorine. The presence of components in the vacuum environment, which contain at least one of these elements can not be completely avoided in the rule.

Zwar konnte nachgewiesen werden, dass Adsorptionen aus Restgas-Metallhydriden verringert werden können, wenn die optischen Flächen an Schutzschichten aus Metalloxiden, wie beispielsweise ZrO2 oder TiO2, gebildet sind. Allerdings kommt es hierbei ggf. dennoch zu Adsorption bzw. zum Anhaften von Restgas-Silanen, die durch die derzeit verfügbaren In-situ-Reinigungsverfahren nicht entfernt werden können. Zudem sind Schutzschichten aus Metalloxiden in der Regel vergleichsweise dick und können daher zu einer Verringerung der Reflektivität des Spiegels führen. Although it has been demonstrated that adsorptions from residual gas metal hydrides can be reduced if the optical surfaces are formed on protective layers of metal oxides, such as ZrO 2 or TiO 2 . However, this may still result in adsorption or adhesion of residual gas silanes, which can not be removed by the currently available in-situ purification processes. In addition, protective layers of metal oxides are generally comparatively thick and can therefore lead to a reduction in the reflectivity of the mirror.

Die DE 10 2011 076011 A1 beschreibt ein reflektives optisches Element mit einer reflektiven Fläche und einem Viellagensystem, bei dem die reflektive Fläche ein Schutzlagensystem mit einer obersten Lage aus Siliziumcarbid oder Ruthenium aufweist, wobei das Schutzlagensystem eine Dicke zwischen 5 nm und 25 nm aufweist. In der DE 10 2012 202 850 A1 ist ein optisches Element beschrieben, bei dem die oberste Lage eines Schutzlagensystems ein Material aufweist, welches ausgewählt ist aus einer Gruppe von chemischen Verbindungen umfassend: Oxide, Karbide, Nitride, Silikate und Boride, insbesondere der folgenden chemischen Elemente: Y, Ce, Zr, Nb, Si, Ti, V, Mo, Mn, Al, W, Cr, La, Co, Ru, B, Hf, U, Be. The DE 10 2011 076011 A1 describes a reflective optical element having a reflective surface and a multilayer system in which the reflective surface has a protective layer system with a top layer of silicon carbide or ruthenium, wherein the protective layer system has a thickness between 5 nm and 25 nm. In the DE 10 2012 202 850 A1 an optical element is described in which the uppermost layer of a protective layer system comprises a material which is selected from a group of chemical compounds comprising: oxides, carbides, nitrides, silicates and borides, in particular the following chemical elements: Y, Ce, Zr, Nb, Si, Ti, V, Mo, Mn, Al, W, Cr, La, Co, Ru, B, Hf, U, Be.

Schutzschichten bzw. Schutzbeschichtungen aus unterschiedlichen Materialien für reflektive optische Elemente sind auch aus der US 2014/0098413 A1 bekannt geworden. Die EP 2 905 637 A1 beschreibt einen Mehrlagen-Spiegel mit einer Deckschicht mit einer Mehrlagen-Struktur, bei der die Schicht-Materialien so gewählt sind, dass diese eine Blister-Bildung verhindern sollen. In der WO 2012/136420 A1 ist ein Spiegel zur Reflexion von EUV-Strahlung beschrieben, der ein mehrlagiges Schutzschicht-System aufweist, dessen Materialien so gewählt sind, dass diese eine hohe Resistenz gegen Blister-Bildung aufweisen. Protective coatings or protective coatings of different materials for reflective optical elements are also known from US Pat US 2014/0098413 A1 known. The EP 2 905 637 A1 describes a multilayer mirror having a cover layer with a multilayer structure in which the layer materials are chosen so that they are to prevent blister formation. In the WO 2012/136420 A1 For example, there is described a mirror for reflecting EUV radiation comprising a multi-layered protective layer system whose materials are chosen to have high resistance to blistering.

Aus der DE 102 23 113 B4 ist ein Verfahren zur Herstellung einer photolithographischen Maske bekannt geworden, bei dem mindestens eine Schutzschicht aus einem chemisch und mechanisch widerstandsfähigen Material mittels des ALD-Verfahrens zur atomaren Schichtabscheidung auf einer Oberfläche eines Trägerelements aufgebracht wird, bevor eine Absorberstruktur auf der Oberfläche der Schutzschicht abgeschieden wird. From the DE 102 23 113 B4 discloses a method for producing a photolithographic mask, wherein at least one protective layer of a chemically and mechanically resistant material is applied by means of the ALD method for atomic layer deposition on a surface of a carrier element, before an absorber structure is deposited on the surface of the protective layer.

Aufgabe der Erfindung Object of the invention

Es ist die Aufgabe der vorliegenden Erfindung, ein Verfahren zum Aufbringen einer Deckschicht sowie ein reflektives optisches Element für den EUV-Wellenlängenbereich bereitzustellen, die eine effektivere Verhinderung der Abscheidung von Kontaminationen, insbesondere von Silanen, ermöglichen. It is the object of the present invention to provide a method for applying a cover layer and a reflective optical element for the EUV wavelength range, which allow a more effective prevention of the deposition of contaminants, in particular of silanes.

Gegenstand der Erfindung Subject of the invention

Diese Aufgabe wird gelöst durch ein Verfahren der eingangs genannten Art, umfassend: Aufbringen der Deckschicht mittels Atomlagenabscheidung (atomic layer deposition, ALD), bevorzugt mittels räumlicher Atomlagenabscheidung. This object is achieved by a method of the aforementioned type, comprising: applying the cover layer by means of atomic layer deposition (ALD), preferably by means of spatial atomic layer deposition.

Durch die Atomlagenabscheidung kann eine stabile, im Wesentlichen defektfreie Deckschicht erzeugt werden, die insbesondere eine Dicke im Bereich weniger Monolagen, z.B. von weniger als 10 Monolagen, weniger als 5 Monolagen oder einer Monolage aufweisen kann. By the atomic layer deposition, a stable, substantially defect-free cover layer can be produced, which in particular has a thickness in the range of a few monolayers, e.g. less than 10 monolayers, less than 5 monolayers or monolayer.

Die Dicke einer solchen Deckschicht, gemessen in Nanometern, kann abhängig sein von der Temperatur bei der Herstellung der Schicht. Abhängig von der Temperatur können für SiO2-Deckschichten bei 10 Monolagen Dicken mindestens über einen Bereich zwischen ca. 1 Nanometer und ca. 1,75 Nanometern erhalten werden. Für TiO2-Deckschichten können bei 10 Monolagen Dicken mindestens über einen Bereich zwischen ca. 0,4 und ca. 0,8 Nanometern erhalten werden. Für SnO2-Deckschichten können bei 10 Monolagen Dicken mindestens über einen Bereich zwischen ca. 0,35 Nanometer und ca. 0,9 Nanometer erhalten werden. The thickness of such a cover layer, measured in nanometers, may be dependent on the temperature during the production of the layer. Depending on the temperature, it is possible to obtain thicknesses for SiO 2 cover layers at 10 monolayers over at least a range between approximately 1 nanometer and approximately 1.75 nanometers. For TiO 2 cover layers, thicknesses of 10 monolayers can be obtained over at least a range between approximately 0.4 and approximately 0.8 nanometers. For SnO 2 cover layers, thicknesses of 10 monolayers can be obtained over at least a range between about 0.35 nanometer and about 0.9 nanometer.

Die Dicke der Deckschicht kann somit geringer sein als 2 Nanometer, oder geringer sein als einen Nanometer, oder geringer sein als 0,5 Nanometer und insbesondere zwischen 0,5 Nanometer und 0,7 Nanometer liegen. The thickness of the cover layer may thus be less than 2 nanometers, or less than one nanometer, or less than 0.5 nanometers, and more preferably between 0.5 nanometers and 0.7 nanometers.

Die Deckschicht weist bevorzugt Kohlenstoff und/oder Silizium, ggf. Titan, Zinn und/oder Zirkonium, auf. Bei Kohlenstoff und Silizium handelt es sich eigentlich um kontaminierende Stoffe, deren Abscheidung an der optischen Fläche eines Spiegels unerwünscht ist. Wenn die Deckschicht aus diesen Materialien gebildet ist, wird eine solche Deckschicht gelegentlich auch als (stabile) Kontaminationsschicht bezeichnet. The cover layer preferably comprises carbon and / or silicon, optionally titanium, tin and / or zirconium. Carbon and silicon are actually contaminants whose deposition on the optical surface of a mirror is undesirable. When the cover layer is formed from these materials, such cover layer is sometimes referred to as a (stable) contamination layer.

Der Kohlenstoff der Deckschicht kann in Form eines Oxids, eines Nitrids und/oder in Form eines Oxynitrids vorliegen. Alternativ oder zusätzlich kann das Silizium der Deckschicht in Form eines Oxids, eines Nitrids, eines Karbids, eines Oxynitrids und/oder in Form eines Oxykarbids vorliegen. Das Titan, das Zinn und/oder Zirkonium kann ebenfalls in Form eines Oxids, eines Nitrids, eines Karbids, eines Silicids, eines Oxynitrids und/oder in Form eines Oxykarbids vorliegen. Die Deckschicht kann auch andere Materialien aufweisen, welche z.B. in Form eines Oxids, eines Nitrids, eines Karbids, eines Silicids, eines Oxynitrids und/oder in Form eines Oxykarbids vorliegen. The carbon of the cover layer may be in the form of an oxide, a nitride and / or in the form of an oxynitride. Alternatively or additionally, the silicon of the cover layer may be in the form of an oxide, a nitride, a carbide, an oxynitride and / or in the form of an oxycarbide. The titanium, tin and / or zirconium may also be in the form of an oxide, a nitride, a carbide, a silicide, an oxynitride and / or in the form of an oxycarbide. The cover layer may also comprise other materials, e.g. in the form of an oxide, a nitride, a carbide, a silicide, an oxynitride and / or in the form of an oxycarbide.

Bevorzugt wird vor dem Aufbringen der Deckschicht mindestens eine Schutzschicht auf die reflektierende Beschichtung aufgebracht, die beispielsweise ein Metall, insbesondere ein Edelmetall, aufweist. Bei dem Edelmetall kann es sich z.B. um Ruthenium, Rhodium, Palladium oder Zirkonium handeln. Die Schutzschicht weist typischerweise eine größere Dicke als die Deckschicht auf und ist typischerweise nicht durch Atomlagendeposition aufgebracht, sondern beispielsweise durch PVD, insbesondere durch Sputtern. Es ist jedoch auch möglich, Schutzschichten aus Ruthenium oder Palladium mittels Atomlagendeposition zu erzeugen. Preferably, at least one protective layer is applied to the reflective coating prior to the application of the cover layer, which has, for example, a metal, in particular a noble metal. The noble metal may be e.g. to act ruthenium, rhodium, palladium or zirconium. The protective layer typically has a greater thickness than the cover layer and is typically not applied by atomic layer deposition but, for example, by PVD, in particular by sputtering. However, it is also possible to produce protective layers of ruthenium or palladium by means of atomic layer deposition.

Die reflektierende Beschichtung wird auf ein Substrat, z.B. aus ULE® oder aus Zerodur®, aufgebracht, wobei die reflektierende Beschichtung alternierende erste Schichten aus einem ersten Schichtmaterial und zweite Schichten aus einem zweiten Schichtmaterial aufweist, und somit als Mehrlagen-Beschichtung ausgebildet ist, um durch Interferenzeffekte EUV-Licht bei einer Arbeitswellenlänge zu reflektieren. Alternativ kann die reflektierende Beschichtung nur wenige Schichten aufweisen, um EUV-Licht bei streifendem Einfall zu reflektieren. Die Deckschicht wird auf die reflektierende Beschichtung und/oder auf das Substrat aufgebracht. Im letzteren Fall kann die Deckschicht beispielsweise an der Vorderseite des Substrats, insbesondere benachbart zu der reflektierenden Beschichtung, aufgebracht werden. Die Deckschicht kann die reflektierende Beschichtung insbesondere ringförmig umgeben. Es ist aber auch möglich, dass die Deckschicht an den Seitenflächen des Substrats und/oder an der Rückseite des Substrats aufgebracht wird. The reflective coating is applied to a substrate, eg of ULE ® or from Zerodur ®, is applied, wherein the reflective coating comprises alternating first layers of a first layer material and second layers of a second layer of material, and is thus formed as a multilayer coating in order to Reflect interference effects EUV light at a working wavelength. Alternatively, the reflective coating may have only a few layers to reflect EUV light in grazing incidence. The cover layer is applied to the reflective coating and / or to the substrate. In the latter case, the cover layer can be applied, for example, to the front side of the substrate, in particular adjacent to the reflective coating. The cover layer may surround the reflective coating in particular annular. But it is also possible that the cover layer is applied to the side surfaces of the substrate and / or on the back of the substrate.

Ein weiterer Aspekt der Erfindung betrifft ein optisches Element zur Reflexion von Licht, welches zumindest eine Wellenlänge im EUV-Wellenlängenbereich aufweist, umfassend: eine reflektierende Beschichtung sowie eine auf die reflektierende Beschichtung oder auf ein Substrat des optischen Elements durch Atomlagendeposition aufgebrachte Deckschicht, die bevorzugt eine Dicke von weniger als 10 Monolagen, weniger als 5 Monolagen oder einer Monolage aufweist. Die Deckschicht kann die weiter oben im Zusammenhang mit dem Verfahren beschriebenen Eigenschaften bzw. Materialien aufweisen. Zwischen der Deckschicht und der reflektierenden Beschichtung kann mindestens eine Schutzschicht angebracht sein, die typischerweise ein Metall, insbesondere ein Edelmetall, enthält oder aus diesem besteht. Weitere Merkmale und Vorteile der Erfindung ergeben sich aus der nachfolgenden Beschreibung von Ausführungsbeispielen der Erfindung, anhand der Figuren der Zeichnung, die erfindungswesentliche Einzelheiten zeigen, und aus den Ansprüchen. A further aspect of the invention relates to an optical element for reflection of light, which has at least one wavelength in the EUV wavelength range, comprising: a reflective coating and a cover layer applied to the reflective coating or to a substrate of the optical element by atomic layer deposition, which preferably has a Thickness of less than 10 monolayers, less than 5 monolayers or a monolayer. The cover layer may have the properties or materials described above in connection with the method. At least one protective layer, which typically contains or consists of a metal, in particular a noble metal, may be provided between the cover layer and the reflective coating. Further features and advantages of the invention will become apparent from the following description of embodiments of the invention, with reference to the figures of the drawing, which show details essential to the invention, and from the claims.

Die einzelnen Merkmale können je einzeln für sich oder zu mehreren in beliebiger Kombination bei einer Variante der Erfindung verwirklicht sein. The individual features can be realized individually for themselves or for several in any combination in a variant of the invention.

Zeichnung drawing

Ausführungsbeispiele sind in der schematischen Zeichnung dargestellt und werden in der nachfolgenden Beschreibung erläutert. Es zeigt Embodiments are illustrated in the schematic drawing and will be explained in the following description. It shows

1 eine schematische Darstellung eines Querschnitts durch die obersten Schichten eines Ausführungsbeispiels eines Spiegels zur Verwendung in der EUV-Lithographie. 1 a schematic representation of a cross section through the uppermost layers of an embodiment of a mirror for use in EUV lithography.

In der folgenden Beschreibung der Zeichnungen werden für gleiche bzw. funktionsgleiche Bauteile identische Bezugszeichen verwendet. In the following description of the drawings, identical reference numerals are used for identical or functionally identical components.

Die 1 zeigt einen Querschnitt durch die obersten Schichten eines Ausführungsbeispiels eines optischen Elements zur Reflexion von Licht, welches zumindest eine Wellenlänge im EUV-Wellenlängenbereich aufweist, wobei das optische Element als Spiegel 1 ausgebildet ist. Der Spiegel 1 ist für den Einsatz bei einer Arbeitswellenlänge in einer EUV-Lithographieanlage oder eines anderen optischen Systems für die EUV-Lithographie zwischen 5 nm und 20 nm konfiguriert. Im gezeigten Beispiel ist der Spiegel 1 so konfiguriert, dass einfallendes EUV-Licht in einem engen Spektralbereich um die Arbeitswellenlänge von 13,5 Nanometer für einen vorgegebenen Bereich an Inzidenzwinkeln mit einer vergleichsweise hohen Reflektivität reflektiert wird. Zu diesem Zweck weist der Spiegel 1 eine reflektierende Beschichtung 7 auf, die auf ein (in 1 nicht bildlich dargestelltes) Substrat aufgebracht ist. Die reflektierende Beschichtung 7 ist so konfiguriert, dass sie für das zu reflektierende EUV-Licht als Interferenzschichtsystem wirkt. The 1 shows a cross section through the uppermost layers of an embodiment of an optical element for reflection of light, which has at least one wavelength in the EUV wavelength range, wherein the optical element as a mirror 1 is trained. The mirror 1 is configured for use at a working wavelength in an EUV lithography system or other optical system for EUV lithography between 5 nm and 20 nm. In the example shown is the mirror 1 configured to reflect incident EUV light in a narrow spectral range around the working wavelength of 13.5 nanometers for a given range of incidence angles with a comparatively high reflectivity. For this purpose, the mirror points 1 a reflective coating 7 auf, which is based on a (in 1 not pictured) substrate is applied. The reflective coating 7 is configured to act as an interference layer system for the EUV light to be reflected.

Die reflektierende Beschichtung 7 ist zu diesem Zweck im gezeigten Beispiel aus alternierenden Schichten 1a, 2a, 3a aus einem ersten Schichtmaterial in Form von Silizium und zweiten Schichten 1b, 2b, 3b aus einem zweiten Schichtmaterial in Form von Molybdän gebildet. Andere erste und zweite Schichtmaterialien, z.B. in Form von Molybdän und Beryllium, sind abhängig von der Arbeitswellenlänge ebenfalls möglich. The reflective coating 7 is for this purpose in the example shown of alternating layers 1a . 2a . 3a from a first layer material in the form of silicon and second layers 1b . 2 B . 3b formed from a second layer material in the form of molybdenum. Other first and second layer materials, for example in the form of molybdenum and beryllium, are also possible depending on the operating wavelength.

Zur Vereinfachung der Darstellung sind in der 1 nur die obersten drei Doppelschichten der reflektierenden Mehrlagen-Beschichtung 7 dargestellt. Typischerweise weist eine reflektierende Mehrlagen-Beschichtung 7 jedoch zwischen 50 und 100 Doppelschichten auf. To simplify the illustration are in the 1 only the top three bilayers of the reflective multilayer coating 7 shown. Typically, a reflective multilayer coating 7 however, between 50 and 100 double layers.

Auf der reflektierenden Mehrlagen-Beschichtung 7 ist eine Schutzschicht 4 aufgebracht, die aus einem metallischen Material, im gezeigten Beispiel aus einem Edelmetall, gebildet ist. Die Schutzschicht 4 dient dazu, die Schichten 1a, 1b, 2a, 2b, 3a, 3b der darunter liegenden reflektierenden Mehrlagen-Beschichtung 7 vor Oxidation zu schützen. Im Ausführungsbeispiel der 1 besteht die Schutzschicht 4 aus Ruthenium. Es ist aber auch möglich, dass die Schutzschicht 4 aus einem anderen (Edel-)Metall, beispielsweise aus Rhodium, Palladium oder Zirkonium besteht. On the reflective multilayer coating 7 is a protective layer 4 applied, which is made of a metallic material, in the example shown of a noble metal. The protective layer 4 serves the layers 1a . 1b . 2a . 2 B . 3a . 3b the underlying reflective multilayer coating 7 to protect against oxidation. In the embodiment of 1 is the protective layer 4 made of ruthenium. But it is also possible that the protective layer 4 is made of another (precious) metal, for example of rhodium, palladium or zirconium.

Für die Reinigung des Spiegels 1 kann aktivierter Wasserstoff z.B. in Form von Wasserstoff-Radikalen bzw. von Wasserstoff-Ionen verwendet werden. Dieser kann beispielsweise mit einer Silizium enthaltenden Komponente in der Restgas-Umgebung Silane bilden, welche sich an der der Umgebung zugewandten Oberfläche der Schutzschicht 4 dauerhaft anlagern und mittels herkömmlicher Reinigungsverfahren, z.B. unter Verwendung von aktiviertem Wasserstoff, nicht mehr von der Oberfläche der Schutzschicht 4 abgelöst werden können. For cleaning the mirror 1 activated hydrogen can be used, for example, in the form of hydrogen radicals or of hydrogen ions. This can, for example, form silanes with a silicon-containing component in the residual gas environment, which forms on the surface of the protective layer facing the surroundings 4 Permanently attach and by conventional cleaning methods, eg using activated hydrogen, no longer from the surface of the protective layer 4 can be replaced.

Bei dem in 1 gezeigten Beispiel ist auf die Schutzschicht 4 eine Deckschicht 5 aufgebracht, die im gezeigten Beispiel Silizium und/oder Kohlenstoff enthält. Es hat sich gezeigt, dass durch eine solche Deckschicht 5 ein Aufwachsen von Adsorbaten verursacht durch Silane, die in dem den Spiegel 1 umgebenden Restgas vorhanden sind, an dem Spiegel 1 unterdrückt werden kann. At the in 1 Example shown is on the protective layer 4 a cover layer 5 applied, which contains silicon and / or carbon in the example shown. It has been shown that by such a cover layer 5 an adsorbate growth caused by silanes in which the mirror 1 surrounding residual gas are present at the mirror 1 can be suppressed.

Beispielsweise konnte nachgewiesen werden, dass gasförmige Silane, die durch aktivierten Wasserstoff an ausgasenden Komponenten erzeugt werden, auf karbonisierten Schichten keine Adsorbate erzeugen. Ferner konnte nachgewiesen werden, dass Adsorbate in Form von Silanen auf Siliziumoberflächen durch die Einwirkung von Wasserstoff-Radikalen wieder entfernt werden können. Dieser Prozess ist sogar noch effizienter bei Anwesenheit von Wasserstoff-Ionen, sofern deren Energie nicht so hoch ist, dass durch die Wasserstoff-Ionen Schäden an der Oberfläche verursacht werden. Sind also – wie bei der oben beschriebenen Verwendung von Wasserstoff als Spülgas oder zur Reinigung – Wasserstoffradikale und -ionen in der Vakuum-Umgebung bzw. in der Vakuum-Kammer vorhanden, so kommt es zu einem Gleichgewicht zwischen den gasförmigen Silanen und den entsprechenden Adsorbaten. Ein Aufwachsen von Silanen auf der der Umgebung zugewandten Seite der Deckschicht 5, auf welche das EUV-Licht auftrifft, findet daher nicht statt. For example, it has been demonstrated that gaseous silanes produced by activated hydrogen on outgassing components do not produce adsorbates on carbonized layers. It has also been shown that adsorbates in the form of silanes on silicon surfaces can be removed by the action of hydrogen radicals. This process is even more efficient in the presence of hydrogen ions, unless their energy is high enough to cause damage to the surface due to hydrogen ions. If hydrogen radicals and ions are present in the vacuum environment or in the vacuum chamber, as in the above-described use of hydrogen as purge gas or for purification, then equilibrium occurs between the gaseous silanes and the corresponding adsorbates. Growing of silanes on the environment side of the cover layer 5 which is incident to the EUV light, therefore, does not take place.

Die Deckschicht 5 kann so konfiguriert sein, dass der Kohlenstoff in der Deckschicht beispielsweise in Form eines Oxids und/oder in Form eines Nitrids vorliegt. Alternativ oder zusätzlich kann die Deckschicht 5 so konfiguriert sein, dass das Silizium in der Deckschicht 5 in Form eines Oxids, eines Nitrids und/oder in Form eines Karbids vorliegt. The cover layer 5 may be configured so that the carbon is present in the cover layer, for example in the form of an oxide and / or in the form of a nitride. Alternatively or additionally, the cover layer 5 be configured so that the silicon in the topcoat 5 in the form of an oxide, a nitride and / or in the form of a carbide.

Alternativ oder zusätzlich kann die Deckschicht 5 Titan aufweisen. Das Titan liegt typischerweise in Form einer Verbindung vor, beispielsweise in Form eines Oxids, eines Oxynitrids und/oder in Form eines Oxykarbids. Alternativ oder zusätzlich kann die Deckschicht 5 Zirkonium aufweisen. Das Zirkonium liegt typischerweise ebenfalls in Form einer chemischen Verbindung vor, beispielsweise in Form eines Oxids, eines Oxynitrids und/oder in Form eines Oxykarbids. Alternativ oder zusätzlich kann die Deckschicht 5 Zinn aufweisen. Das Zinn liegt typischerweise ebenfalls in Form einer chemischen Verbindung vor, beispielsweise in Form eines Oxids, eines Oxynitrids und/oder in Form eines Oxykarbids. Alternatively or additionally, the cover layer 5 Titanium have. The titanium is typically in the form of a compound, for example in the form of an oxide, an oxynitride and / or in the form of an oxycarbide. Alternatively or additionally, the cover layer 5 Have zirconium. The zirconium is also typically in the form of a chemical compound, for example in the form of an oxide, an oxynitride and / or in the form of an oxycarbide. Alternatively or additionally, the cover layer 5 Tin have. The tin is also typically in the form of a chemical compound, for example in the form of an oxide, an oxynitride and / or in the form of an oxycarbide.

Vorteilhaft ist bei den oben beschriebenen Beispielen, dass eine Deckschicht 5 und somit eine (optische) Oberfläche bereitgestellt werden kann, welche im Wesentlichen frei von Edelmetallen ist. Dadurch wird die Rekombination von Wasserstoffradikalen, welche auf die Deckschicht 5 treffen, unterdrückt. Durch die Unterdrückung der Rekombination von Wasserstoffradikalen bleiben die Wasserstoff-Spezies aktiv, um Si, Sn, C, etc. von der Oberfläche der Deckschicht 5 zu entfernen, indem diese in volatile Hydride umgewandelt werden, beispielsweise entsprechend folgender Gleichgewichtsreaktion: Si + H· ⇋ SiH4 It is advantageous in the examples described above that a cover layer 5 and thus an (optical) surface can be provided which is substantially free of precious metals. This causes the recombination of hydrogen radicals which are on the topcoat 5 meet, suppressed. By suppressing the recombination of hydrogen radicals, the hydrogen species remain active to Si, Sn, C, etc. from the surface of the cover layer 5 by converting them into volatile hydrides, for example according to the following equilibrium reaction: Si + H · ⇋SiH 4

Durch die Unterdrückung der Rekombination von Wasserstoffradikalen steht eine größere Menge an Wasserstoffradikalen zur Verfügung, um adsorbiertes Silizium in leichtflüchtiges Hydrid umzuwandeln. Die Reaktion zum Aufwachsen von Silizium SiH4 → Si ↓ + H· wird daher unterdrückt und das Gleichgewicht obiger Gleichgewichtsreaktion somit zum gasförmigen Siliziumhydrid verschoben. Die Menge an aufgewachsenem Silizium im Gleichgewichtszustand wird dadurch verringert. By suppressing the recombination of hydrogen radicals, a greater amount of hydrogen radicals is available to convert adsorbed silicon into highly volatile hydride. The reaction for growing silicon SiH 4 → Si ↓ + H · is therefore suppressed and the balance of the above equilibrium reaction thus shifted to the gaseous silicon hydride. The amount of grown silicon in the equilibrium state is thereby reduced.

Um das Aufwachsen z.B. von Silizium an der Oberfläche der Deckschicht 5 zu vermeiden, ist es ausreichend, wenn die Decksicht 5 eine geringe Dicke von einer oder mehreren Monolagen aufweist. Die Anzahl der Monolagen kann beispielsweise geringer als 10 sein oder geringer als 5 sein, was typischerweise einer Dicke der Deckschicht 5 von weniger als 2 Nanometer, oder weniger als einem Nanometer, oder weniger als 0,5 Nanometer entspricht. Durch die geringe Dicke der Deckschicht 5 wird die Reflektivität des Spiegels 1 nicht zu sehr beeinträchtigt. To the growth of eg silicon on the surface of the cover layer 5 To avoid it, it is sufficient if the deck view 5 has a small thickness of one or more monolayers. The number of monolayers may, for example, be less than 10 or less than 5, which is typically a thickness of the cover layer 5 less than 2 nanometers, or less than one nanometer, or less than 0.5 nanometers. Due to the small thickness of the cover layer 5 becomes the reflectivity of the mirror 1 not too much affected.

Besonders vorteilhaft ist es, dass eine Deckschicht 5 wie oben beschrieben mittels Atomlagenabscheidung (atomic layer deposition, ALD) erzeugt werden kann. Durch die Erzeugung der Deckschicht 5 mittels Atomlagenabscheidung ist diese selbst bei den oben angegebenen geringen Dicken geschlossen und stabil und kann daher ihre Funktion optimal erfüllen. Die Erzeugung der Deckschicht 5 mittels Atomlagenabscheidung erlaubt es ferner, die Dicke der Deckschicht 5 über eine Auswahl der Anzahl an Prozesszyklen vergleichsweise präzise einzustellen. It is particularly advantageous that a cover layer 5 as described above can be generated by means of atomic layer deposition (ALD). By the generation of the cover layer 5 By atomic layer deposition, this is closed and stable even at the above-mentioned low thicknesses and therefore can fulfill its function optimally. The generation of the cover layer 5 By atomic layer deposition, it further allows the thickness of the cover layer 5 to set comparatively precisely via a selection of the number of process cycles.

Im Gegensatz zur Deckschicht 5 werden die Schutzschicht 4 und die ersten und zweiten Schichten 1a, 1b, 2a, 2b, 3a, 3b der reflektierenden Mehrlagen-Beschichtung 7 typischerweise jeweils mittels physikalischer Gasphasenabscheidung (PVD) erzeugt. In der Regel erfolgt dies unter Verwendung von Magnetronsputtern. Die Verwendung von Magnetronsputtern hat insbesondere den Vorteil, dass das Verfahren mit hohen Abscheideraten durchgeführt werden kann, und dass eine gleichmäßige Schichtdickenverteilung bei guter Reproduzierbarkeit erhalten werden kann. In contrast to the top layer 5 become the protective layer 4 and the first and second layers 1a . 1b . 2a . 2 B . 3a . 3b the reflective multilayer coating 7 typically each generated by physical vapor deposition (PVD). Usually, this is done using magnetron sputtering. The use of magnetron sputtering in particular has the advantage that the process can be carried out with high deposition rates, and that a uniform layer thickness distribution with good reproducibility can be obtained.

Verglichen mit dem Verfahren der Atomlagenabscheidung führt das Magnetronsputtern jedoch in der Regel zu Schichten mit einer hohen Defektdichte, z.B. hervorgerufen durch Schatteneffekte, wodurch Poren (auch als pinholes bezeichnet) in den Schichten erzeugt werden können. Zudem treffen bei diesem Verfahren Partikel mit hoher Energie auf die zu beschichtende Oberfläche auf und können dadurch Punktdefekte verursachen. Weitere Punktdefekte können sich dadurch ergeben, dass Sputtergas in der Schicht eingeschlossen wird, wodurch die Schicht insbesondere Fremdatome aufweisen kann. Diese Defekte beeinflussen zwar die optischen Eigenschaften des Spiegels 1 nicht wesentlich, es ist jedoch nicht möglich, durch dieses Verfahren eine geschlossene, gleichförmige Schicht im Dickenbereich von einer oder weniger Monolagen zu erzeugen, wie dies durch Atomlagenabscheidung möglich ist. However, as compared with the atomic layer deposition process, magnetron sputtering typically results in layers having a high defect density, eg, caused by shadow effects, whereby pores (also referred to as pinholes) can be formed in the layers. In addition, in this method, particles of high energy impinge on the surface to be coated and can thereby cause point defects. Further point defects may result from trapping sputtering gas in the layer, which may in particular cause the layer to contain foreign atoms. Although these defects affect the optical properties of the mirror 1 not essential, but it is not possible to produce by this method a closed, uniform layer in the thickness range of one or less monolayers, as is possible by atomic layer deposition.

Als Verfahren zur Atomlagenabscheidung kann beispielsweise die thermische Atomlagenabscheidung verwendet werden. Die Verwendung der plasmaunterstützten Atomlagenabscheidung (Plasma Enhanced Atomic Layer Deposition, PEALD) hat sich im Vergleich hierzu jedoch als vorteilhafter erwiesen, insbesondere aus dem Grund, da durch Verwendung des Plasmas die Prozesstemperaturen geringer sein können und die Schicht – im Vergleich zur thermischen Atomlagenabscheidung – eine geringere Anzahl an Poren (pinholes) und eine höhere Dichte aufweist. Durch die geringeren Prozess-Temperaturen kommt es zu geringeren Veränderungen an der reflektierenden Mehrlagen-Beschichtung 7, wodurch Veränderungen der optischen Eigenschaften der Mehrlagen-Beschichtung 7 während des Beschichtungs-Prozesses vermieden werden können. As a method of atomic layer deposition, for example, the thermal atomic layer deposition can be used. The use of plasma-enhanced atomic layer deposition (PEALD), however, has proved to be more advantageous in comparison, in particular for the reason that the process temperatures can be lower by using the plasma and the layer - in comparison to the thermal atomic layer deposition having a smaller number of pores (pinholes) and a higher density. Due to the lower process temperatures, there are fewer changes to the reflective multilayer coating 7 , resulting in changes in the optical properties of the multilayer coating 7 can be avoided during the coating process.

Weitere Varianten der Atomlagenabscheidung, wie räumliche ALD (spatial-ALD, auch als fast ALD bezeichnet), sind ebenso möglich. Die räumliche Atomlagenabscheidung hat den Vorteil, dass keine unnötige Temperaturlast am Substrat erzeugt wird. Ferner ergibt sich eine Zeitersparnis, da kürzere Wege zwischen Abscheidung und Heizung bestehen. Es sind ferner keine aufwändigen Module erforderlich, die viel Platz benötigen. Eine Beschreibung eines spatial-ALD-Verfahrens ist enthalten im Dokument EP 2 334 842 B1 oder in der DE 10 2014 222 534 A1 beschrieben, welche durch Bezugnahme in ihrer Gesamtheit zum Inhalt dieser Anmeldung gemacht werden. Other variants of atomic layer deposition, such as spatial ALD (spatial ALD, also called ALD), are also possible. The spatial atomic layer deposition has the advantage that no unnecessary temperature load on the substrate is generated. Furthermore, there is a time saving, since there are shorter paths between deposition and heating. Furthermore, no complex modules are required which require a lot of space. A description of a spatial ALD method is included in the document EP 2 334 842 B1 or in the DE 10 2014 222 534 A1 which are incorporated herein by reference in their entirety.

Als Silizium-Präkursoren für die Atomlagenabscheidung können beispielsweise Silane, wie Monosilan (SiH4) oder Disilan (Si2H6), oder Silan-Derivative, wie beispielsweise Dichlordisilan Si2H2Cl2, verwendet werden. Ferner können als Silizium-Präkursoren Aminosilane und deren Derivative, sowie Silylamine und deren Derivative eingesetzt werden. For example, silanes such as monosilane (SiH 4 ) or disilane (Si 2 H 6 ) or silane derivatives such as dichlorodisilane Si 2 H 2 Cl 2 may be used as silicon precursors for atomic layer deposition. Furthermore, as silicon precursors, aminosilanes and their derivatives, as well as silylamines and their derivatives can be used.

Für eine Deckschicht 5, welche Siliziumoxid enthält, können als Si-Präkursoren beispielsweise Aminosilane, wie Tris(dimethylamino)silan (3DMAS) oder Bis(diethylamino)silan (BDEAS) verwendet werden. Als Co-Reaktant kann in beiden Fällen Sauerstoff dienen, welcher durch das Plasma angeregt wird. Ferner kann beispielsweise AP-LTO 330 als Präkursor verwendet werden mit Ozon als Co-Reaktant (AP-LTO ist eine eingetragene Unionsmarke von Air Products, Allentown, PA, USA). Verfahren dieser Art sind beschrieben in dem Artikel „Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors“ von M. Putkonen et al., erschienen in der Zeitschrift „Thin Solid Films“ 558, 93 (2014) . Dieser Artikel wird durch Bezugnahme in seiner Gesamtheit zum Inhalt dieser Anmeldung gemacht. For a topcoat 5 containing silica, may be used as Si precursors, for example, aminosilanes such as tris (dimethylamino) silane (3DMAS) or bis (diethylamino) silane (BDEAS). The co-reactant used in both cases is oxygen, which is excited by the plasma. Further, for example, AP-LTO 330 can be used as a precursor with ozone as a co-reactant (AP-LTO is a registered EU trademark of Air Products, Allentown, PA, USA). Processes of this type are described in US Pat Article "Thermal and plasma enhanced atomic layer deposition of SiO 2 using commercial silicon precursors" by M. Putkonen et al., Published in the journal "Thin Solid Films" 558, 93 (2014) , This article is incorporated herein by reference in its entirety.

Es ist auch möglich, ein Plasma einer anderen Zusammensetzung zu verwenden, wie beispielsweise NH3 für die Bildung von Nitriden, CO2 für die Bildung von Oxiden und N2O für die Bildung von Oxynitriden, etc. It is also possible to use a plasma of a different composition, such as NH 3 for the formation of nitrides, CO 2 for the formation of oxides and N 2 O for the formation of oxynitrides, etc.

Eine Siliziumnitrid-Schicht als Deckschicht 5 durch den Einsatz plasmaunterstützter Atomlagenabscheidung kann beispielsweise durch die Einwirkung von Monosilan (SiH4) im ersten Halbzyklus der Atomlagenabscheidung und die Einwirkung eines Stickstoff-Plasmas (N2) im zweiten Halbzyklus erhalten werden. Die Arbeitsfrequenz der Quelle kann hierbei 13,56 MHz betragen und die Temperatur im Bereich zwischen 250 °C und 400 °C liegen. Mit diesen Parametern ergibt sich eine Depositionsrate von 0,25 Nanometern pro Zyklus. A silicon nitride layer as a cover layer 5 By using plasma-assisted atomic layer deposition, for example, by the action of monosilane (SiH 4 ) in the first half cycle of the atomic layer deposition and the action of a nitrogen plasma (N 2 ) in the second half cycle can be obtained. The operating frequency of the source may be 13.56 MHz and the temperature may be in the range between 250 ° C and 400 ° C. These parameters result in a deposition rate of 0.25 nanometers per cycle.

In jüngster Zeit wurden eine Vielzahl neuer Präkursoren für SiNX-Schichten entwickelt zur Erzeugung von Seitenwand-Abstandschichten („sidewall spacer“) an CMOS-Gateelektroden bei niedrigen Temperaturen. Ein Beispiel hierfür ist die Verwendung von TSA (Trisilylamin, N(SiH3)3) als Präkursor und eines H2/N2-Plasmas bei einer Temperatur von 300 °C bis 400 °C. Recently, a variety of new precursors for SiN x layers have been developed for the production of sidewall spacer layers ( "sidewall spacer") to CMOS gate electrodes at low temperatures. An example of this is the use of TSA (trisilylamine, N (SiH 3 ) 3 ) as precursor and an H 2 / N 2 plasma at a temperature of 300 ° C to 400 ° C.

Ein noch neueres Verfahren ist die Erzeugung von Siliziumnitrid-Schichten unter Verwendung von PEALD, wobei Silylamin-Derivate, wie Bis(dimethylaminomethylsilyl)trimethylsilyl (C9H29N3Si3, DTDN2-H2) verwendet werden. Dieser Präkursor hat die niedrigste Dissoziationsenergie unter den Silylamin-Verbindungen und einen ausreichenden Dampfdruck. Mit diesem Präkursor können Schichten mit einem N2-Plasma bei Temperaturen von ungefähr 250 °C erzeugt werden, wobei die Depositionsrate 0,36 Å/Zyklus beträgt. Eine Übersicht über Dissoziationsenergien von Silylamin-Verbindungen ist enthalten im Artikel „Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor“, von M. Park et al., erschienen in der Zeitschrift „ACS Applied Materials & Interfaces“ 8, 20865 (2016) , vgl. dort beispielsweise Tabelle 1. Dieser Artikel wird durch Bezugnahme in seiner Gesamtheit zum Inhalt dieser Anmeldung gemacht. A more recent process is the production of silicon nitride layers using PEALD, using silylamine derivatives such as bis (dimethylaminomethylsilyl) trimethylsilyl (C 9 H 29 N 3 Si 3 , DTDN 2 -H 2). This precursor has the lowest dissociation energy among the silylamine compounds and a sufficient vapor pressure. With this precursor layers can be produced with an N 2 plasma at temperatures of about 250 ° C, wherein the deposition rate is 0.36 Å / cycle. An overview of dissociation energies of silylamine compounds is given in Article "Plasma Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor", by M. Park et al., Published in the journal "ACS Applied Materials &Interfaces" 8, 20865 (2016) , see. There, for example, Table 1. This article is incorporated by reference in its entirety into the content of this application.

Eine Deckschicht aus Karbid, z.B. aus Siliziumkarbid, kann durch Atomlagenabscheidung unter Verwendung von Siliziumtetrachlorid (SiCl4) und Trimethylaluminium (TMA) erzeugt werden. Zusätzlich zur Atomlagenabscheidung kann die Oberfläche mit Wärme und/oder einem Plasma behandelt werden. Details zur Erzeugung dieser Siliziumkarbidschichten sind beschrieben im Dokument WO 2012/039833 A2 , welches durch Bezugnahme in seiner Gesamtheit zum Inhalt dieser Anmeldung gemacht wird. A carbide capping layer, eg of silicon carbide, may be formed by atomic layer deposition using silicon tetrachloride (SiCl 4 ) and trimethylaluminum (TMA). In addition to atomic layer deposition, the surface may be treated with heat and / or a plasma. Details on the production of these silicon carbide layers are described in the document WO 2012/039833 A2 , which is incorporated herein by reference in its entirety.

Titankarbid (TiCx)-Schichten können beispielsweise erzeugt werden durch Atomlagenabscheidung unter Verwendung inorganischer Präkursoren, wie Titantetrachlorid (TiCl4). Als Co-Reaktanten können hierbei ein H2-Plasma, ein N2/H2-Plasma oder NH3-Moleküle verwendet werden. Um jedoch zu vermeiden, dass korrosives Chlor in die Schicht eingeschlossen wird, werden vermehrt metallorganische Amid-Präkursoren verwendet. Beispiele für solche Präkursoren sind: Tetrakis(dimethylamino)titan, Tetrakis(diethylamino)titan, Tetrakis(ethylmethylamino)titan und Tetrakis(neopentyl)titan, welche jeweils mit einem H2-Plasma als Co-Reaktant verwendet werden. Die Verwendung des stickstoff- und wasserstofffreien Präkursors Tetrakis(neopentyl)titan (Ti(CH2C(CH3)3)4) mit dem H2-Plasma als Co-Reaktant kann bei Substrattemperaturen zwischen 200 °C und 300 °C erfolgen. Diese Verfahren sind beschrieben im Artikel „Growth of Highly Conformal TiCx Films Using Atomic Layer Deposition Technique“ von T. E. Hong et al., erschienen im „Journal of the American Ceramical Society“, 94, (4) 1060–1062 (2013) , der durch Bezugnahme in seiner Gesamtheit zum Inhalt dieser Anmeldung gemacht wird. For example, titanium carbide (TiC x ) layers can be formed by atomic layer deposition using inorganic precursors such as titanium tetrachloride (TiCl 4 ). In this case, an H 2 plasma, an N 2 / H 2 plasma or NH 3 molecules can be used as co-reactants. However, to avoid corrosive chlorine being included in the layer, organometallic amide precursors are increasingly being used. Examples of such precursors are: tetrakis (dimethylamino) titanium, tetrakis (diethylamino) titanium, tetrakis (ethylmethylamino) titanium and tetrakis (neopentyl) titanium which are each used with an H 2 plasma as a co-reactant. The use of the nitrogen- and hydrogen-free precursor tetrakis (neopentyl) titanium (Ti (CH 2 C (CH 3 ) 3 ) 4 ) with the H 2 plasma as co-reactant can be carried out at substrate temperatures between 200 ° C and 300 ° C. These methods are described in Article "Growth of Highly Conformal TiCx Films Using Atomic Layer Deposition Technique" by TE Hong et al., published in the Journal of the American Ceramical Society, 94, (4) 1060-1062 (2013). which is incorporated herein by reference in its entirety.

Untersuchungen über Deckschichten aus SnO2, welche aus Tributylzinn-Ethanolat als Präkursor und Ozon als Reaktant hergestellt wurden, sind beschrieben in der Zusammenfassung des Vortrags mit dem Titel "Atomic Layer Deposition of tin oxide from a novel tributyltin ethoxide precursor and ozone" von Charles Dezelah et al., welcher auf der "16th International Conference on Atomic Layer Deposition" gehalten wurde, welche vom 24. bis zum 27. Juli 2016 in Dublin stattfand . Diese Zusammenfassung des Vortrags kann über die Internetadresse " http://happen.conference-services.net/resources/2074/4959/pdf/ALDe p2016_0400.pdf " erhalten werden und wird durch Bezugnahme in ihrer Gesamtheit zum Inhalt dieser Anmeldung gemacht. Studies on overcoats of SnO 2 prepared from tributyltin ethanolate as precursor and ozone as reactant are described in the abstract of Lecture entitled "Atomic Layer Deposition of Oxide from a Novel Tributyltin Ethoxide precursor and Ozone" by Charles Dezelah et al., Which was held at the 16th International Conference on Atomic Layer Deposition, which will be held from 24th to 27th June. July 2016 in Dublin , This abstract of the presentation can be found on the internet address " http://happen.conference-services.net/resources/2074/4959/pdf/ALDe p2016_0400.pdf "and is incorporated herein by reference in its entirety.

Nach einer Atomlagenabscheidung kann die Deckschicht 5 dadurch verdichtet werden, dass die Schicht einer Wärmeeinwirkung oder einem Plasma ausgesetzt wird. Das Plasma kann hierbei als aktiver oder passiver Co-Reaktant verwendet werden, um die Deckschicht 5 weiter zu transformieren bzw. umzuwandeln. Beispielsweise kann dadurch eine Umwandlung der Silizium- und/oder Kohlenstoffverbindungen der Deckschicht 5 zu Oxynitriden, Oxycarbiden, etc. durchgeführt werden. After an atomic layer deposition, the cover layer 5 be compacted by exposing the layer to heat or to a plasma. The plasma can be used here as an active or passive co-reactant to the cover layer 5 continue to transform or transform. For example, this can be a conversion of the silicon and / or carbon compounds of the cover layer 5 to oxynitrides, oxycarbides, etc. are performed.

Die Prozessparameter bei der Herstellung der Deckschicht 5, insbesondere die Abscheiderate, sollten geeignet gewählt werden, wobei sich insbesondere eine Prozess-Temperatur von weniger als 50°C als vorteilhaft erwiesen hat. The process parameters in the production of the cover layer 5 , in particular the deposition rate, should be chosen suitably, in particular, a process temperature of less than 50 ° C has proven to be advantageous.

Es hat sich gezeigt, dass die Verringerung der Reflektivität durch die Deckschicht 5 zumindest teilweise dadurch kompensiert werden kann, dass die Dicke derjenigen Schicht 1a der reflektierenden Beschichtung 7, welche der Deckschicht 5 am nächsten ist, geeignet angepasst wird. In dem Ausführungsbeispiel der 1 konnte dies durch eine Verringerung der Dicke der ersten Schicht 1a erreicht werden, die aus Silizium gebildet ist. It has been shown that the reduction of the reflectivity through the cover layer 5 at least partially compensated by the thickness of that layer 1a the reflective coating 7 , which is the topcoat 5 is closest, is suitably adjusted. In the embodiment of 1 This could be done by reducing the thickness of the first layer 1a can be achieved, which is formed of silicon.

Zwischen der Schutzschicht 4 und dem reflektierenden Beschichtung 7 sowie zwischen den Schichten 1a, 1b, 2a, 2b, 3a, 3b der reflektierenden Mehrlagen-Beschichtung 7 können zusätzliche Zwischenschichten vorhanden sein, wie beispielsweise Haftvermittlerschichten zur Reduzierung von Schichtspannungen und/oder Barriere-Schichten zur Verhinderung einer Interdiffusion zwischen der Schutzschicht 4 und der ersten Schicht 1a der reflektierenden Beschichtung 7, welche der Deckschicht 5 am nächsten ist, oder zwischen den ersten Schichten 1a, 2a, 3a und den zweiten Schichten 1b, 2b, 3b. Die Dicke der Schutzschicht 4 ist typischerweise größer als die Dicke der Deckschicht 5 und kann beispielsweise bei zwischen ca. 1–3 Nanometer liegen. Alternativ zur Aufbringung der Decksicht 5 auf die reflektierende Beschichtung 7 kann die Deckschicht 5 auch auf das (in 1 nicht bildlich dargestellte) Substrat aufgebracht werden. Between the protective layer 4 and the reflective coating 7 as well as between the layers 1a . 1b . 2a . 2 B . 3a . 3b the reflective multilayer coating 7 For example, additional intermediate layers may be present, such as adhesion promoter layers for reducing layer stresses and / or barrier layers to prevent interdiffusion between the protective layer 4 and the first layer 1a the reflective coating 7 , which is the topcoat 5 is closest, or between the first layers 1a . 2a . 3a and the second layers 1b . 2 B . 3b , The thickness of the protective layer 4 is typically greater than the thickness of the topcoat 5 and may be, for example, between about 1-3 nanometers. Alternatively to the application of the deck view 5 on the reflective coating 7 can the topcoat 5 also on the (in 1 not shown) substrate are applied.

Alternativ zu dem in 1 gezeigten Ausführungsbeispiel kann der Spiegel 1 für den streifenden Lichteinfall (grazing-incidence mirror) von Licht mit wenigstens einer Wellenlänge im EUV-Wellenlängenbereich ausgebildet sein. Der Ausdruck "streifender Lichtenfall" kann so definiert sein, dass ein Einfallswinkel des Lichts auf die reflektierende Beschichtung größer ist als 60 Grad, größer ist als 65 Grad, oder größer ist als 70 Grad. Der Einfallswinkel auf die reflektierende Beschichtung kann als Winkel definiert sein zwischen einer Einfallsrichtung des Lichts und einer Flächennormalen der reflektierenden Beschichtung. Der Einfallwinkel muss daher geringer sein als 90 Grad. Ein solcher Spiegel ist für streifenden Einfall konfiguriert, d.h. die Reflektivität eines solchen Spiegels 1 ist bei mindestens einem Einfallswinkel maximal, der bei mehr als 60 Grad, mehr als 65 Grad oder bei mehr als 70 Grad liegt. Alternatively to the in 1 the embodiment shown, the mirror 1 be designed for the grazing-incidence mirror of light having at least one wavelength in the EUV wavelength range. The term "grazing light fall" may be defined so that an angle of incidence of the light on the reflective coating is greater than 60 degrees, greater than 65 degrees, or greater than 70 degrees. The angle of incidence on the reflective coating may be defined as an angle between an incident direction of the light and a surface normal of the reflective coating. The angle of incidence must therefore be less than 90 degrees. Such a mirror is configured for grazing incidence, ie the reflectivity of such a mirror 1 is maximum at at least one angle of incidence that is greater than 60 degrees, greater than 65 degrees, or greater than 70 degrees.

Ein solcher Spiegel 1 unterscheidet sich in der Art der reflektierenden Beschichtung 7 von dem in 1 gezeigten Ausführungsbeispiel mit einer reflektierenden Mehrlagen-Beschichtung: Die reflektierende Beschichtung kann bei einem Spiegel 1 für streifenden Einfall z.B. nur eine einzige oder ggf. zwei Schichten aufweisen, da die Reflexion nicht zwingend durch Interferenz hervorgerufen wird. Alternativ zu dem oben beschriebenen Ausführungsbeispiel kann die Deckschicht 5 auch an anderen reflektierenden optischen Elementen, beispielsweise an der Oberfläche eines reflektiven Retikels, gebildet sein. Such a mirror 1 differs in the type of reflective coating 7 from the in 1 shown embodiment with a reflective multilayer coating: The reflective coating can at a mirror 1 For grazing incidence, for example, have only a single or possibly two layers, since the reflection is not necessarily caused by interference. As an alternative to the embodiment described above, the cover layer 5 also be formed on other reflective optical elements, for example on the surface of a reflective reticle.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • WO 2008/034582 [0010] WO 2008/034582 [0010]
  • DE 102011076011 A1 [0013] DE 102011076011 A1 [0013]
  • DE 102012202850 A1 [0013] DE 102012202850 A1 [0013]
  • US 2014/0098413 A1 [0014] US 2014/0098413 A1 [0014]
  • EP 2905637 A1 [0014] EP 2905637 A1 [0014]
  • WO 2012/136420 A1 [0014] WO 2012/136420 A1 [0014]
  • DE 10223113 B4 [0015] DE 10223113 B4 [0015]
  • EP 2334842 B1 [0046] EP 2334842 B1 [0046]
  • DE 102014222534 A1 [0046] DE 102014222534 A1 [0046]
  • WO 2012/039833 A2 [0053] WO 2012/039833 A2 [0053]

Zitierte Nicht-PatentliteraturCited non-patent literature

  • Artikel „Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors“ von M. Putkonen et al., erschienen in der Zeitschrift „Thin Solid Films“ 558, 93 (2014) [0048] Article "Thermal and plasma enhanced atomic layer deposition of SiO 2 using commercial silicon precursors" by M. Putkonen et al., Published in the journal "Thin Solid Films" 558, 93 (2014) [0048]
  • Artikel „Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor“, von M. Park et al., erschienen in der Zeitschrift „ACS Applied Materials & Interfaces“ 8, 20865 (2016) [0052] Article "Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor", by M. Park et al., Published in the journal "ACS Applied Materials &Interfaces" 8, 20865 (2016) [0052]
  • Artikel „Growth of Highly Conformal TiCx Films Using Atomic Layer Deposition Technique“ von T. E. Hong et al., erschienen im „Journal of the American Ceramical Society“, 94, (4) 1060–1062 (2013) [0054] "Growth of Highly Conformal TiCx Films Using Atomic Layer Deposition Technique" by TE Hong et al., Published in the Journal of the American Ceramical Society, 94, (4) 1060-1062 (2013) [0054]
  • Vortrags mit dem Titel "Atomic Layer Deposition of tin oxide from a novel tributyltin ethoxide precursor and ozone" von Charles Dezelah et al., welcher auf der "16th International Conference on Atomic Layer Deposition" gehalten wurde, welche vom 24. bis zum 27. Juli 2016 in Dublin stattfand [0055] Lecture entitled "Atomic Layer Deposition of Oxide from a Novel Tributyltin Ethoxide precursor and Ozone" by Charles Dezelah et al., Which was held at the 16th International Conference on Atomic Layer Deposition, which will be held from 24th to 27th June. July 2016 in Dublin [0055]
  • http://happen.conference-services.net/resources/2074/4959/pdf/ALDe p2016_0400.pdf [0055] http://happen.conference-services.net/resources/2074/4959/pdf/ALDe p2016_0400.pdf [0055]

Claims (10)

Verfahren zur Aufbringen einer Decksicht (5) auf eine reflektierende Beschichtung (7) und/oder auf ein Substrat eines optischen Elements (1) zur Reflexion von Licht, welches zumindest eine Wellenlänge im EUV-Wellenlängenbereich aufweist, umfassend: Aufbringen der Deckschicht (5) mittels Atomlagenabscheidung, bevorzugt mittels räumlicher Atomlagenabscheidung. Method for applying a cover layer ( 5 ) on a reflective coating ( 7 ) and / or on a substrate of an optical element ( 1 ) for the reflection of light which has at least one wavelength in the EUV wavelength range, comprising: applying the cover layer ( 5 ) by atomic layer deposition, preferably by means of spatial atomic layer deposition. Verfahren nach Anspruch 1, bei dem die Deckschicht (5) mit einer Dicke von weniger als 10 Monolagen, weniger als 5 Monolagen oder genau einer Monolage aufgebracht wird. Process according to Claim 1, in which the cover layer ( 5 ) is applied at a thickness of less than 10 monolayers, less than 5 monolayers or exactly one monolayer. Verfahren nach Anspruch 1 oder 2, bei dem vor dem Aufbringen der Deckschicht (5) mindestens eine Schutzschicht (4) auf die reflektierende Beschichtung (7) aufgebracht wird. Method according to Claim 1 or 2, in which, prior to the application of the cover layer ( 5 ) at least one protective layer ( 4 ) on the reflective coating ( 7 ) is applied. Verfahren nach Anspruch 3, bei dem die Schutzschicht (4) mindestens ein Metall, insbesondere ein Edelmetall, aufweist. Method according to Claim 3, in which the protective layer ( 4 ) has at least one metal, in particular a noble metal. Verfahren nach einem der vorhergehenden Ansprüche, bei dem die Deckschicht (5) Kohlenstoff, Silizium, Zinn, Titan und/oder Zirkonium aufweist. Method according to one of the preceding claims, in which the cover layer ( 5 ) Comprises carbon, silicon, tin, titanium and / or zirconium. Verfahren nach Anspruch 5, bei dem die Deckschicht (5) den Kohlenstoff in Form eines Oxids, eines Nitrids und/oder in Form eines Oxynitrids aufweist. Method according to Claim 5, in which the cover layer ( 5 ) comprises the carbon in the form of an oxide, a nitride and / or in the form of an oxynitride. Verfahren nach Anspruch 5 oder 6, bei dem die Deckschicht (5) das Silizium in Form eines Oxids, eines Nitrids, eines Karbids, eines Oxynitrids und/oder in Form eines Oxykarbids aufweist. Method according to Claim 5 or 6, in which the cover layer ( 5 ) comprises the silicon in the form of an oxide, a nitride, a carbide, an oxynitride and / or in the form of an oxycarbide. Verfahren nach einem der Ansprüche 5 bis 7, bei dem die Deckschicht (5) das Titan, das Zinn, und/oder das Zirkonium in Form eines Oxids, eines Nitrids, eines Karbids, eines Silicids, eines Oxynitrids und/oder in Form eines Oxykarbids aufweist. Method according to one of claims 5 to 7, wherein the cover layer ( 5 ) comprises the titanium, the tin, and / or the zirconium in the form of an oxide, a nitride, a carbide, a silicide, an oxynitride and / or in the form of an oxycarbide. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend: Aufbringen der reflektierenden Beschichtung (7) auf ein Substrat, wobei die reflektierende Beschichtung (7) (a) alternierende erste Schichten (1a, 2a, 3a) aus einem ersten Schichtmaterial und zweite Schichten (1b, 2b, 3b) aus einem zweiten Schichtmaterial aufweist; und/oder (b) für einen Einfallswinkel des Lichts auf die reflektierende Beschichtung konfiguriert ist, der größer ist als 60 Grad oder größer ist als 65 Grad oder größer ist als 70 Grad, gemessen zwischen einer Einfallsrichtung des Lichts und einer Flächennormalen der reflektierenden Beschichtung. Method according to one of the preceding claims, further comprising: applying the reflective coating ( 7 ) on a substrate, wherein the reflective coating ( 7 ) (a) alternating first layers ( 1a . 2a . 3a ) of a first layer material and second layers ( 1b . 2 B . 3b ) of a second layer material; and / or (b) is configured for an incident angle of the light on the reflective coating that is greater than 60 degrees or greater than 65 degrees or greater than 70 degrees, measured between an incident direction of the light and a surface normal of the reflective coating. Optisches Element (1) zur Reflexion von Licht, welches zumindest eine Wellenlänge im EUV-Wellenlängenbereich aufweist, umfassend: eine reflektierende Beschichtung (7) sowie eine auf die reflektierende Beschichtung (7) und/oder auf ein Substrat des optischen Elements (1) durch Atomlagendeposition aufgebrachte Deckschicht (5), die bevorzugt eine Dicke von weniger als 10 Monolagen, weniger als 5 Monolagen oder genau einer Monolage aufweist. Optical element ( 1 ) for reflecting light having at least one wavelength in the EUV wavelength range, comprising: a reflective coating ( 7 ) and one on the reflective coating ( 7 ) and / or on a substrate of the optical element ( 1 ) Cover layer applied by atomic layer deposition ( 5 ), which preferably has a thickness of less than 10 monolayers, less than 5 monolayers or exactly one monolayer.
DE102017213172.1A 2017-07-31 2017-07-31 Method for applying a cover layer and reflective optical element Ceased DE102017213172A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102017213172.1A DE102017213172A1 (en) 2017-07-31 2017-07-31 Method for applying a cover layer and reflective optical element

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102017213172.1A DE102017213172A1 (en) 2017-07-31 2017-07-31 Method for applying a cover layer and reflective optical element

Publications (1)

Publication Number Publication Date
DE102017213172A1 true DE102017213172A1 (en) 2017-09-14

Family

ID=59700723

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017213172.1A Ceased DE102017213172A1 (en) 2017-07-31 2017-07-31 Method for applying a cover layer and reflective optical element

Country Status (1)

Country Link
DE (1) DE102017213172A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110873910A (en) * 2018-09-04 2020-03-10 三星电子株式会社 Metamaterial-based reflector, optical cavity structure and vertical cavity surface emitting laser
WO2020115086A3 (en) * 2018-12-07 2020-07-30 Carl Zeiss Smt Gmbh Optical element for reflecting vuv radiation and optical arrangement
CN111575678A (en) * 2020-05-21 2020-08-25 中国科学院电工研究所 Preparation method of X-ray multilayer film reflecting mirror
EP3933882A1 (en) 2020-07-01 2022-01-05 Carl Zeiss SMT GmbH Apparatus and method for atomic layer processing
US11520087B2 (en) 2018-07-11 2022-12-06 Carl Zeiss Smt Gmbh Reflective optical element
DE102021206168A1 (en) 2021-06-16 2022-12-22 Carl Zeiss Smt Gmbh Process for depositing a cover layer, reflective optical element for the EUV wavelength range and EUV lithography system
DE102021212874A1 (en) 2021-11-16 2023-05-17 Carl Zeiss Smt Gmbh Method for depositing a cap layer, EUV lithography system and optical element

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10223113B4 (en) 2002-05-21 2007-09-13 Infineon Technologies Ag Process for producing a photolithographic mask
WO2008034582A2 (en) 2006-09-19 2008-03-27 Carl Zeiss Smt Ag Optical arrangement, in particular projection exposure apparatus for euv lithography, as well as reflective optical element with reduced contamination
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
EP2334842B1 (en) 2008-08-27 2012-05-16 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
WO2012136420A1 (en) 2011-04-04 2012-10-11 Asml Netherlands B.V. Mirror, radiation source - collector and lithographic apparatus
DE102011076011A1 (en) 2011-05-18 2012-11-22 Carl Zeiss Smt Gmbh Reflective optical element and optical system for EUV lithography
DE102012202850A1 (en) 2012-02-24 2013-08-29 Asml Netherlands B.V. Method for optimizing a protective layer system for an optical element, optical element and optical system for EUV lithography
US20140098413A1 (en) 2012-10-04 2014-04-10 Cymer Inc. Harsh environment optical element protection
EP2905637A1 (en) 2014-02-07 2015-08-12 ASML Netherlands B.V. EUV optical element having blister-resistant multilayer cap
DE102014222534A1 (en) 2014-11-05 2015-11-12 Carl Zeiss Smt Gmbh Method for producing a reflective optical element, and reflective optical element

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10223113B4 (en) 2002-05-21 2007-09-13 Infineon Technologies Ag Process for producing a photolithographic mask
WO2008034582A2 (en) 2006-09-19 2008-03-27 Carl Zeiss Smt Ag Optical arrangement, in particular projection exposure apparatus for euv lithography, as well as reflective optical element with reduced contamination
EP2334842B1 (en) 2008-08-27 2012-05-16 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
WO2012136420A1 (en) 2011-04-04 2012-10-11 Asml Netherlands B.V. Mirror, radiation source - collector and lithographic apparatus
DE102011076011A1 (en) 2011-05-18 2012-11-22 Carl Zeiss Smt Gmbh Reflective optical element and optical system for EUV lithography
DE102012202850A1 (en) 2012-02-24 2013-08-29 Asml Netherlands B.V. Method for optimizing a protective layer system for an optical element, optical element and optical system for EUV lithography
US20140098413A1 (en) 2012-10-04 2014-04-10 Cymer Inc. Harsh environment optical element protection
EP2905637A1 (en) 2014-02-07 2015-08-12 ASML Netherlands B.V. EUV optical element having blister-resistant multilayer cap
DE102014222534A1 (en) 2014-11-05 2015-11-12 Carl Zeiss Smt Gmbh Method for producing a reflective optical element, and reflective optical element

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
Artikel „Growth of Highly Conformal TiCx Films Using Atomic Layer Deposition Technique" von T. E. Hong et al., erschienen im „Journal of the American Ceramical Society", 94, (4) 1060–1062 (2013)
Artikel „Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor", von M. Park et al., erschienen in der Zeitschrift „ACS Applied Materials & Interfaces" 8, 20865 (2016)
Artikel „Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors" von M. Putkonen et al., erschienen in der Zeitschrift „Thin Solid Films" 558, 93 (2014)
http://happen.conference-services.net/resources/2074/4959/pdf/ALDe p2016_0400.pdf
Vortrags mit dem Titel "Atomic Layer Deposition of tin oxide from a novel tributyltin ethoxide precursor and ozone" von Charles Dezelah et al., welcher auf der "16th International Conference on Atomic Layer Deposition" gehalten wurde, welche vom 24. bis zum 27. Juli 2016 in Dublin stattfand

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11520087B2 (en) 2018-07-11 2022-12-06 Carl Zeiss Smt Gmbh Reflective optical element
CN110873910A (en) * 2018-09-04 2020-03-10 三星电子株式会社 Metamaterial-based reflector, optical cavity structure and vertical cavity surface emitting laser
CN110873910B (en) * 2018-09-04 2023-07-21 三星电子株式会社 Metamaterial-based reflector, optical cavity structure and vertical cavity surface emitting laser
WO2020115086A3 (en) * 2018-12-07 2020-07-30 Carl Zeiss Smt Gmbh Optical element for reflecting vuv radiation and optical arrangement
CN111575678A (en) * 2020-05-21 2020-08-25 中国科学院电工研究所 Preparation method of X-ray multilayer film reflecting mirror
EP3933882A1 (en) 2020-07-01 2022-01-05 Carl Zeiss SMT GmbH Apparatus and method for atomic layer processing
DE102021206168A1 (en) 2021-06-16 2022-12-22 Carl Zeiss Smt Gmbh Process for depositing a cover layer, reflective optical element for the EUV wavelength range and EUV lithography system
WO2022263061A1 (en) 2021-06-16 2022-12-22 Carl Zeiss Smt Gmbh Process for deposition of an outer layer, reflective optical element for the euv wavelength range and euv lithography system
DE102021212874A1 (en) 2021-11-16 2023-05-17 Carl Zeiss Smt Gmbh Method for depositing a cap layer, EUV lithography system and optical element
WO2023088630A1 (en) 2021-11-16 2023-05-25 Carl Zeiss Smt Gmbh Method for depositing a cover layer, euv lithography system and optical element

Similar Documents

Publication Publication Date Title
DE102017213172A1 (en) Method for applying a cover layer and reflective optical element
DE102013104390B4 (en) Process for the production of a lithographic mask
DE60118024T2 (en) SELF-CLEANING OPTICAL DEVICE FOR EUV LITHOGRAPHY
DE102014114572A1 (en) EUV lithography system and operating method therefor
DE102005033141A1 (en) Passivation of a multilayer mirror for extreme ultraviolet lithography
EP2710415B1 (en) Reflective optical element and optical system for euv lithography
DE102017213181A1 (en) Optical arrangement for EUV radiation with a shield to protect against the corrosivity of a plasma
DE102008028868A1 (en) Optical assembly
DE102005032320B4 (en) Arrangement with optical element and cleaning device, microlithography projection exposure device, cleaning device and cleaning method
JP2018502327A (en) RESIST COMPOSITION, METHOD FOR FORMING RESIST PATTERN, METHOD FOR PRODUCING RESIST COMPOSITION, USE OF PEROVSKITE MATERIAL FOR LITHOGRAPHIC PROCESS AND SUBSTRATE COATED WITH RESIST COMPOSITION
CN111836681A (en) Graphene surface film photoetching equipment
WO2022263061A1 (en) Process for deposition of an outer layer, reflective optical element for the euv wavelength range and euv lithography system
DE102012202850A1 (en) Method for optimizing a protective layer system for an optical element, optical element and optical system for EUV lithography
WO2016023840A1 (en) Reflective optical element
KR102499455B1 (en) Materials, components and methods for use with EUV radiation in lithography and other applications
DE102018221191A1 (en) Optical element for reflection of VUV radiation and optical arrangement
WO2021037515A1 (en) Optical element and euv lithographic system
US9229331B2 (en) EUV mirror comprising an oxynitride capping layer having a stable composition, EUV lithography apparatus, and operating method
DE102022100372A1 (en) OPTICAL ARRANGEMENT WITH COATING AND METHOD OF USE
DE102015207140A1 (en) Mirror, in particular for a microlithographic projection exposure apparatus
DE102017214215A1 (en) METHOD FOR OPERATING AN OPTICAL SYSTEM AND OPTICAL SYSTEM
WO2012084261A1 (en) Method and device for depositing silicon on a substrate
DE102022102031A1 (en) PELLICLES FOR AN EUV LITHOGRAPHIC MASK AND METHOD FOR PRODUCTION THEREOF
CN115836248A (en) Pellicle, exposure original plate, exposure apparatus, method for manufacturing pellicle, and method for manufacturing semiconductor device
DE102021214362A1 (en) Method of manufacturing a protective cover and EUV lithography system

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R230 Request for early publication
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final