NL2015521A - Radiation source-collector and method for manufacture. - Google Patents

Radiation source-collector and method for manufacture. Download PDF

Info

Publication number
NL2015521A
NL2015521A NL2015521A NL2015521A NL2015521A NL 2015521 A NL2015521 A NL 2015521A NL 2015521 A NL2015521 A NL 2015521A NL 2015521 A NL2015521 A NL 2015521A NL 2015521 A NL2015521 A NL 2015521A
Authority
NL
Netherlands
Prior art keywords
layer
radiation
multilayer
layers
protective
Prior art date
Application number
NL2015521A
Other languages
Dutch (nl)
Inventor
Van Zwol Adriaan
Sergeevich Kuznetsov Alexey
Osorio Oliveros Edgar
Stevens Lucas
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of NL2015521A publication Critical patent/NL2015521A/en

Links

Classifications

    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70933Purge, e.g. exchanging fluid or gas to remove pollutants
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties

Abstract

A multilayer optics is configured for reflection of radiation having a wavelength λ, the multilayer optics comprising a multilayer stack of alternating layer pairs, wherein each pair of alternating layers comprises a first layer of thickness d1 and a second layer of thickness d2, 5 wherein a periodic length p=d1+d2 of each pair of alternating layers satisfies the following condition: m λ = 2 p sinθ; and a protective region comprising pairs of alternating protective layers, each pair of alternating protective layers comprising a first protective layer of thickness ds1 and a second protective layer of thickness ds2, wherein a periodic length ps=ds1+ds2 of each pair of alternating protective layers satisfies the following conditions: m 10 λ = 2 ps sinθ and ps = p N, wherein N is an integer equal or larger than 2.

Description

RADIATION SOURCE-COLLECTOR AND METHOD FOR MANUFACTURE FIELD
[0001] The present invention relates to methods for manufacturing multilayer mirrors for use in lithographic apparatus, such mirrors per se, and lithographic apparatus including such mirrors.
BACKGROUND
[0002] A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g., comprising part of, one, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned.
[0003] Lithography is widely recognized as one of the key steps in the manufacture of ICs and other devices and/or structures. However, as the dimensions of features made using lithography become smaller, lithography is becoming a more critical factor for enabling miniature IC or other devices and/or structures to be manufactured.
[0004] A theoretical estimate of the limits of pattern printing can be given by the Rayleigh criterion for resolution as shown in equation (1): CD = k*— NA (i) [0005] where λ is the wavelength of the radiation used, NA is the numerical aperture of the projection system used to print the pattern, kl is a process dependent adjustment factor, also called the Rayleigh constant, and CD is the feature size (or critical dimension) of the printed feature. It follows from equation (1) that reduction of the minimum printable size of features can be obtained in three ways: by shortening the exposure wavelength λ, by increasing the numerical aperture NA or by decreasing the value of kl.
[0006] In order to shorten the exposure wavelength and, thus, reduce the minimum printable size, it has been proposed to use an extreme ultraviolet (EUV) radiation source. EUV radiation is electromagnetic radiation having a wavelength within the range of 5-20 nm, for example within the range of 13-14 nm. It has further been proposed that EUV radiation with a wavelength of less than 10 nm could be used, for example within the range of 5-10 nm such as 6.7 nm or 6.8 nm. Such radiation is termed extreme ultraviolet radiation or soft x-ray radiation. Possible sources include, for example, laser-produced plasma sources, discharge plasma sources, or sources based on synchrotron radiation provided by an electron storage ring.
[0007] EUV radiation may be produced using a plasma. A radiation system for producing EUV radiation may include a laser for exciting a fuel to provide the plasma, and a radiation source for containing the plasma. The plasma may be created, for example, by directing a laser beam at a fuel, such as particles of a suitable material (e.g., tin), or a stream of a suitable gas or vapor, such as Xe gas or Li vapor. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector. The radiation collector may be a mirrored normal incidence radiation collector, which receives the radiation and focuses the radiation into a beam. The radiation source may include an enclosing structure or chamber arranged to provide a vacuum environment to support the plasma. Such a radiation system is typically termed a laser produced plasma (LPP) source.
[0008] Examples of damage mechanisms identified for EUV optics are oxidation and blistering of cap layers or multilayer mirror (MLM) stacks.
[0009] EUV collector mirrors, including grating collector mirrors are metal or metalloid or ceramic substrates coated for example with a molybdenum-silicon multilayer stack for EUV radiation reflection purposes. The molybdenum-silicon multilayer stack may be exposed to volatile species that are generated in the source, such as radicals or ions. Continued exposure to volatile species may result in the unintentional inclusion of such species within the molybdenum-silicon layers, which may lead to the formation of blisters on the surface of the molybdenum-silicon multilayer stack. The formation of blisters can degrade the optical properties of the mirror, for example by reducing the reflectivity, or by altering the local focusing characteristics.
[0010] It is known to provide a capping layer at the surface of a molybdenum-silicon multilayer stack, so as to block ions from reaching the molybdenum-silicon layers. However, the use of a capping layer may reduce the reflectivity of the mirror. A further alternative is to increase the roughness at the interface between adjacent molybdenum-silicon layers.
However, while having some beneficial effect by reducing the unintentional inclusion of ions, this also may reduce the reflectivity of the mirror surface.
[0011] What is needed is a multilayer mirror that is resistant to blister formation during use in a EUV source.
SUMMARY
[0012] Normal incidence EUV collectors may typically be made with multiple bilayers (i.e. alternating layers) of molybdenum (Mo) and silicon (Si), which have differing refractive indices for EUV radiation. Volatile (gaseous) species such as hydrogen atoms, radicals and ions, and possibly H2 molecules as well, may be generated by a plasma used for EUV radiation generation, particularly with a laser produced plasma (LPP) source. Such species may damage multilayer mirror by the blistering of one or more of the mirror layers. The damage may occur due to the production and building up of volatile species in a layer. Where one of the layers of a multilayer stack is of silicon, a volatile compound such as SiH4 may be formed, or change of stress within the layer may arise from chemical bonding changes and the like.
[0013] It is desirable to reduce or even prevent damage to mirrors, such as multilayer mirrors, arranged for reflection of EUV radiation. It is also desirable to achieve protection from damage without substantial loss in the reflectivity of the mirror for EUV radiation. This is of particular importance for normal incidence collector mirrors for EUV generators using a laser produced plasma source: such collector mirrors may be particularly prone to suffering blistering damage from exposure to high energy volatile species such as atoms, radicals, ions or even molecules. The blistering effect is thus due to unintentional inclusion of volatile species within a mirror layer during use of a component comprising the mirror layer.
[0014] An aspect of the invention provides multilayer optics configured for reflection of radiation having a wavelength λ, the multilayer optics comprising a multilayer stack of alternating layer pairs, wherein each pair of alternating layers comprises a first layer of thickness dl and a second layer of thickness d2, wherein a periodic length p=dl+d2 of each pair of alternating layers satisfies the following condition: m λ = 2 p sinO, wherein m = 1,2, 3, etc is an integer representing the order of the Bragg diffraction peak and Θ is the angle between an incident radiation and a scattering plane of the multilayer optics; and a protective region comprising pairs of alternating protective layers, each pair of alternating protective layers comprising a first protective layer of thickness dsl and a second protective layer of thickness ds2, wherein a periodic length ps=dsl+ds2 of each pair of alternating protective layers satisfies the following conditions: m λ = 2 ps sin6 and ps = p N, wherein N is an integer equal or larger than 2.
[0015] It has surprisingly been found that such a protective region comprising from 1 to 10 pairs of alternating protecting layers arranged on the top of the multilayer stack can reduce the tendency for blistering by preventing, or at least hindering, the unintentional inclusion of volatile species within the multilayer mirror. The thicker topmost layer in the protective region may provide a significant enhancement of the ability of that layer of the first material to resist the unintentional inclusion of hydrogen during exposure of a mirror (including the layer of the first material) to hydrogen radicals or ions during use, while still contributing to the Bragg diffraction and thereby providing sufficient reflectivity.
[0016] The first material may be molybdenum. Molybdenum-silicon multilayer mirrors provide surfaces that are reflective to EUV radiation. Alternatively, the first material may be carbon. A carbon-silicon multilayer mirror may provide a mirror that has enhanced reflectivity when compared to a molybdenum-silicon multilayer mirror.
[0017] The first layer of the first material may be the layer of the first material in a bilayer stack that is disposed furthest from the substrate.
[0018] The structure of the multilayer mirror comprises pairs of alternating layers of a first material and layers of silicon deposited upon a substrate. The silicon layer of the mirror that is furthest from the substrate (i.e., which is deposited last) has an exposed surface that defines the surface of the mirror. The surface of the mirror is that which first receives radiation that is incident on the mirror. The silicon layer that is furthest from the substrate has an underlying layer of the second material, together forming a pair. The layer of the first material within the pair is furthest from the substrate.
[0019] Alternatively, the layers of the first material and layers of silicon may be reversed, such that the surface of the mirror is defined by the layer of the first material that is furthest from the substrate, and that that is deposited last.
[0020] The first layer that is furthest from the substrate (such as a capping layer) may provide the most significant degree of protection for the mirror (compared with other layers of the same material). This is because the layer of the first material closest to the surface of the mirror receives the largest flux of volatile species incident upon the mirror (i.e., more than other layers of the first material). This layer, which receives the largest flux of volatile species, will not only prevent the unintentional inclusion of volatile species in that layer, but also protect layers further from the surface (i.e., nearer to the substrate) from incident species, by preventing or restricting the volatile species from passing through the layer closest to the surface.
[0021] The first mirror layer within a multilayer mirror may prevent for example, or at least significantly hinder, the subsequent unintentional inclusion of hydrogen species within that silicon layer during use in an EUV source environment. In such an environment, hydrogen radicals and ions may be generated by an EUV-induced plasma. Hydrogen radicals or ions may then become included within one or more of the silicon layers of the multilayer mirror, eventually causing blisters on the surface of the mirror and degrading the performance of the mirror.
[0022] According to a further aspect of the invention, there is additionally provided a capping layer on the top of the protective layer. Such a capping layer may be combined with a material from the group consisting of AI2O3, ZrC>2, TiC and B4C. Usage of such material in combination with a capping layer (e.g. a ZrN capping layer) may ensure that high accumulation of hydrogen is limited to the capping layer. The capping layer may also be a layer of SiNx or a layer of diamond like carbon (DLC).
[0023] There is also provided a source-collector assembly for device lithography and a lithographic apparatus comprising a multilayer mirror according to the various aspects of the invention.
[0024] According to another aspect of the invention, there is provided a method of manufacturing manufacturing a multilayer optics configured to reflect radiation having a wavelength λ, the method comprising providing a multilayer stack of alternating layer pairs, wherein each pair of alternating layers comprises a first layer of thickness dl and a second layer of thickness d2, wherein a periodic length p=dl+d2 of each pair of alternating layers satisfies the following condition: m λ = 2 p sin0, wherein m = 1, 2, 3, etc is an integer representing the order of the Bragg diffraction peak and Θ is the angle between an incident radiation and a scattering plane of the multilayer optics; and providing a protective region comprising pairs of alternating protective layers, each pair of alternating protective layers comprising a first protective layer of thickness dsl and a second protective layer of thickness ds2, wherein a periodic length ps=dsl+ds2 of each pair of alternating protective layers satisfies the following conditions: m λ = 2 ps sin0 and ps = p N, wherein N is an integer equal or larger than 2.
[0025] The method may further comprise depositing a capping layer on the multilayer mirror.
[0026] According to a further aspect of the invention, there is additionally provided an apparatus for extreme ultraviolet lithography comprising: a vacuum container comprising an optical element having an optically active surface, a gas supply system comprising a source of an anti-blistering gaseous mixture, an exhauster configured to exhaust gas in said vacuum container; wherein the gas supply system is arranged to provide the anti-blistering gaseous mixture at/near the optically active surface of the optical element in order to protect it from blistering, and wherein the anti-blistering gaseous mixture comprises an oxygen containing gas compound at a partial pressure ranging from 5e-8 to le-4 mbar. The oxygen containing gas compound is also referred herein as a first gaseous component. The gas supply system may be a H2 buffer gas supply system or a supply system for H radical cleaning. The apparatus may further contain a flow regulator configured to regulate the amount of anti-blistering gaseous mixture in said vacuum container. The apparatus may further also contain a detector configured to detect an amount of a gas component of the anti-blistering gaseous mixture in said vacuum container; and a controller configured to control, based on an amount of gas component detected by said detector, the amount of the at least one of the gas supplied by said gas supply system, so that the components of the anti-blistering gaseous mixture satisfy a predetermined relationship.
[0027] The oxygen containing gas compound is selected for example from gases such as 02, CO, C02, H20, NOx (such as NO and N02), or a gas mixture comprising 02 and N2 wherein 02 is 25% or less of the gas mixture, and mixtures of such gases.
[0028] The anti-blistering gaseous mixture may further comprise a second gaseous component, the second gaseous component comprising one or more of H2, H radicals, deuterium, He and Ar. The anti-blistering gaseous mixture may comprise for example a mixture of He/02 or Ar/02.
[0029] Preferably the partial pressure of the oxygen containing gas compound is in a range from le-6 to le-4 mbar. Even more preferable the partial pressure oxygen containing gas compound is in a range from 5e-6 to 5e-5 mbar.
[0030] The gas mixture comprising 02 and N2 may be for example extra clean dry air (XCDA) containing 20% 02 and 80% N2, or a gas mixture of 02 and N2 containing 5% or less 02 and 95% or more N2. If the oxygen containing gas compound is XCDA, preferably the partial pressure of 02 is in a range from le-6 to 5e-5 mbar. If the gas mixture contains from 0.5 to 5% 02 and from 95 to 99.5% N2, preferably the partial pressure of 02 is from le-6 to 5e-5 mbar. When the oxygen containing gas compound is C02 or CO, preferably it has a partial pressure from le-8 to 5e-5 mbar.
[0031] The optically active surface of the optical element may be protected with a cap layer, such as a Ru layer. The Ru layer may in addition be covered with a thin C layer.
[0032] Further features and advantages of the invention, as well as the structure and operation of various embodiments of the invention, are described in detail below with reference to the accompanying drawings. It is noted that the invention is not limited to the specific embodiments described herein. Such embodiments are presented herein for illustrative purposes only. Additional embodiments will be apparent to persons skilled in the relevant art(s) based on the teachings contained herein.
[0033] Throughout this specification, the term “comprising” or “comprises” means including the component(s) specified but not to the exclusion of the presence of others. The term “consisting essentially of” or “consists essentially of” means including the components specified but excluding other components except for materials present as impurities, unavoidable materials present as a result of processes used to provide the components, and components added for a purpose other than achieving the technical effect of the invention. Typically, a composition consisting essentially of a set of components will comprise less than 10% by weight, typically less than 5% by weight, more typically less than 3% by weight, such as less than 1% by weight of non-specified components. The term “consisting of’ or “consists of” means that other components are specifically excluded.
[0034] Whenever appropriate, the use of the term “comprises” or “comprising” may also be taken to include the meaning or “consisting essentially of’ or “consisting of’.
[0035] When it is said, in this specification, that a material is “X”, it means that the material consists essentially of “X”.
[0036] Where mention is made in this specification of compounds such as carbides, nitrides, oxides or silicides, it is to be understood that these terms refer to both stoichiometric and non-stoichiometric compounds, the latter being formed in circumstances where the compound is formed by a process, such as sputtering or chemical vapour deposition, that may evidently lead to the provision of non-stoichiometric compounds in layers. For instance, silicon nitride may be the stoichiometric form Si3N4, or it may be SiNx, where x is a number, for instance from 0.1 to 1.4. Similarly, boron nitride may refer to B4C, B9C, or to non-stoichiometric compounds.
[0037] The optional and/or preferred features set out in this specification, either in the description or in the clauses, may be used either individually or in combination with each other where appropriate and particularly in the combinations as set out in the accompanying clauses. The optional and/or preferred features for each aspect of the invention set out herein are also applicable to any other aspects of the invention, where appropriate.
BRIEF DESCRIPTION OF THE DRAWINGS
[0038] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, and in which: [0039] Figure 1 depicts a lithographic apparatus according to an embodiment of the invention; [0040] Figure 2 is a more detailed view of the lithographic apparatus 100; [0041] Figure 3 is a more detailed view of the radiation source SO of the apparatus of Figures 1 and 2; [0042] Figure 4 schematically depicts a multilayer mirror that may be used in the radiation source of Figure 3 in accordance with an embodiment of the invention; [0043] Figure 5 schematically depicts a multilayer mirror that may be used in the radiation source of Figure 3 in accordance with an embodiment of the invention; [0044] Figure 6 schematically depicts the reflectivity of a reference multilayer stack vs two multilayer mirrors having a protective region with one and two pairs of alternating protective layers according to the invention.
[0045] The features and advantages of the present invention will become more apparent from the detailed description set forth below when taken in conjunction with the drawings, in which like reference characters identify corresponding elements throughout. In the drawings, like reference numbers generally indicate identical, functionally similar, or structurally similar elements. The drawing in which an element first appears is indicated by the leftmost digit(s) in the corresponding reference number.
DETAILED DESCRIPTION
[0046] This specification discloses embodiments that incorporate the features of this invention. The disclosed embodiments merely exemplify the invention. The scope of the invention is not limited to the disclosed embodiments. The invention is defined by the clauses appended hereto.
[0047] The embodiments described, and references in the specification to “one embodiment,” “an embodiment,” “an example embodiment,” “some embodiments,” etc., indicate that the embodiments described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is understood that it is within the knowledge of one skilled in the art to effect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.
[0048] Figure 1 schematically depicts a lithographic apparatus 100 including a radiation source SO according to one embodiment of the invention. The apparatus comprises: [0049] an illumination system (illuminator) IL configured to condition a radiation beam B (e.g., EUV radiation); [0050] a support structure (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device; [0051] a substrate table (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and [0052] a projection system (e.g., a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
[0053] The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
[0054] The support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system.
[0055] The term “patterning device” should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. The pattern imparted to the radiation beam may correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
[0056] The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam that is reflected by the mirror matrix.
[0057] The projection system, like the illumination system, may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of a vacuum. It may be desired to use a vacuum for EUV radiation since other gases may absorb too much radiation. A vacuum environment may therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps.
[0058] As here depicted, the apparatus is of a reflective type (e.g., employing a reflective mask).
[0059] The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
[0060] Referring to Figure 1, the illuminator IL receives an extreme ultra violet radiation beam from the radiation source SO. Methods to produce EUV radiation include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range. In one such method, often termed laser produced plasma ("LPP") the required plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the required line-emitting element, with a laser beam. The radiation source SO may be part of an EUV radiation system including a laser, not shown in Figure 1, for providing the laser beam exciting the fuel. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the radiation source. The laser and the radiation source may be separate entities, for example when a CO2 laser is used to provide the laser beam for fuel excitation.
[0061] In such cases, the laser is not considered to form part of the lithographic apparatus and the laser beam is passed from the laser to the radiation source with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander.
[0062] In an alternative method, often termed discharge produced plasma (“DPP”) the EUV emitting plasma is produced by using an electrical discharge to vaporise a fuel. The fuel may be an element such as xenon, lithium or tin that has one or more emission lines in the EUV range. The electrical discharge may be generated by a power supply that may form part of the radiation source or may be a separate entity that is connected via an electrical connection to the radiation source.
[0063] The illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
[0064] The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g., mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g., an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g., mask) MA with respect to the path of the radiation beam B. Patterning device (e.g., mask) MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks PI, P2.
[0065] Figure 2 shows the lithographic apparatus 100 in more detail, including the radiation source SO, the illumination system IL, and the projection system PS. The radiation source SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 220 of the radiation source SO. An EUV radiation emitting plasma 210 may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the very hot plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum. The very hot plasma 210 is created by, for example, an electrical discharge causing an at least partially ionized plasma. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation. In an embodiment, a plasma of excited tin (Sn) is provided to produce EUV radiation.
[0066] The radiation emitted by the hot plasma 210 is passed from a source chamber 211 into a collector chamber 212 via an optional gas barrier or contaminant trap 230 (in some cases also referred to as contaminant barrier or foil trap), which is positioned in or behind an opening in source chamber 211. The contaminant trap 230 may include a channel structure. Contamination trap 230 may also include a gas barrier or a combination of a gas barrier and a channel structure. The contaminant trap or contaminant barrier 230 further indicated herein at least includes a channel structure, as known in the art.
[0067] The collector chamber 212 may include a radiation collector CO, which may be a so-called grazing incidence collector. Radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. Radiation that traverses collector CO can be reflected off a grating spectral filter 240 to be focused in a virtual source point IF. The virtual source point IF is commonly referred to as the intermediate focus, and the radiation source is arranged such that the intermediate focus IF is located at or near an opening 221 in the enclosing structure 220. The virtual source point IF is an image of the radiation emitting plasma 210.
[0068] Subsequently the radiation traverses the illumination system IL, which may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA. Upon reflection of the beam of radiation 21 at the patterning device MA, held by the support structure MT, a patterned beam 26 is formed and the patterned beam 26 is imaged by the projection system PS via reflective elements 28, 30 onto a substrate W held by the wafer stage or substrate table WT.
[0069] More elements than shown may generally be present in illumination optics unit IL and projection system PS. The grating spectral filter 240 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the Figures, for example there may be 1- 6 additional reflective elements present in the projection system PS than shown in Figure 2.
[0070] Collector optic CO, as illustrated in Figure 2, is depicted as a nested collector with grazing incidence reflectors 253, 254 and 255, just as an example of a collector (or collector mirror). The grazing incidence reflectors 253, 254 and 255 are disposed axially symmetric around an optical axis O and a collector optic CO of this type is preferably used in combination with a discharge produced plasma source, often called a DPP source.
[0071] Alternatively, the radiation source SO may be part of an LPP radiation system as shown in Figure 3. A laser LA is arranged to deposit laser energy into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li), creating the highly ionized plasma 210 with electron temperatures of several 10's of eV. The energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma, collected by a near normal incidence collector optic CO and focused onto the opening 221 in the enclosing structure 220.
[0072] EUV radiation has the ability to decompose substances since it has sufficiently high energy to ionize a residual gas environment, whereby the residual gas is decomposed into components which can deposit (or be absorbed) on any surface, or chemically alter them (such as by surface oxidation). When this occurs at the surface of an optical element (i.e. at the optically active surface, for example a reflective surface of a mirror or the detecting surface of a sensor), the reflectance of the optical element to EUV radiation may deteriorate. Deterioration in reflectance decreases the amount of radiation which passes through the optical system. This prolongs the exposure time, which is undesired for the exposure apparatus performance.
[0073] To protect the optical elements, such as EUV mirrors or sensors present in the EUV radiation source or in a EUV lithographic apparatus, one option is to apply a cap layer which has anti-oxidative properties. For example ruthenium (Ru) or similar materials resistant to oxidation may be suitable cap layer materials to form a protective film. For multilayer Bragg reflection mirrors preferably the cap layer is a thin layer with a thickness of less than 10 nm, even more preferably less than 5 nm and most preferably less than 2 nm. In other cases like sensors or grazing incidence EUV reflective mirrors this thickness may be larger such as more than 50 nm.
[0074] Another source of contamination for optical elements may be C or Sn deposits (e.g. C atoms resulted from outgassing or from tin debris particles resulting during the plasma formation process). A measure to protect optical elements from deposits is to provide a buffer gas or gas flow such as H2, He or N2 which counteracts some of the debris particles from falling on the optics. In addition, radical cleaning such as using H radicals has been proposed before to remove deposits of C or Sn from the optical element surfaces.
[0075] As mentioned above, it has been recently observed that EUV optical elements covered with a protective cap layer or consisting of materials such as Ru may sometime be deteriorated in a hydrogen environment by the formation of blisters, thereby degrading the performance of the optical element. It has been now found that under specific conditions according to the invention the blister formation may be substantially reduced.
[0076] In an embodiment according to the invention it has been found that blistering of optical elements is substantially reduced by providing an anti-blistering gaseous mixture around the optical element, the anti-blistering gaseous mixture comprising an oxygen containing gas compound provided within a partial pressure window. By an oxygen containing gas compound herein is meant 02 containing gas as well as oxides containing gas. Non-limiting examples of an oxygen containing gas compound are for instance 02, CO, C02, H20, NOx (i.e. NO and N02), XCDA (i.e. extremely clean dry air containing about 20% 02 and 80% N2) or a gas mixture containing 02 and N2 at different ratio such as 2% 02 + 98% N2, and mixtures thereof. If the oxygen containing gas compound is a gas mixture containing 02 and N2, generally the amount of 02 in the 02+N2 mixture is less than 25%, although for safety reasons it may be preferred to use lower amounts of 02 in the 02+N2 mixture, such as 5% or less.
[0077] Another component of the anti-blistering gaseous mixture may be one or more of H2, H radicals, deuterium, He and Ar.
[0078] The partial pressure window of the oxygen containing gas compound in the anti-blistering gaseous mixture is chosen such that blistering is substantially reduced, while also the risk of oxygenation of the optically active surface is minimized. The partial pressure window of the oxygen containing gas compound can range from 5e-8 to le-4 mbar, preferably from le-6 to le-4 mbar, more preferably from 5e-6 to 5e-5 mbar. Examples of oxygen containing gas compounds according to the invention may comprise for example 5e-5 mbar C02, 7e-5 mbar XCDA (e.g. 1.4e-5 mbar 02 and 5.6e-5 mbar N2), or 2% 02 + 98% N2 gaseous mixture at le-5 mbar 02 and 5e-4 mbar N2. Also He/02 or Ar/02 mixtures with H2 may be suitable anti-blistering gaseous mixtures.
[0079] An apparatus for extreme ultraviolet lithography such as an EUV radiation source or a lithographic apparatus according to the invention has a vacuum container comprising an optical element with an optically active surface, a gas supply system with a a source of an anti-blistering gaseous mixture and an exhauster configured to exhaust gas in said vacuum container. The gas supply system is arranged to provide the anti-blistering gaseous mixture at/near the optically active surface of the optical element in order to protect it from blistering. The anti-blistering gaseous mixture comprises an oxygen containing gas compound at a partial pressure ranging from 5e-8 to le-4 mbar.
[0080] The oxygen containing gas compound can be delivered via an independent gas supply system and mixed in a mixing system with H2 and/or H radicals to provide a controlled partial pressure in the above partial pressure window when reaching the optical element to be protected. If a gas supply system is already available in a EUV radiation source or lithographic apparatus, for simplicity the gaseous mixture may also be prepared in advance in a container and provided at the desired (partial) pressure via the existing gas supply system. The gaseous mixture may be delivered for example via a H2 buffer gas supply system or a H radical cleaning supply system for cleaning of optical elements. It is also desirable to mix and deliver the gaseous mixture of H2 or H radicals with an oxygen containing gas compound in a controlled manner (e.g. with aid of mass flow controllers) and where needed to recirculate/remove the gaseous mixture via pumps.
[0081] Any type of mirrors or sensors surrounded by a hydrogen environment and prone to blistering may be protected with the gaseous mixture according to the invention, including EUV source collector mirrors such as a Mo-Si multilayer normal incidence collector or a Ru capped grazing incidence collector mirror, or mirrors in a lithographic apparatus such as the illuminator or projection optics of an EUV exposure or reticle inspection tool. Since the oxygen containing gas component is in a low pressure regime there is no significant risk of oxidation and optical surface degradation.
[0082] When the oxygen containing gas compound is CO or C02, it is expected to have an improved anti-blistering protection when a catalyst-type cap layer is provided on the top of the optical element to be protected. A possible mechanism determining the decrease of blister formation in the presence of gaseous mixture of CO or C02 with hydrogen in any form may be that atomic hydrogen is extracted from the surface before being absorbed by the bulk material. Such extraction process is expected to happen via a methanation process of hydrogen with CO or C02 induced by EUV plasma or high energy irradiation (such as via an RF plasma generator) in the presence of the Ru cap layer, which can act as a methanation catalyst. Hydrogenation of carbon mono- or dioxide to methanol is expected to take place at the catalyzing (Ru) cap layer surface, resulting in formation of CH3OH. A similar methanation process is known in the literature for C02 for example from paper “Hydrogenation of carbon dioxide to methanol using a homogeneous ruthenium-Triphos catalyst: from mechanistic investigations to multiphase catalysis” by S. Wesselbaum et al, Chem. Sci., 2015, 6, 693-704. Another possible mechanism is that CO gas has strong absorption to Ruthenium. This occupies free vacancies and inhibits hydrogen chemisorption.
[0083] In addition, on the top of the Ru cap layer a dynamic protective carbon layer may be added to prevent Sn from reaching the Ru layer. A mixture of H and CH3 radicals may be formed from H2 and CH4, wherein the resulting H radicals will clean the C and Sn deposits and the CH3 radicals will deposit or decompose on the optical element as protective carbon monolayers. A layer of C deposited on the cap layer surface is expected to prevent H radicals to diffuse in or under the Ru layer and blister it as well as it can prevent SnH re-deposition (which would negatively impact the Sn cleaning rate). The EUV loss in transmission when having an additional C monolayer is minimal (-0.2%).
[0084] With an appropriate mix of CH4 and H2 in combination with the EUV radiation a stable layer of C may be formed on the Ru surface which can interact with the H radicals. As such the H radicals will not penetrate inside or under the Ru layer, however the Ru layer would lose in this case some of its catalytic power. The C layer formed on the Ru surface will grow due to (EUV irradiation formed) CH3 radical deposition as C atoms and it can be extracted as CH4 due to interaction of CHx radicals with H radicals. A Typical CH3/H radical or CH4/H2 concentration is desirably in a range of 1-10%. Too much CH4 gas may result in runaway carbon growth. Too little CH4 may result in no uniform C layer formed on the mirror and potentially blistering.
[0085] The anti-blistering gaseous mixture according to the invention may be provided to substantially suppress the blistering of any type of optical element. In addition to providing such an anti-blistering gaseous mixture at the active optical surface of optical elements prone to blistering, it has also been found that the anti-blistering effect may be enhanced for multilayer EUV optical elements by providing a further anti-blistering protective region following special Bragg conditions, as described below.
[0086] Multilayer mirrors in a EUV radiation system or a device using EUV radiation typically employ Bragg diffraction at normal or near-normal incidence to achieve good reflectivity. Such mirrors comprise a multilayer stack of pairs of alternating layers of a first material of first refractive index and layer thickness dl and a second material of second refractive index and layer thickness d2, wherein the first refractive index is higher than the second refractive index for said radiation.
[0087] The thickness dl, d2 of the two layers in a pair of alternating layers can be adjusted such as to minimize the effect of absorption losses on the reflectivity. For example, the thickness dl of the high absorption (absorber) layer may be set slightly smaller than the thickness d2 of the low absorption (spacer) layer, with a partition ratio defined as Γ = dl/(dl+d2) of the pair of alternating layers. Preferably the refractive index of the first material is higher than the refractive index of the second material for a given radiation wavelength. The mirror may be manufactured by alternately sputtering the two elements thirty or more times (30+ layer pairs) onto a mirror blank of appropriate shape.
[0088] The reflectivity of the multilayer mirror peaks whenever the thickness of a mirror layer satisfies the Bragg condition (2): m λ = 2 p sinO (2) where m = 1, 2, 3, etc is an integer representing the order of the Bragg diffraction peak, λ is the wavelength of the incident wave, p is the periodic length of the multilayer stack (i.e., the thickness of one pair of alternating layers p = dl + d2) and Θ is the angle between the incident ray and the scattering plane(s). Multilayer mirror stacks considered in the art for EUV optics at a EUV radiation wavelength λ = 13.5 nm comprise for example a plurality of pairs of alternating Mo/Si layers arranged such that the periodic length p of the Mo/Si pairs satisfy the Bragg condition (2). For typically m=l and normal incidence the required periodic length is p = 6.93 nm. An optimal partition ratio Γ which provides a good Mo/Si reflectivity is in the range from 0.35 to 0.4, with a ratio dl (Mo) / d2 (Si) = 2/3.
[0089] Figure 4 schematically illustrates a sectional view of a multilayer mirror forming part of the normal incidence collector optic CO of Figure 3. Normally the surface of the substrate of a collector mirror as shown in Figure 3 has a concave shape, however, in order to simplify the figure, a portion of the multilayer stack reflective mirror was made horizontal and the number of laminated layers shown is abbreviated. The multilayer mirror comprise a multilayer stack 300 of pairs of alternating layers of Mo being the first material having a layer thickness dl and Si being a second material of a layer thickness d2. The multilayer stack 300 may have for example from 40 to 50 pairs of alternating Mo/Si layers. Barrier layers (not shown in Figure 4) may be provided between subsequent layers of molybdenum and silicon in order to avoid diffusion. Such a barrier layer may be made for example from boron carbide (B4C).
[0090] On the top of the multilayer stack 300 there is provided a protective region 310 arranged to provide a good resistance to blister formation in the multilayer stack 300. The protective region 310 is arranged to reflect the same radiation wavelength as the multilayer stack 300. Between the multilayer stack 300 and the protective region 310 an intermediate layer of carbon or molybdenum may be provided. The protective region 310 may comprise from 1 to 10 pairs of alternating protective layers (only one pair shown in Figure 4). The alternating protective layers may be arranged such that alternation of refractive indexes continues from the multilayer stack 300 through the protective region 310. The protective region 310 may be formed by pairs of alternating protective layers of a first protective material having a layer thickness dsl, and a second protective material having a layer thickness ds2.
[0091] The periodic length p of a stack of pairs of alternating layers in the multilayer stack 300 satisfies the Bragg equation (2) for a given radiation wavelength λ. The periodic length of the protective region 310 defined as ps = dsl + ds2 also is chosen such as to satisfy the Bragg equation (2’): m λ = 2 ps sinO (2’)
In addition to that, ps is taken as a multiple N of the periodic length p of the multilayer stack 300: ps = N p (3) wherein N is an integer > 2.
[0092] When choosing the periodic length of the pairs of protective layers in the protective region 310, the thickness of the protective layers and their ratio may be varied as long as ps satisfies condition (3). The protective layer 310 may be arranged for instance such that d2 = ds2, however dsl+ds2 should still comply with condition (3). For example, in a Mo/Si based protective region the Mo protective layer thickness may be kept the same and only the thickness of the Si protective layer is increased accordingly.
[0093] A standard Mo-Si multilayer mirror has a maximum theoretical reflectivity of 75% at a periodic length p = 6.9nm and a Mo/Si layer thickness ratio of 2/3 (for m=l in condition (2)). State of the art multilayer mirrors have however a reflectivity somewhat lower than the theoretical estimations, for example around 69%. When a protective region 310 is present on the top of the multilayer stack 300 which satisfies conditions (2’) and (3), for m=l and N=2 the maximum theoretical reflectivity was determined to be around 62%. In the protective region 310 an optimal partition ratio defined as Ts = dsl / ps which will provide an acceptable Mo/Si reflectivity is in the range from 0.15 to 0.3. For m=l and N=2 the periodic length of the protective region 310 is for example ps = 13.7nm. The value of the ratio dsl/ds2 is also chosen such as to obtain a reflectivity > 60%. For example a ratio dsl/ds2 = 0.25 will provide a higher reflectivity (around 62% based on a theoretical estimation) than the standard multilayer stack ratio dl (Mo) / d2 (Si) = 2/3 (which will result in about 40% EUV radiation reflectivity).
[0094] Figure 4 presents a protective region 310 formed by a single pair of alternating layers satisfying condition (3). Alternatively 2 pairs, 3 pairs or even more of alternating pairs up to 10 pairs may form the protective region 310. Each pair of alternating layers in the protective region 310 increases however the EUV radiation absorption and therefore it is advantageous to keep a relatively low number of pairs in the protective region 310. For example, for each pair of Mo/Si alternating layers about 1.25%-1.5% loss in reflectivity can be counted for. The number of pairs of layers in the protective region 310 depends on the balance between the desired reflectivity versus the protection effectivity of the multilayer stack 300 against blistering or other adverse effects.
[0095] The first and the second protective materials forming a pair of alternating protecting layers in the protective region 310 may be the same or different from the first and second material forming pairs of alternating layers in the multilayer stack 300. For the protective region 310, the topmost layer may comprise for example a layer of non-reactive material, for example S13N4, having a refractive index for EUV higher than that of the adjacent Mo layer. As used herein, the term “topmost” is intended to mean one or more layers that receive radiation that is incident on the collector optic CO, prior to the rest of the multilayer stack 300. A simple option is for example to use still Mo/Si protective layer pairs because the multilayer material deposition process and equipment are kept the same as for the multilayer stack. However other materials are also possible for the first and second protective layers.
[0096] Preferably the first material is a boride, a nitride, a silicide or a carbide. Examples of first protective materials are Mo, C, B, DLC (diamond), B4C, CeB6, SrB6,
LaB6, YB4/YB6, MoB2, RuB2, ZrB2, ReB2, TiB2, SiB4/SiB6, Be2B, BeB2, ZrC, TiC, Mo2C, SiC. Radiation hard materials based on boron and boron derivatives, such as S1B4 and M0B2 may provide additional advantages. Examples of the second protective material are Si or a Si-based material, such as SiB2, SiN or SiC. Other examples of second protective material are CeB6, SrB6, LaB6, YB4/YB6, BeB2. Combinations of first and second protective layers in the protective region 310 may be for example (first protective material / second protective material): Mo/Si, DLC/Si, B4C/Si, Mo/CeB6, Mo/SrB6, Mo/LaB6, M0/YB6, MoB2/Si, RuB2/Si, ZrB2/Si, ReB2/Si, TiB2/Si, MoB2/SiB4, MoB2/BeB2, Mo/SiC, ZrC/Si, TiC/Si, or Mo2C/Si. In an embodiment alternating protective layers of C/Si may be used for the protective region 310 due to good anti blistering performance found for carbon. The reflectivity loss per alternating C/Si pair is in such case 2.8%.
[0097] Figure 5 schematically illustrates another embodiment of a collector mirror in a sectional view, which may form part of the normal incidence collector optic CO of Figure 3. Herein, the mirror from Figure 4 having a multilayer stack 300 and a protective region 310 is further provided with capping layer 320 deposited on the top of the protective region 310. The capping layer 320 may be a nitride or an oxide layer, such as ZrN or ZrO. The thickness of the capping layer 320 may be configured such that the loss in reflectivity is less than 5%. Beneath the capping layer 320 there may be 1 to 10 pairs of alternating layers provided as protective region 310, such as pairs of Mo-Si or C-Si, each pair having the periodic length ps = 13.7nm (i.e. m = 2 in the Bragg equation). Under the protective region 310 the standard multilayer stack 300 from Mo-Si is provided having a periodic length p = 6.9 nm (i.e. m=l in the Bragg equation).
[0098] In the embodiments illustrated in Figures 4 or 5, the orders of the layers may be reversed, or a further layer, or layers, in alternating refractive index sequence, may be added over the topmost layer or under the lowermost layer.
[0099] Although the general view accepted in the field of multilayer mirrors for EUV is that thin protective layers are required on top of a multilayer stack 300 in order to minimize EUV radiation absorption, it has been found that by employing the above described conditions for the protective layer thickness will still result in a reasonably high reflection while providing an enhanced resistance against blistering induced by volatile species. For example a Mo/Si multilayer mirror having twice the periodic length p (13.7nm instead of 6.9nm) up to lOx the periodic length p, which satisfies the Bragg condition (2) may still be a good reflector.
[00100] Figure 6 compares the mirror reflectivity versus radiation frequency for: a) a standard multilayer stack having 48 pairs of alternative Mo/Si layers having p=6.9nm and dl/d2= 2/3; b) the same multilayer stack of point a) with a single pair of Mo/Si layers on the top which forms the protective region 310, wherein ps=13.7 nm and dl/d2=l/4; and c) the same multilayer stack of point a) with a double pair of Mo/Si layers on the top which forms the protective region 310, wherein for each pair of protective region layers ps=13.7 nm and dl/d2=l/4.
[00101] The multilayer mirror according to the above embodiments may be of particular use as a collector mirror for a plasma source where volatile species are created. For instance, hydrogen radicals or other radicals may be used in an LPP source to provide scavenging of tin debris and deposits. The hydrogen radicals react with tin to form a volatile hydride. When the collector mirror is located close to the plasma source there is risk of volatile species impinging on the mirror and causing blistering of the top multilayers. Any such damage may result in a loss of optical performance, by reducing the reflectivity of the multilayer stack 300, or degrading the optical focus of the multilayer mirror.
[00102] Conventional multilayer mirrors protect the uppermost layer of silicon in the multilayer stack 300 with a capping layer that shields the multilayer stack 300 from bombardment by hydrogen atoms or ions during use of the radiation source SO. However, in the present invention, the multilayer stack 300 is protected from hydrogen bombardment by providing a thicker protective region 310 which complies with conditions (2) and (3) as described above.
[00103] As used herein, references to ‘top’, ‘uppermost’, ‘outer’ and ‘inner’ correspond to the arrangement depicted in the relevant Figure or Figures but are used merely for convenience; it is intended that reference to the ‘uppermost’ or ‘top’ layer of the collector optic CO refers to the layer that first receives radiation that is incident on the collector optic CO. Following this convention, ‘lower’ layers of the stack receive the incident radiation after ‘upper’ layers of the stack.
[00104] Manufacture of the multilayer mirror of the invention may be carried out by standard multilayer deposition methods, such as sputtering and/or chemical vapour deposition on to a substrate.
[00105] The invention provides a number of technical benefits over the prior art. The mirror is arranged so that radiation for reflection is incident upon the protective region 310 before being incident upon the multilayer stack 300. As the first stack has a higher resistance to blister formation when subjected to bombardment by volatile species such as hydrogen radicals or ions in use, the mirror may be protected from blistering. The protective region may have adequate thickness to prevent hydrogen from penetrating into the multilayer stack 300 and causing blistering in use.
[00106] Alternative solutions to the problem of blistering in multilayer mirrors, such as the provision of a capping layer, suffer from limited lifetime. The use of a capping layer may delay the onset of blistering, by slowing down the rate at which for example volatile species derived from hydrogen, such as hydrogen atoms, hydrogen radicals or hydrogen molecules, are incorporated into the underlying stack layers. However, prior art capping layers may suffer from significant etching of the capping layers after exposure to the harsh operating conditions found within an EUV source (e.g., the presence of a plasma).
[00107] It is thus likely that after prolonged exposure to the harsh operating conditions found within a EUV source, even a capped multilayer mirror will suffer from blistering. A multilayer mirror with a capping layer may also need to be refurbished periodically, with the capping layer being removed and re-applied. Further, the use of a capping layer can reduce the initial reflectivity of the multilayer stack 300. Any such reduction in reflectivity reduces the overall efficiency of the EUV source in which the mirror is used and so should be avoided where possible. However, it will be appreciated that a multilayer mirror according to an embodiment of the invention may optionally be provided with a capping layer 320, such as presented in Figure 5.
[00108] While the foregoing description considers only molybdenum-silicon multilayer mirrors, it is possible that the molybdenum layers may be replaced with alternative materials as may be appropriate. Alternative materials may be selected in dependence on their refractive index. A contrast in refractive index between the alternative material and the silicon layer will determine the reflective properties of the multilayer mirror at the particular wavelength of EUV radiation the mirror is intended to be used for. For example, the molybdenum layers may be replaced with carbon layers.
[00109] It will be appreciated that the uppermost layer in a multilayer mirror is subjected to the highest flux of volatile species when the multilayer mirror is exposed to a plasma, followed by the layer directly underneath and so on. Each layer acts as a barrier to the migration of such species, protecting the next layer in the stack to a certain extent. Therefore, while treating every layer in a multilayer mirror would provide the highest level of protection from blister formation, it is not necessary for every layer in a multilayer mirror to be so treated for significant performance advantages to be brought about.
[00110] Ions and other volatile species normally have a finite penetration depth in the multilayer mirror, with a rapidly decaying tail deeper in the material. While Figures 4 and 5 show an embodiments in which only the uppermost pair layer is thicker, by way of example, additional 2-5 uppermost layers of each of the stack-pairs in the protective region 310 may be treated in same way if further protection was required.
[00111] The mirror is of particular use as a collector mirror for a plasma source where hydrogen may be present in the plasma in use, for instance for an LPP source where hydrogen may be used to provide scavenging of debris and deposits. Where such a collector mirror may have to be located close to the plasma source, there is risk of hydrogen impinging on the mirror and causing blistering for prior art mirrors. The mirror of the invention reduces or eliminates the risk of such blistering.
[00112] While multilayer stacks consisting of only pairs of layers are described, embodiments of the invention may include additional intermediate layers between the pairs of layers, or between layers of a single pair, and still be regarded as a multilayer stack of pairs of alternating layers of a first material and silicon. For example each layer-pair of molybdenum and silicon may be separated by an intermediate layer. The intermediate layer may, for example, act as a diffusion barrier that may prevent further diffusion of hydrogen between the adjacent layer-pairs. A diffusion barrier layer may consist of a layer of, for example, boron carbide. Alternatively, intermediate layers may be incorporated for other purposes.
[00113] A further consideration when determining the thickness of protective layers is the wavelength of the EUV, which is incident upon the mirror. For example, a mirror optimized for high reflectivity at 13.5 nm may have a particular layer structure that may be different from a mirror that is optimized for high reflectivity at another EUV wavelength (e.g., 6 nm) to achieve the same degree of protection.
[00114] A procedure that may be used to manufacture a mirror a multilayer mirror having the architecture depicted in Figure 4 or 5 comprises providing initially a substrate (not shown in the figures), upon it a number of Mo/Si pairs forming a multilayer stack and finally protective region comprising alternating pairs of layers which comply with conditions (2) and (3). It will be appreciated that the above procedure may be used to deposit multilayer mirrors consisting of any number of Mo/Si pairs for the multilayer stack 300 and a number from 1 to 10 pairs of alternating layers in the protective region.
[00115] Further, a similar procedure as described above could be used to deposit composite molybdenum-silicon and carbon-silicon multilayer mirrors.
[00116] Although described in the context of collector optics, it should be understood that embodiments of the invention may be used for any multilayer mirror.
In another embodiment a WNx layer situated on the top of the MLM mirror or between a top mirror cap layer and the multilayer mirror stack may be used as a diffusion barrier, wherein x may take value of about 1 such that the ratio W to N is about 1. The WNx layer thickness may be between 0.5 and 10 nm, preferably between 1 and 5 nm. The WNx layer may be on the top or under a protective region comprising pairs of alternating protective layers, each pair of alternating protective layers comprising a first protective layer of thickness dsl and a second protective layer of thickness ds2, wherein a periodic length ps=dsl+ds2 of each pair of alternating protective layers satisfies the following conditions: m λ = 2 ps sinO and ps = p N wherein N is an integer equal or larger than 2. A multilayer optics may have a WNx layer provided on the top of the protective region or between the protective region and the multilayer stack, or even between the protective region and a capping layer. An example of cap layer material is a zirconium oxide (ZrOx).
[00117] The WNx diffusion barrier layer may provide the following functionalities: A) Suppression of hydrogen diffusion towards MLM stack.
The hydrogen ion energy in an EUV source may be very low (e.g. ion energy < 10 eV), thus most ions may be stopped in a few nm region from the cap layer provided on top of the diffusion barrier. Further hydrogen diffusion will be suppressed by the WNx layer. B) Suppression of oxygen diffusion towards MLM stack.
Besides being a diffusion barrier of relatively small hydrogen atoms and ions, it is expected that the WNx layer may be effective against diffusion of the larger atoms/ions such as oxygen. The majority of oxygen will likely react with the top capping layer. Also, since the oxidation of WNx typically happens at temperatures T > 400°C, WNx is a robust barrier for oxidation in normal operating conditions.
[00118] The WNx diffusion barrier is expected to increase collector coating lifetime in terms of oxidation and/or blistering. Less damage to the mirror coatings means also less refurbishment, which will increase the collector availability / lifetime.
[00119] In another embodiment it is herein proposed to use a Nb-doped ZrN cap layer on the top of the protective region in order to improve the resistance to oxidation. For example, doping with < 2wt% of Nb is sufficient such that the EUV reflection will be still comparable to a plain ZrN cap layer.
[00120] In practice, a Nb-doped ZrN cap layer can be prepared by the following methods: a) magnetron sputtering deposition from two separate magnetron sources with separate Nb and Zr(N) targets; or b) use of Nb-doped Zr target.
In addition methods using ion beam post- treatment and ion-assisted deposition can be used for densification of the layer to even further improve oxidation resistance/ reduce oxygen diffusion through the Nb-doped Zr layer.
[00121] Although reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion,” respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multilayer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
[00122] Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured.
[00123] The term “lens,” where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.
While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (e.g., semiconductor memory, magnetic or optical disk) having such a computer program stored therein. The descriptions above are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the clauses set out below. Other aspects of the invention are set out as in the following numbered clauses: 1. A multilayer optics configured for reflection of radiation having a wavelength λ, the multilayer optics comprising: a multilayer stack of alternating layer pairs, wherein each pair of alternating layers comprises a first layer of thickness dl and a second layer of thickness d2, wherein a periodic length p=dl+d2 of each pair of alternating layers satisfies the following condition: m λ = 2 p sin0 wherein m = 1, 2, 3, etc is an integer representing the order of the Bragg diffraction peak and Θ is the angle between an incident radiation and a scattering plane of the multilayer optics; and a protective region comprising pairs of alternating protective layers, each pair of alternating protective layers comprising a first protective layer of thickness dsl and a second protective layer of thickness ds2, wherein a periodic length ps=dsl+ds2 of each pair of alternating protective layers satisfies the following conditions:
m λ = 2 ps sin0 and ps = p N wherein N is an integer equal or larger than 2. 2. A multilayer optics according to clause 1 wherein the radiation for reflection is first incident on the protective region and then on the multilayer stack. 3. A multilayer optics according to any one of clauses 1 to 3 wherein N < 5. 4. A multilayer optics according to any one of clauses 1 to 4 wherein the partition ratio Ts = dsl / ps is in the range from 0.15 to 0.3. 5. A multilayer optics according to any one of clauses 1 to 4 wherein the ratio dsl / ds2 = 0.25. 6. A multilayer optics according to any one of clauses 1 to 5 wherein the first and second protective layers in the protective region are of the same materials as the first and the second materials in the multilayer stack. 7. A multilayer optics according to any one of clauses 1 to 5 wherein the first and second protective layers in the protective region are different from the materials of the first and the second layers in the multilayer stack. 8. A multilayer optics according to any one of clauses 1 to 7 wherein the first protective layer material located as a topmost layer of the protective region is selected from the group consisting of borides, nitrides, silicides and carbides. 9. A multilayer optics according to clause 8 wherein the first protective layer material is selected from the group consisting of diamond-like carbon, silicon carbide, silicon nitride, silicone oxide, silicone boride, boron carbide, boron nitride and molybdenum carbide. 10. A multilayer optics according to any one of clauses 1 to 9 further comprising a cap layer disposed on the protective region. 11. A multilayer optics according to any one of clauses 1 to 10 wherein the refractive index of the first protective layer is higher than the refractive index of the second protective layer. 12. A multilayer optics according to any one of clauses 1 to 11 wherein the multilayer stack and the protective region are arranged to reflect a radiation having the wavelength λ from about 5 to about 20nm. 13. A multilayer optics according to any one of clauses 1 to 12 wherein the protective layer has from 1 to 10 pairs of alternating protective layers. 14. A multilayer optics according to any one of clauses 1 to 13 wherein a WNx layer is provided on the top of the protective region or between the protective region and the multilayer stack. 15. A multilayer optics according to clauses 1 to 13 wherein a WNx layer is provided between the protective region and a capping layer. 16. A multilayer optics according to clauses 1 to 13 wherein a Nb-doped ZrN cap layer is provided on the top of the protective region. 17. A source-collector assembly for generation and collection of radiation having a wavelength λ from about 5 to about 20nm, comprising a normal incidence collector mirror being a multilayer optics according to any preceding clause. 18. A lithographic apparatus comprising a multilayer mirror according to any one of clauses 1 to 16. 19. The lithographic apparatus of clause 18, further comprising: an illumination system configured to condition a radiation beam; a support structure constructed to hold a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; and a projection system configured to project the patterned radiation beam onto a target portion of the substrate. 20. A method of manufacturing a multilayer optics configured to reflect radiation having a wavelength λ, the method comprising: providing a multilayer stack of alternating layer pairs, wherein each pair of alternating layers comprises a first layer of thickness dl and a second layer of thickness d2, wherein a periodic length p=dl+d2 of each pair of alternating layers satisfies the following condition: m λ = 2 p sin0 wherein m = 1, 2, 3, etc is an integer representing the order of the Bragg diffraction peak and Θ is the angle between an incident radiation and a scattering plane of the multilayer optics; and providing a protective region comprising pairs of alternating protective layers, each pair of alternating protective layers comprising a first protective layer of thickness dsl and a second protective layer of thickness ds2, wherein a periodic length ps=dsl+ds2 of each pair of alternating protective layers satisfies the following conditions:
m λ = 2 ps sin0 and ps = p N wherein N is an integer equal or larger than 2. 21. An apparatus for extreme ultraviolet lithography comprising: a vacuum container comprising an optical element having an optically active surface, a gas supply system comprising a source of an anti-blistering gaseous mixture, an exhauster configured to exhaust gas in said vacuum container; wherein the gas supply system is arranged to provide the anti-blistering gaseous mixture at the optically active surface of the optical element, and wherein the anti-blistering gaseous mixture comprises an oxygen containing gas compound at a partial pressure ranging from 5e-8 to le-4 mbar. 22. An apparatus according to clause 21 wherein the oxygen containing gas compound is selected from 02, CO, C02, H20, NOx, a gas mixture comprising 02 and N2 wherein 02 is 25% or less of the gas mixture, and mixtures thereof. 23. An apparatus according to clauses 21 or 22 wherein the anti-blistering gaseous mixture further comprises a second gaseous component, the second gaseous component comprising one or more of H2, H radicals, deuterium, He and Ar. 24. An apparatus according to any one of clauses 21 to 23 wherein the gas supply system is a H2 buffer gas supply system or a supply system for H radical cleaning. 25. An apparatus according to any one of clauses 21 to 24 wherein the partial pressure of the oxygen containing gas compound is in a range from le-6 to le-4 mbar. 26. An apparatus according to any one of clauses 21 to 25 wherein the partial pressure oxygen containing gas compound is in a range from 5e-6 to 5e-5 mbar. 27. An apparatus according to clause 22, wherein the gas mixture comprising 02 and N2 is XCDA containing 20% 02 and 80% N2, or a gas mixture of 02 and N2 containing 5% or less 02 and 95% or more N2. 28. An apparatus according to clause 27, wherein the oxygen containing gas compound is XCDA and the partial pressure of 02 is from le-6 to 5e-5 mbar. 29. An apparatus according to clause 27, wherein the gas mixture contains from 0.5 to 5% 02 and from 95 to 99.5% N2, wherein the partial pressure of the 02 is from le-6 to 5e-5 mbar. 30. An apparatus according to any one of clauses 21 to 26 wherein the anti-blistering gaseous component comprises a mixture of He/02 or Ar/02. 31. An apparatus according to any one of clauses 21 to 24 wherein the oxygen containing gas compound is C02 or CO at a partial pressure from le-8 to 5e-5 mbar. 32. An apparatus according to clause 31 wherein the optically active surface of the optical element is covered with a Ru layer. 33. An apparatus according to clause 32 wherein the Ru layer is covered with a C layer. 34. An apparatus according to any one of clauses 21 to 33 further comprising a flow regulator configured to regulate the amount of anti-blistering gaseous mixture in said vacuum container. 35. An apparatus according to any one of clauses 21 to 34 further comprising: a detector configured to detect an amount of a gas component of the anti-blistering gaseous mixture in said vacuum container; and a controller configured to control, based on an amount of gas component detected by said detector, the amount of the at least one of the gas supplied by said gas supply system, such that the components of the anti-blistering gaseous mixture satisfy a predetermined relationship.

Claims (1)

1. Een lithografieinrichting omvattende: een belichtinginrichting ingericht voor het leveren van een stralingsbundel; een drager geconstrueerd voor het dragen van een patroneerinrichting, welke patroneerinrichting in staat is een patroon aan te brengen in een doorsnede van de stralingsbundel ter vorming van een gepatroneerde stralingsbundel; een substraattafel geconstrueerd om een substraat te dragen; en een projectieinrichting ingericht voor het projecteren van de gepatroneerde stralingsbundel op een doelgebied van het substraat, met het kenmerk, dat de substraattafel is ingericht voor het positioneren van het doelgebied van het substraat in een brandpuntsvlak van de projectieinrichting.A lithography device comprising: an illumination device adapted to provide a radiation beam; a carrier constructed to support a patterning device, the patterning device being capable of applying a pattern in a section of the radiation beam to form a patterned radiation beam; a substrate table constructed to support a substrate; and a projection device adapted to project the patterned radiation beam onto a target area of the substrate, characterized in that the substrate table is adapted to position the target area of the substrate in a focal plane of the projection device.
NL2015521A 2014-10-17 2015-09-29 Radiation source-collector and method for manufacture. NL2015521A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP14189398 2014-10-17
EP15154832 2015-02-12

Publications (1)

Publication Number Publication Date
NL2015521A true NL2015521A (en) 2016-08-30

Family

ID=54238428

Family Applications (1)

Application Number Title Priority Date Filing Date
NL2015521A NL2015521A (en) 2014-10-17 2015-09-29 Radiation source-collector and method for manufacture.

Country Status (2)

Country Link
NL (1) NL2015521A (en)
WO (1) WO2016058822A1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016226202A1 (en) * 2016-12-23 2018-06-28 Carl Zeiss Smt Gmbh Optical element, in particular for a microlithographic projection exposure apparatus
NL2022981A (en) * 2018-05-28 2019-12-02 Asml Netherlands Bv Lithographic apparatus
US10747119B2 (en) * 2018-09-28 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for monitoring reflectivity of the collector for extreme ultraviolet radiation source
CN113267956A (en) * 2020-05-29 2021-08-17 台湾积体电路制造股份有限公司 EUV photomask and method of manufacturing the same
US11592737B2 (en) 2020-05-29 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1630856B1 (en) * 2003-06-02 2012-06-13 Nikon Corporation Mutilayer film reflector and x-ray exposure system
US9773578B2 (en) * 2013-02-15 2017-09-26 Asml Netherlands B.V. Radiation source-collector and method for manufacture

Also Published As

Publication number Publication date
WO2016058822A1 (en) 2016-04-21

Similar Documents

Publication Publication Date Title
US9773578B2 (en) Radiation source-collector and method for manufacture
US9897930B2 (en) Optical element comprising oriented carbon nanotube sheet and lithographic apparatus comprising such optical element
EP2710415B1 (en) Reflective optical element and optical system for euv lithography
NL2008391A (en) Radiation source-collector and lithographic apparatus.
NL2015521A (en) Radiation source-collector and method for manufacture.
US20070040999A1 (en) Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US20120147350A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
SG184557A1 (en) Spectral purity filter
NL2004787A (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter.
EP2577398A1 (en) Multilayer mirror
TW201122570A (en) Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus
KR101797052B1 (en) Spectral purity filter
US20130114059A1 (en) Components for EUV Lithographic Apparatus, EUV Lithographic Apparatus Including Such Components and Method for Manufacturing Such Components
WO2013045311A1 (en) Euv mirror comprising an oxynitride capping layer having a stable composition, euv lithography apparatus, and operating method
US20230076667A1 (en) Optical element, euv lithography system, and method for forming nanoparticles
NL2011761A (en) Radiation source-collector and method for manufacture.
NL2005699A (en) Components for euv lithographic apparatus, euv lithographic apparatus including such components and method for manufacturing such components.
NL2007853A (en) Multilayer mirror, method and lithographic apparatus.