TW201145634A - Bottom electrodes for use with metal oxide resistivity switching layers - Google Patents

Bottom electrodes for use with metal oxide resistivity switching layers Download PDF

Info

Publication number
TW201145634A
TW201145634A TW100108969A TW100108969A TW201145634A TW 201145634 A TW201145634 A TW 201145634A TW 100108969 A TW100108969 A TW 100108969A TW 100108969 A TW100108969 A TW 100108969A TW 201145634 A TW201145634 A TW 201145634A
Authority
TW
Taiwan
Prior art keywords
layer
metal
stack
conductive layer
mim
Prior art date
Application number
TW100108969A
Other languages
English (en)
Inventor
Deepak Chandra Sekar
Franz Kreupl
Raghuveer S Makala
Original Assignee
Sandisk 3D Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sandisk 3D Llc filed Critical Sandisk 3D Llc
Publication of TW201145634A publication Critical patent/TW201145634A/zh

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/063Patterning of the switching material by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Description

201145634 六、發明說明: 【發明所屬之技術領域】 本發明係關於記憶體陣列’且更特定而言係關於使用於 金屬氧化物電阻率切換層之底部電極。 本申請案主張優先於2010年3月16曰提出申請且標題為 「ELECTRODES FOR USE WITH RESISTIVITY SWITCHING MATERIALS」之美國臨時專利申請案序列號第61/314,577 號,出於所有目的將其以全文引用之方式併入本文中。 【先前技術】 可逆電阻率切換(RRS)材料(諸如金屬氧化物)可用作記 憶體陣列中之儲存元件。舉例而言,2005年5月9日提出申 請且標題為「NON-VOLATILE MEMORY CELL COMPRISING A DIODE AND A RESISTANCE-SWITCHING MATERIAL」 之美國專利第7,8 12,404號闡述包含與一 RRS材料(諸如一 金屬氧化物或金屬氮化物)串聯耦合之二極體之一可重寫 非揮發性記憶體單元,將該專利以全文引用之方式併入本 文中。 然而,由具有所期望之切換特性之金屬氧化物可重寫電 阻率切換材料製作記憶體裝置係困難的;且採用金屬氧化 物電阻率切換材料之改良記憶體裝置係期望的。 【發明内容】 在本發明之一第一態樣中,提供一種金屬-絕緣體-金屬 (MIM)堆疊,其包含:(1) 一第一導電層,其包括矽-鍺 (SiGe)合金;(2)包括一金屬氧化物層之一電阻率切換層, 154788.doc 201145634 其形成於該第一導電層上面;及(3) 一第二導電層,其形成 於該電阻率切換層上面。可由該MIM堆疊形成一記憶體單 元。 在本發明之一第二態樣中,提供一種形成一 MIM堆疊之 方法’其包含:(1)形成包括一SiGe合金之一第一導電層; (2)在該第一導電層上面形成包括一金屬氧化物層之一電阻 率切換層;及(3)在該電阻率切換層上面形成一第二導電 層。 在本發明之一第三態樣中,提供一種形成一記憶體單元 之方法,其包含:(1)形成一 MIM堆叠,該MIM堆疊具有: (a) 一第—導電層,其包括一 SiGe合金;(b)包括一金屬氧 化物層之一電阻率切換層,其形成於該第一導電層上面; 及(c) 一第二導電層,其形成於該電阻率切換層上面;及 (2)形成耦合至該MIM堆疊之一引導元件。 在本發明之一第四態樣令,提供一種MIM堆疊,其包 ()第導電層,其包括一第一金屬石夕化物層及一第 二金屬矽化物層;(2)包括一金屬氧化物層之一電阻率切換 層,其形成於該第一導電層上面;及(3)一第二導電層,其 形成於該電阻率切換層上面。可由該MIM堆疊形成一記憶 體單元。 ~ 2本發明之一第五態樣中,一種形成一 MIM堆疊之方法 包含.⑴形成包括一第-金屬石夕化物層及一第二金屬矽化 物層之-第-導電層;(2)在該第-導電層上面形成包括一 金屬氧化物層之—電阻率切換層;及(3)在該電阻率切換層 154788.doc 201145634 上面形成一第二導電層。可使用該方法形成一記憶體單 元。 在本發明之一第六態樣中’提供一種MIM,其包含: (1)一第一金屬矽化物層,其在一第一溫度下形成;(2)一 第二金屬矽化物層,其形成於該第一金屬矽化物層上面且 在大於該第一溫度之一第二溫度下形成;一 n+矽或 SiGe層,其形成於該第二金屬矽化物層上面;(4)包括一金 屬氧化物層之一電阻率切換層,其形成於該n+矽或81(^層 上面’及(5) —第二導電層’其形成於該電阻率切換層上 面。可由該MIM堆疊形成一記憶體單元。 在本發明之一第七態樣中,一種形成一 MIM堆疊之方法 包含.(1)在一第一溫度下形成一第一金屬石夕化物層;(2) 在大於該第一溫度之一第二溫度下在該第一金屬矽化物層 上面形成一第二金屬矽化物層;在該第二金屬矽化物層 上面形成一 n+矽或SiGe層;(4)在該n+矽或以&層上面形 成包括一金屬氧化物層之一電阻率切換層;及(5)在該電阻 率切換層上面形成一第二導電層。可使用該方法形成一記 憶體單元。 在本發明之一第八態樣中,提供一 MIM堆疊,其包含: ⑴-第-導電層’ S包括鉻、氮化鉻、铪、氮化铪、鈮、 氮化銳、飢、氮化飢、錯或氮化錯中之—者或多者;⑺包 括一金屬氧化物層之一電阻率切換層,其形成於該第一導 電層上面;及(3)-第二導電層,其形成於該電阻率切換層 上面。可由該MIM堆疊形成一記憶體單元。 154788.doc 201145634 在本發明之一第九態樣中,提供一種形成一MIM堆疊之 方法’其包含(1)形成包括鉻、氮化鉻、姶、氮化铪、鈮、 氮化銳、飢、氮化飢、锆或氮化鍅中之一者或多者之一第 一導電層;(2)在該第一導電層上面形成包括一金屬氧化物 層之一電阻率切換層;及(3)在該電阻率切換層上面形成一 第二導電層。可使用該方法形成一記憶體單元。提供眾多 其他態樣。 依據以下詳細闡述、隨附申請專利範圍及附圖,本發明 之其他特徵及態樣將變得更加充分顯而易見。 【實施方式】 由夹在兩個金屬或其他導電層之間的一可逆電阻率切換 (RRS)材料形成之一金屬-絕緣體-金屬(MIM)堆疊可用作一 s己憶體單元之一電阻切換元件。該兩個導電層可用作該電 阻切換元件之頂部電極及底部電極,且可用於跨越該rrS 材料施加一電場,該電場將該RRS材料之電阻率自一高值 改變至一低值且反之亦然。 根據本發明之實施例’提供新穎的採用金屬氧化物電阻 率切換層之MIM堆疊。亦提供形成此等MIM堆疊之方法, 以及在三維(3D)記憶體陣列中採用此等MIM堆疊之方法。 下面參考圖1A至圖5H闡述本發明之此等及其他實施 例°舉例而言,圖1A至圖1D圖解說明採用SiGe底部電極 之MIM堆疊》圖2A至圖2H圖解說明採用多層金屬石夕化物 底部電極之MIM堆疊。圖3A至圖3D圖解說明採用具有經 特別選擇以促進金屬氧化物切換層中之氧空位形成之金 154788.doc 201145634 屬、金屬氮化物或金屬氧化物之底部電極之MIM堆疊。圖 4A至圖5H圖解說明可使用上文所闡述之mim堆疊及/或底 部電極中之任一者之記憶體單元及記憶體陣列之實例性實 施例。 具有SiGe底部電極之MIM堆疊 根據本發明之某些實施例,提供採用由矽鍺合金組成之 底部電極之MIM堆疊。舉例而言,圖1A圖解說明一 mim堆 疊100a,其包含夾在一頂部電極ι〇6與一以^底部電極ι〇8 之間的一可逆電阻率切換(RRS)材料1〇4。如下文進一步闡 述,可在MIM堆疊l〇〇a内採用一個或多個額外層11(),諸 如一金屬層、一金屬氧化物層、一金屬/金屬氧化物層堆 疊,或諸如此類。 舉例而言’ RRS材料104可包含Hf〇x、ΖΓ〇χ、Νί〇χ、 ΤιΟχ、TaOx ' NbOx、AlxOY、另一金屬氧化物(Μ〇χ)層、 此等金屬氧化物之任一組合、或另一適合切換材料。在某 些實施财’頂部電極1G6可包含氮化鈦、氮化组、氣化 鎢、該等材料之組合、一金屬/金屬氮化物堆疊(諸如 TiN Ta/TaN、W/WN)或另一類似層。在其他實施例 中’頂部電極1G6可包含經重摻雜之半導體(諸如n+碎或 矽)、經重摻雜之鍺或經重摻雜之矽-鍺。可針對頂部電極 106使用其他材料及/或組態。 一 Si底部電極之使用可藉由有效降低用於在—金屬氧 化物(諸如Hf〇2)内形成氧空位之自由能來改良該金屬氧化 物之切換特性。通常’此-n+ Si電極係藉由以下步驟來形 154788.doc 201145634 成:沈積一非晶或多晶矽n+ Si層,且然後使該n+ Si層退 火以在於該!!+ Si層上方形成一金屬氧化物層之前改良其結 晶度及表面性質。此一退火通常係經由在約750°C之一溫 度下之一快速熱退火(RTA)來達成。然而,當形成一多層3 維記憶體陣列時,對每一記憶體層使用此一高RTA溫度可 能超過該記憶體陣列之熱積存(thermal budget)。 根據本發明之某些實施例,一 MIM堆疊之底部電極可包 含具有(舉例而言)介於約5 atm%至約35 atm%之間的Ge及 約5xl019至5xl〇21個原子/cm3(在某些實施例中係約2x1〇2〇 個原子/cm3)之一 n+摻雜濃度之一 SiGe合金層。此一 SiGe 合金層可在實質上低於一多晶n+ Si底部電極層之一溫度下 形成’此乃因Ge之存在降低結晶溫度。舉例而言,在某些 實施例中,一多晶SiGe合金層可在約600°c或更低(且在某 些實施例中,約550〇C或更低)之一溫度下沈積而無需一額 外高溫退火,以改良該SiGe層之結晶度(相依於所採用之
Ge之atm%)。另一選擇係,一非晶以以合金層可在一較低 沈積溫度下沈積且使用約600。(:或更低(且在某些實施例 中約55〇C或更低)之一 RTA來結晶(相依於所採用之Ge之 atm%)。 在特定實施例中,具有約5伽%至35 atm%iG^siGe 底部電極顯著降低沈積及/或結晶退火溫度,同時仍提供 足夠的si用於金屬氧化物切換層内之氧空位形成。siGe底 部電極Η)8之實例性厚度介於自約2奈米至刚奈米之範圍 内。可使用Ge之其他atm%、摻雜類型、摻雜位準、退火 154788.doc 201145634 溫度及/或層厚度。 在某些實施例_,額外層110可包含(舉例而言)鈦、氧化 鈦、组、氧化纽、鎢、氧化鶴等。在又一實施例中,額外 層110可包含一金屬/金屬氧化物層堆疊,諸如、
Zr/ZrOx、Ni/Ni〇x、Al/Alx〇Y、Ta/TaOx、Nb/NbOx、
Hf/HfOx或任一適合層堆疊。 圖1B圖解說明圖1AiMIM堆疊10〇a(在圖IB中稱為MIM 堆疊l〇〇b)之一特定實例性實施例。在圖1B之MIM堆疊 100b中,底部電極1〇8係一 SiGe合金,RRs材料丨係氧化 铪,頂部電極106係氮化鈦,且提供鈦或氧化鈦層作為該 氧化铪切換材料(RRS材料丨〇4)與TiN頂部電極丨〇6之間的— 緩衝層。舉例而言,底部電極108(SiGe)可具有約2奈米至 1〇〇奈米(在其他實施例中約1〇奈米至6〇奈米,且在某些實 施例中約20奈米)之一厚度。Ti或耵〇)(層11〇可具有約〇 5奈 米至10奈米(且在某些實施例中約2奈米)之一厚度。當採用 TiOx時,x可係約1>2至2,且在某些實施例中約i 5。氧化 姶層104可具有約3奈米至12奈米(且在某些實施例中約5奈 米)之一厚度,其中x係約12至2 〇且在某些實施例中約 1.7。ΤιΝ頂部電極1〇6可具有約2奈米至100奈米(在其他實 施例中約10奈米至60奈米,且在某些實施例中約2〇奈米) 之一厚度。n+ SiGe底部電極ι〇8之摻雜濃度可係約5><1〇丨9 至5xl021個原子/cm3且在某些實施例中約2χ1〇2〇個原子/ cm3(具有約5 atm%至35 atm%之Ge)可使用其他膜厚度、χ 值、Ge之atm%及/或摻雜濃度。 154788.doc 201145634 圖1C圖解說明另一實例性MIM堆疊100c之一剖視圖,該 實例性MIM堆疊具有一 SiGe底部電極108、形成於SiGe底 部電極108上面之RRS材料104、形成於RRS材料104上面之 一金屬/金屬氧化物層堆疊11 〇(包含金屬氧化物層11 〇a及金 屬層110b),以及形成於金屬/金屬氧化物層堆疊110上面之 一頂部金屬氮化物電極106。 一般而言,頂部電極106可包含(舉例而言)氮化鈦、氮 化组、氮化鶴、該等材料之組合、一金屬/金屬氮化物堆 疊(諸如Ti/TiN、Ta/TaN、W/WN)或另一類似障壁層。金 屬/金屬氧化物層堆疊110可包含(舉例而言)Ti/Τίθχ、 Zr/ZrOx、Ni/NiOx、A1/A1X0Y、Ta/TaOx、Nb/NbOx、 Hf/HfOx或另一類似層堆疊。RRS材料104可包含(舉例而 言)Hf〇x、Zr〇x、Ni〇x、Ti〇x、Ta〇x、Nb〇x 或 ΑΙχΟγ、此 等金屬氧化物之任一組合、或另一適合切換材料。 在某些實施例中,金屬/金屬氧化物層堆疊110可係由不 同於針對RRS材料104採用之材料之一材料形成。舉例而 言,一 Ti/TiOx層堆疊可與一 HfOx、ZrOx、NiOx、TaOx、 NbOx或AlxOY切換材料一起採用。一Zr/ZrOx層堆疊可與 一 HfOx、NiOx、TiOx、TaOx、NbOx 或 Α1χΟγ 切換材料一 起使用。一 Ni/NiOx 層堆疊可與一 HfOx、ZrOx、TiOx、 TaOx、NbOx或AlxOY切換材料一起使用。一 Α1/Α1χΟγ層堆 叠可與· — Hf〇x、Zr〇x、Ni〇x、Ti〇x、Ta〇x 或 Nb〇x切換材 料一起採用。一 Ta/TaOx層堆疊可與一 HfOx、TiOx、 ZrOx、NiOx、NbOx或AlxOY切換材料一起採用。一 154788.doc -10- 201145634
Nb/NbOx層堆疊可與一 HfOx、TiOx、ZrOx、NiOx、丁a〇 或Alx〇Y切換材料一起採用。一 Hf/HfOx層堆疊可與— NbOx、TiOx、ZrOx、Ni〇x、TaOx 或 Alx〇Y切換材料一起 採用。 在其他實施例中,金屬/金屬氧化物層堆疊110可係由類 似於針對RRS材料104採用之材料之一材料形成。舉例而 言,一 Ti/TiOx層堆疊可與一 TiOx切換層一起採用。妙 而’在此等實施例中’與該切換材料之結晶結構或其他性 質相比,該層堆疊之金屬氧化物可具有一不同的結晶結構 或其他性質(例如,非晶結構對結晶結構)。金屬/金屬氧化 物層堆疊110之該金屬氧化物層可用作一 r緩衝」層,其 允許該切換材料内之氧空位形成/消除更可控制及/或可重 複,此可改良切換材料1 〇4之时久性/壽命。 圖1D圖解說明MIM堆疊100c(在圖1D中稱為MIM堆疊 i〇〇d)之一特定實例性實施例,其中頂部電極1〇6係氮化 鈦,金屬/金屬氧化物層堆疊11〇係氧化鈦上鈦,rrs材料 104係氧化姶且底部電極1〇8係n+ SiGe ^舉例而言,頂部 電極議⑽)可具有約10奈米至60奈米(且在某㈣施财 約20奈米)之一厚度。丁丨層11〇1)可具有約〇 5奈米至1〇奈米 (且在某些實施例中約2奈旬之一厚度eTi〇』u()a^有 約0.5奈米至6奈米(且在某些實施例中約丨奈米)之一厚度; 且X可係約1.2至2.G且在某些實施例中約15。氧化铪層⑽ 可具有約3奈米至12奈米(且在某些實施例中約5奈米)之一 厚度;且X可係約且在某些實施例中約⑽ 154788.doc •11· 201145634 層108可具有約l〇奈米至1〇〇奈米(且在某些實施例中約 奈米)之一厚度。n+ 8丨(^層1〇6之摻雜濃度可係約5><1〇19至 5xl021個原子/cm3且在某些實施例中約2χ1〇2〇個原子/ cm3(具有約5 atm%至35 atm%之Ge)。可使用其他膜厚度、 X值、Ge之atm%及/或摻雜濃度。 具有多層矽化物底部電極之MIM堆疊 根據本發明之某些實施例,提供採用由兩個或更多個矽 化物層組成之底部電極之MIM堆疊。舉例而言,圖2A圖解 說明一MIM堆疊200a,其包含夾在一頂部電極1〇6與—底 部電極108之間的一 RRS材料1〇4,該底部電極1〇8包含一 第一金屬矽化物層108a及一第二金屬矽化物層1〇8b。如下 文進一步闡述,可在MIM堆疊200a内採用一個或多個額外 層11〇(諸如一金屬層、一金屬氧化物層、一金屬/金屬氧化 物層堆疊或諸如此類)。 RRS材料104可包含(舉例而言)Hf〇x、ΖΓ〇χ、Νί〇χ、 TiOx、TaOx、NbOx、AlxOY、另一金屬氧化物(_乂)層或 另一適合切換材料。在某些實施例中,頂部電極1〇6可包 含氮化鈦、氮化钽、氮化鎢、該等材料之組合、一金屬/ 金屬氮化物堆疊(諸如Ti/TiN、Ta/TaN、W/WN)或另一類似 層。在其他實施例中’頂部電極106可包含經重摻雜之半 導體(諸如n+矽或p +矽)、經重摻雜之鍺或經重摻雜之石夕_ 鍺。可針對頂部電極106使用其他材料及/或組態。 如所述’一n+ Si底部電極之使用可藉由有效降低用於在 一金屬氧化物(諸如Hf〇2)内形成氧空位之自由能來改良該 154788.doc •12- 201145634 金屬氧化物之切換特性。然而,一n+ Si電極之使用通常需 要一相對高溫度退火(例如,約75(TC)以在於該n+ Si層上 方形成一金屬氡化物層之前改良該n+ Si電極之結晶度及表 面性質。當形成一多層3維記憶體陣列時,對每一記憶體 層使用此一高溫度退火可能超過該記憶體陣列之熱積存。 根據本發明之實施例,底部電極108可包含兩個或更多 個金屬石夕化物層。在某些實施例中’相依於所採用之石夕化 物之類型,可在低於75(rc(諸如6〇(TC或更低,且在某些實 施例中,約500°C至550。〇或更低)之一溫度下形成此等金屬 石夕化物層中之一者或多者。舉例而言’相依於諸如Co或Ni 原子與Si原子之比率之因素,矽化鈷通常可在約3〇(rc至 800 C之溫度下形成且矽化鎳通常可在約400。(:至800°C之溫 度下形成。矽化鈦及矽化鎢通常需要較高形成溫度,針對 石夕化鈦介於自約500°c至9〇(TC之範圍内且針對矽化鎢約 1000°C或更高。在任一情形下,金屬矽化物之使用仍可提 供足夠的Si用於氧空位形成。 可用於第一金屬矽化物層1083及/或第二金屬矽化物層 108b之實例性材料包含矽化鈦、矽化钽、矽化鎢、矽化 鎳、石夕化钻或矽化鉬,但可使用其他金屬矽化物^在某些 實施例中’下部(第一)金屬矽化物層108a可在比上部(第 二)金屬矽化物層丨08b低之一溫度下形成。在此等例項 中’下部金屬矽化物層l〇8a可用作上部金屬矽化物層i〇8b 之一結晶晶種層或「模板」,從而允許在一較低溫度下形 成上部金屬矽化物層108b。舉例而言,第一金屬矽化物層 154788.doc -13- 201145634 1〇8a可包含石夕化鎳或矽化鈷而第二金屬矽化物層l〇8b可包 含石夕化欽。另一選擇係’第一金屬矽化物層108a可包含矽 化欽且第二金屬矽化物層1〇8b可包含矽化鎢。可使用矽化 物層之其他組合。 第一金屬石夕化物層1〇8&及/或第二金屬矽化物層1〇8b之 實例性厚度介於自約2奈米至約5〇奈米之範圍内。可針對 任一層或兩個層使用其他厚度。雖然圖2八中展示兩個金屬 矽化物層,但應理解,可採用多於兩個金屬矽化物層(例 如,3個、4個、5個等)。 在某些實施例中,額外層11〇可包含(舉例而言)鈦、氧化 鈦、鈕、氧化鈕、鎢、氧化鎢等。在又一實施例中,額外 層no可包含一金屬/金屬氧化物層堆疊,諸如、 Zr/ZrOx χ Ni/Ni〇x . A1/A1X0Y ^ Ta/TaOx > Nb/NbOx . Hf/HfOx或任一適合層堆疊。 圖2B圖解說明圖2八之MIM堆疊2〇〇a(在圖2B中稱為 堆疊2〇〇b)之一特定實例性實施例。在圖2B之MIM堆疊 200b中,底部電極1〇8係一多層金屬矽化物堆疊,尺汉呂材 料1〇4係氧化㉟,頂部電極1〇6係氮化鈦,且提供鈦或氧化 鈦層作為在氧化铪切換材料(RRS材料1〇4)與TiN頂部電極 1〇6之間的一緩衝層。作為一實例’底部電極ι〇8之每一金 屬石夕化物層l〇8asiU〇8b可具有約2奈米至5〇奈米(在其他實 施例中約5奈米至25奈米,且在某些實施例中約2()奈米)之 一2度。Ti或丁丨〇?(層110可具有約〇5奈米至1〇奈米(且在某 些實施例中約2奈幻之一厚度。當採用τί〇χ時,X可係約 154788.doc 201145634 1.2至2 ’且在某些實施例中約ι·5。氧化姶層1〇4可具有約3 奈米至12奈米(且在某些實施例中約5奈米)之一厚度,其中 X係約1.2至2.0且在某些實施例中約丨7。TiN頂部電極1〇6 可具有約2奈米至1〇〇奈米(在其他實施例中約1〇奈米至6〇 奈米,且在某些實施例中約20奈米)之一厚度。可使用其 他膜厚度、X值及/或摻雜濃度。 圖2C圖解說明另一實例性MIM堆疊2〇〇e之一剖視圖,該 貫例性MIM堆疊具有一多層矽化物底部電極1〇8、形成於 底郤電極108上面之RRS材料1〇4、形成於rrs材料1〇4上面 之一金屬/金屬氧化物層堆疊110(包含金屬氧化物層u〇a及 金屬層110b)及形成於金屬/金屬氧化物層堆疊11〇上面之一 頂部金屬氮化物電極106。 一般而言,頂部電極106可包含(舉例而言)氮化鈦、氮 化钽、氮化鎢、該等材料之組合、一金屬/金屬氮化物堆 疊(諸如Ti/TiN、Ta/TaN、W/WN)或另一類似障壁層。金 屬/金屬氧化物層堆疊110可包含(舉例而言)Ti/Ti〇x、 Zr/ΖιΌχ、Ni/NiOx、Αΐ/Α1χ0γ、Ta/Ta〇x、Nb/Nb〇x、
Hf/HfOx或另一類似層堆疊。RRS材料1〇4可包含(舉例而 言)HfOx、ZrOx、Ni〇x、TiOx、TaOx、Nb〇eAlx〇Y或另 一適合切換材料》 在某些實施例中,金屬/金屬氧化物層堆疊11〇可係由不 同於針對RRS材料104採用之材料之一材料形成。舉例而 言’一 Ti/TiOx層堆疊可與一 Hf〇x、Zr〇x、Ni〇x、Ta〇x、
NbOx或A1X0Y切換材料一起採用。_Zr/Zr〇x層堆疊可與 154788.doc 15 201145634
Hf〇x、ΝίΟχ、ΤίΟχ、Ta〇x、Nb〇x 或 ΑΙχΟγ 切換材料一 起使用。一 Ni/NiOx層堆疊可與一 HfOx、ZrOx、TiOx、 TaOx、NbOx或Α1χ0γ切換材料一起使用。一 A1/A1X0Y層堆 疊可與一 HfOx、ZrOx、Ni〇x、TiOx、丁&0)(或>113(^切換材 料一起採用。一 Ta/Ta〇x層堆疊可與一 HfOx、TiOx、 ZrOx、NiOx、NbOx或Alx〇Y切換材料一起採用。一 Nb/NbOx層堆疊可與一 Hf〇x ' Ti〇x、ΖΓ〇χ、Ni〇x、Ta〇x 或Alx〇Y切換材料一起採用。一 Hf/Hf〇x層堆疊可與一 NbOx、TiOx、ZrOx、NiOx、TaOx 或 Alx〇Y切換材料一起 採用。 在其他實施例中’金屬/金屬氧化物層堆疊丨丨〇可係由類 似於針對RRS材料104採用之材料之一材料形成。舉例而 言’一 Ti/TiOx層堆疊可與一 Ti〇x切換層一起採用。然 而,在此等實施例中,與該切換材料之結晶結構或其他性 質相比,該層堆疊之金屬氧化物可具有一不同的結晶結構 或其他性質(例如,非晶結構對結晶結構)。 圖2D圖解說明MIM堆疊200c之一特定實例性實施例㈠ 圖2D中稱為MIM堆疊200d),其中頂部電極1〇6係氮化鈦 金屬/金屬氧化物層堆疊no係氧化鈦上鈦,RRS材料1〇4< 氧化铪且底部電極108係一多層金屬矽化物堆疊。舉例β 言’頂部電極1G6(TiN)可具有㈣奈奈米(且在^ 實施例中約20奈幻之-厚&。叫11〇,可具有約〇5夺』 至财米(且在某些實施例中約2奈幻之一厚度^〜 _可具有約0.5奈米至6奈米(且在某些實施例中約以 154788.doc -16· 201145634 之一厚度,且X可係約1 ·2至2.0且在某些實施例中約丨5 β 氧化铪層104可具有約3奈米至12奈米(且在某些實施例中 約5奈米)之一厚度;且X可係約丨2至2且在某些實施例中約 1.7。每一金屬矽化物l〇8a或i〇8b可具有約2奈米至5〇奈米 (且在某些貫施例中約25奈米)之一厚度。可使用其他膜厚 度、X值及/或摻雜濃度。 圖2E圖解說明圖2A之MIM堆疊200a之一替代實例性實施 例(在圖2E中稱為MIM堆疊200e)。圖2E之MIM堆疊200e類 似於圖2A之MIM堆疊200a,但包含位於RRS材料1〇4與多 層金屬矽化物層堆疊108之間的一額外金屬(M)、金屬氮化 物(MNX)或金屬氧化物(MOx)層212(且其可形成底部電極 108之部分)。在某些實施例中,金屬、金屬氮化物或金屬 氧化物層212可包含矽、氮化矽或氧化石夕、鋁、氮化鋁或 氧化鋁、鑭、氮化鑭或氧化鑭、鉬、氮化鉬或氧化鉬、 钽、氮化鈕或氧化鈕、鉻、氮化鉻或氧化鉻、姶、氮化铪 或氧化铪、鈮、氮化鈮或氧化鈮、釩、氮化釩或氧化釩、 锆,或氮化銼或氧化锆中之一者或多者。在其他實施例 中,可使用一合金(諸如n+ SiGe)作為金屬、金屬氮化物或 金屬氧化物層212。亦可採用其他材料。 某些金屬可藉由使氧空位形成更積極有利從而有效減少 在该等金屬氧化物内形成氧空位之吉布斯(Gibbs)自由能來 促進金属氧化物中之氧空位形成。舉例而言,參見 Roberston metal gate stacks」(Appl. Phys. Letters 91, 132912 154788.doc 201145634 (2007)) ’其闡述在一 Hf〇2閘極氧化物/8丨通道系統中之氧 空位形成。 在本發明之某些實施例中,額外金屬、金屬氮化物或金 屬氧化物層212可經選擇以便具有每〇介於約_3 eV與-6 eV 之間的一 Gibbs形成自由能(Gibbs free energy of formation) 以便促進在金屬氧化物RRS材料104内之氧空位形成。可 係適用之實例性金屬包含(舉例而言)Yb、Tb、Y、So、
La、Hf、Mg、Zr、Ta、Nb、V、Zn、W、Mo、Ti、A1、 Cr、Si、Ni、Re、Co、Cu、RU、Rh、Pd及 Ir。此等金屬 之金屬氮化物(或金屬氧化物)可使得在電阻率切換金屬氧 化物内之氧空位形成更積極有利。因此,在某些實施例 中,額外金屬、金屬氮化物或金屬氧化物層212可由上文 所列之材料中之一個或多個金屬、金屬氮化物或金屬氧化 物或具有每Ο介於約-3 eV與-6 eV之間的一 Gibbs形成自由 能之任何其他材料形成。 金屬、金屬氮化物或金屬氧化物層212之實例性厚度可 介於自約10埃至約100埃之範圍内。可使用其他厚度。 圖2F至2H圖解說明類似於圖2B至圖2D之MIM堆疊200b 至200d但包含金屬、金屬氮化物或金屬氧化物層212之 MIM堆疊 200f至 200h。 額外MIM堆疊實施例 圖3A至圖3D圖解說明圖2E至圖2H之MIM堆疊200e至 20011(在圖3八至圖30中稱為1^1]^堆疊3 0〇3至300句之替代實 施例,其中在不具有第一金屬矽化物層108a及第二金屬矽 154788.doc • 18 · 201145634 化物層祕之情形下將-金屬、金屬氮化物或金屬氧化物 層(諸如金屬、金屬氮化物或金屬氧化物層212)用作底部電 極108。在某些實施例中,金屬、金屬氮化物或金屬氧化 物底部電極108可包含矽、氮化矽或氧化矽、鋁、氮化鋁 . 或氧化鋁、鑭、氮化鑭或氧化鑭、鉬、氮化鉬或氧化鉬、 钽、氮化鈕或氧化钽、鉻、氮化鉻或氧化鉻、铪、氮化姶 或氧化铪、鈮、氮化鈮或氧化鈮、釩、氮化釩或氧化釩、 在。或氮化錯或氧化錯中之一者或多者。亦可採用其他材 料。 如上文所闡述,金屬、金屬氮化物或金屬氧化物底部電 極108可纽選擇以促進在金屬氧化物切換層i 〇4中之氧空位 形成。舉例而言,金屬、金屬氮化物或金屬氧化物底部電 極108可經選擇以便具有每〇介於約_3 εν與-6 eV之間的一 Gibbs形成自由能。可歸屬於此範圍内之實例性金屬包含 (舉例而言)Yb、Tb、Y、So、La、Hf、Mg、Zr、Ta、Nb、 V、Zn、W、Mo、Ti、A1、Cr、Si、Ni、Re、Co、Cu、
Ru、Rh、Pd及Ir。此等金屬之金屬氮化物(或金屬氧化物) 可類似地使得在電阻率切換金屬氧化物内之氧空位形成更 積極有利。因此’在某些實施例中,金屬、金屬氮化物或 • 金屬氧化物底a部電極10 8可由上文所列之材料中之一個或 多個金屬' 金屬氮化物或金屬氧化物或具有每〇介於約·3 eV與-6 eV之間的一 Gibbs形成自由能之任何其他材料形 成。 金屬、金屬氮化物或金屬氧化物底部電極108之實例性 154788.doc -19- 201145634 厚度可介於自約10埃至約100埃之範圍内。可使用其他厚 度。在一項特定實施例中,金屬、金屬氮化物或金屬氧化 物底部電極108可包含鉻、氮化鉻、铪、氮化姶、鈮 '氮 化鈮、釩、氮化釩、鍅或氮化鍅。 實例性發明性記憶體單元 圖4Α係根據本發明之一實例性記憶體單元4〇〇之一示意 性圖解說明》記憶體單元4〇〇包含耦合至一引導元件4〇4之 ΜΙΜ堆疊402。ΜΙΜ堆疊402包含具有可在兩個或更多個狀 態之間可逆地切換之一電阻率之RRS材料丨〇4(未單獨展 示)’且可類似於先前參考圖1A至圖3D所闡述之MIM堆疊 中之任*一者。 引導元件404可包含一薄膜電晶體、二極體、一金屬-絕 緣體-金屬隧穿電流裝置、一穿通二極體、一肖特基 (Schottky)二極體或藉由選擇性地限制跨越堆疊4〇2之 電壓及/或流過MIM堆疊402之電流而展現非歐姆傳導之另 一類似引導元件。以此方式,記憶體單元4〇〇可用作二維 或三維記憶體陣列之部分且可在不影響該陣列中其他記憶 體單元狀態之情形下將資料寫入至記憶體單元4〇〇及/或自 5己憶體皁元400讀取資料。在某些實施例中,可省略引導 元件404,且記憶體單元400可與一遠端定位引導元件一起 使用。 記憶體單元及記憶體陣列之實例性實施例 圖4B係根據本發明之記憶體單元4〇〇(其中引導元件4〇4 係二極體)之一實例性實施例之一簡化透視圖。記憶體單 154788.doc •20· 201145634 元400包含MIM堆疊402(具有RRS材料104),該MIM堆疊與 二極體404串聯耦合於一第一導體406a與一第二導體406b 之間。 如上文參考圖1A至圖3D所闡述,MIM堆疊402可用作記 憶體單元400之一可逆電阻切換元件。MIM堆疊402可類似 於圖1A至3D之MIM堆疊中之任一者,或任一其他適合 MIM堆疊,且可包含一頂部導電層106及一底部導電層 108,該頂部導電層及該底部導電層圍繞RRS材料104且用 作MIM堆疊402之頂部電極及底部電極。如先前所闡述, 可在MIM堆疊402内採用一個或多個額外層110,諸如一金 屬層、一金屬氧化物層、一金眉/金屬氧化物層堆疊或諸 如此類。
在某些實施例中,可在MIM堆疊402與二極體404之間形 成一障壁層408,且可在MIM堆疊402與第二導體406b之間 形成一障壁層410。可在二極體404與第一導體406a之間形 成一額外障壁層412。障壁層408、410及412可包含鈦、氮 化鈦、组、氮化钽、鶴、氮化嫣、钥、該等材料之組合或 另一類似障壁層。障壁層可與第二導體406b分離或可 係第二導體406b之部分,且障壁層412可與第一導體406a 分離或可係第一導體406a之部分。 二極體404可包含任一適合二極體,諸如一垂直多晶p-n 或p-i-n二極體(或是該二極體之一 η區位於一 p區上面之上 指或是該二極體之一 ρ區位於一η區上面之下指)、一 ρ-η-ρ 或η-ρ-η穿通二極體、一肖特基二極體或諸如此類。下文參 154788.doc -21 · 201145634 考圖5A至圖5H闡述二極體204之實例性實施例。 在圖4B之實施例中,MIM堆疊402位於二極體404上面。 然而,如圖4C中所示,另一選擇係,MIM堆疊402可位於 二極體404下面。 第一導體406a及/或第二導體406b可包含任一適合導電 材料’諸如鎢、任一適當金屬、經重摻雜之半導體材料、 一導電>e夕化物、一導電石夕化物-鍺化物、一導電鍺化物、 一尚導電碳或諸如此類。在圖4B之實施例中,第一導體 406a及第二導體4〇6b分別係線形或軌道形,且沿不同方向 延伸(例如,大致彼此垂直)。可使用其他導體形狀及/或組 態。在某些實施例中,障壁層、黏合層、抗反射塗層及/ 或諸如此類(未展示)可與第一導體4〇63及/或第二導體4〇6b 一起使用以改良裝置效能及/或幫助裝置製作。 圖4D係由複數個記憶體單元4〇〇(諸如,圖4A或圖4B之 記憶體單元400)形成之一第一記憶體層級414之一部分之 一簡化透視圖。為簡單起見’未單獨展示rRS材料丨〇4、 導電層106及108、額外層11〇、二極體4〇4及障壁層4〇8、 410及412。記憶體陣列414係一「交叉點」陣列,其包含 多個§己憶體單元耦合至之複數個位元線(第二導體4〇6b)及 字線(第一導體406a)(如圖所示)。可使用其他記憶體陣列 組態’如可使用多個記憶體層級。 圖4E係一單體式三維記憶體陣列4丨6a之一部分之一簡化 透視圖,該單體式三維記憶體陣列包含定位於一第二記憶 體層級420下面之一第一記憶體層級418。記憶體層級418 154788.doc 22· 201145634 及420各自包含成一交又點陣列之複數個記憶體單元4〇〇。 熟習此項技術者應理解,額外層(例如,一層間電介質)可 存在於第一記憶體層級418與第二記憶體層級42〇之間,但 為簡單起見在圖4E中未展示。可使用其他記憶體陣列組 態’如可使用額外記憶體層級。 在圖4E之貫施例中,當在每一記憶體單元4〇〇内採用一 雙極引導元件(諸如一 p_i_n二極體)時,所有二極體可 「指」向相同方向(具有相同「引導元件」極性定向),諸 如相依於是採用在該等二極體之底部還是頂部上具有一p 摻雜區之p-i-n二極體而向上或向下,從而簡化二極體製 作。 r High-Density Three-Dhnensional Memory⑽」之美國專利第6 952,㈣號中所 闡述來形成該等記憶體層級,出於各種目的將該專利以全 文引用之方式併入本文中。tfy . 不又甲。舉例而言,一第一記憶體層級 之第二(頂部)導體可用作位於該第-記憶體層級上面之-第二記憶體層級之第—(底部)導體,如圖4F中所示。在此 等實施例中,纽鄰記憶體層級上之二極體較佳地指向相 反方向,如2007年3月27日提出申請且標題為「[叩
Array Of Upward Pointing P-i.N Diodes Having Large And Uiuform Current」之美國專利申請案序列號第 號(151申凊案」)中所闡述,出於各種目的將該專利申 请案以全文引用之方式供人士 本文中。舉例而言,如圖4F中 之記憶體陣列416b中所示,第一記憶體層級418之二極體 154788.doc -23- 201145634 可係如箭頭D1所指示之上指二極體(例如,其中p區位於該 等一極體底部)’而第二記憶體層級42〇之二極體可係如箭 頭D2所指示之下指二極體(例如,其中n區位於該等二極體 底部),或反之亦然。 在其中在§己憶體層級之間共享導體之實施例中(如在圖 4F中),若MIM堆疊402係雙極的,則MIM堆疊4〇2可經配 置以在一記憶體層級内具有相同電壓極性定向,而在毗鄰 記憶體層級之間具有相反電壓極性定向。舉例而言,第一 記憶體層級418之MIM堆疊402可經正定向而第二記憶體層 級420之MIM堆疊402可經負定向,或反之亦然。在某些實 施例中,二極體404可經定向以在MIM堆疊402之設定操作 期間受到反向偏壓。另一選擇係,二極體4〇4可經定向以 在MIM堆疊402之設定操作期間受到正向偏壓。 一單體式三維記憶體陣列係其中於一單個基板(諸如, 一晶圓)上面形成多個記憶體層級而無介入基板之一個記 憶體陣列。將形成一個記憶體層級之層直接沈積或生長於 一個或多個現有層級之層上方。相反,如在Lee(iy之標題 為「Three Dimensional Structure Memory」之美國專利第 5,915,167號中’已藉由在單獨基板上形成記憶體層級並將 該等記憶體層級彼此疊加黏合來建構堆疊記憶體。可在接 合之前使該等基板變薄或自該等記憶體層級移除,但由於 該等記憶體層級最初形成於單獨基板上方,因此此等記憶 體並非真正的單體式三維記憶體陣列。 實例性堆疊記憶體單元 154788.doc •24· 201145634 圖5 A係根據本發明所提供之一第一記憶體單元5〇〇a之一 剖視圖。如圖4D至圖4F中所闡述,可在每一記憶體層級處 提供額外記憶體單元(未展示)。 參考圖5A,記憶體單元500a包含一 MIM堆疊502a,該 MIM堆疊與二極體504a串聯耦合於位元線5〇6a與字線5〇讣 之間。MIM堆豐502a具有一正極性定向以使得可採用相對 於位元線506a施加至字線506b之一正電壓來設定MIM堆疊 502a。二極體504a經定向以便在此一設定操作期間受到反 向偏壓。在其他實施例中,二極體5〇4a可經定向以在對 MIM堆疊502a執行一設定操作之同時受到正向偏壓。 MIM堆疊502a可包含先前所闡述之MIM堆疊中之任一 者’或任何其他適合MIM堆疊。在圖5A中,MIM堆疊502a 係展示為類似於MIM堆疊100d(圖ID)。 二極體504a可包含任一雙端子非線性引導元件,諸如一 p-n或p-i-n接面二極體、一穿通二極體、一隧穿氧化物裝 置、一肖特基二極體’或諸如此類。在圖5A中,二極體 504a係展示為一 p-i-n接面二極體。 參考圖5A,記憶體單元500a包含位元線506a。位元線 506a可係約200埃至約2500埃之任一適合導電材料,諸如 鎢或另一適當金屬、經重摻雜之半導體材料、一導電石夕化 物、一導電矽化物-鍺化物、一導電鍺化物或諸如此類。 在某些實施例中,可形成複數個位元線506a(舉例而言, 參見圖4D至圖4F)作為大致平行、大致共面之位元線 506a。位元線506a之實例性寬度及/或位元線506a之間的間 154788.doc -25- 201145634 二自約200埃至約2500埃之範圍β,但可使用其他導 寬度及/或間距。位元線506 『藉由電介質材料(未展 :)(诸如二氧切、氮化,氮氧切、低κ電介質等及/ 或其他電介質材料).而彼此分離。 在位元線5〇6a上方形成障壁層512。障壁層512可係⑽ 埃至約500埃(且在某些實施例中約1⑽埃)之氮化鈦或另一 適合障壁層,諸如氮化组、氮化鶴、鶴、翻、一個或多個 障壁層之組合、與其他層組合之障壁層(諸如鈦/氮化欽、 鈕/氮化鈕或鎢/氮化鶴堆疊)或諸如此類。可採用其他障壁 層材料及/或厚度。 在障壁層512上方形成用於形成二極體5Q4a之半導體材 料在圖5A之貫施例中,一極體5〇4a係由一多晶半導體材 料(諸如多晶梦 ' —多晶# _鍺合金、多晶錯或任何其他適 合材料)形成。舉例而言,可在障壁層512上沈積一經重摻 雜之非晶或多晶p +矽層504a-i。可採用CVD或另一適合製 程沈積p+矽層504a-l。在至少一項實施例中,p+矽層5〇4a· 1可係(舉例而言)由約1 〇〇埃至約丨〇〇〇埃(在某些實施例中約 100埃)之P+矽以約1〇21 cm·3之一摻雜濃度形成。可使用其 他層厚度及/或摻雜濃度。P+矽層504a」可(舉例而言)藉由 在沈積期間流動一受體氣體來就地摻雜,或(舉例而言)經 由植入來異地摻雜》 在沈積ρ+矽層504a-l之後,可在ρ+矽層504a-l上方形成 一經輕摻雜、本質及/或非故意摻雜之非晶或多晶矽層 504a-2。可採用CVD或另一適合沈積方法來沈積本質矽層 154788.doc •26- 201145634 5〇4a-2。在至少一項實施例中,本質矽層5〇4a_2在厚度上 可係約500埃至約4800埃,在某些實施例中,約25〇〇埃。 可使用其他本質層厚度。 可沈積並藉由離子植入來摻雜額外矽或在沈積期間就地 摻雜額外矽以形成一 n+石夕層5〇4a_3 ^此外,在某些實施例 中,可採用一擴散製程。在至少一項實施例中,所得n+石夕 層504a-3可具有約1〇〇埃至約1000埃(在某些實施例中約1〇〇 埃)之一厚度’及約1〇2! cnT3之一摻雜濃度。可使用其他層 厚度及/或摻雜濃度。 在形成n+矽層504a-3之後,可將矽化物形成金屬層堆疊 5〇8沈積於n+矽層5〇4a-3上方。實例性矽化物形成金屬包 含減鍍或以其他方式沈積之鈦或鈷。在某些實施例中,石夕 化物形成金屬層堆疊508係由約1奈米至4奈米之鈦及約J 5 奈米至25奈米之氮化欽形成。可使用其他石夕化物形成金屬 層材料及/或厚度。 可執行一快速熱退火(「RTA」)步驟以藉由矽化物形成 金屬(諸如Ti)與n+區504a-3之反應來形成矽化物區。在某 些實施例中’該RTA可在約54ITC下執行約1分鐘,以致使 石夕化物形成金屬與二極體504a之所沈積矽相互作用而形成 石夕化物層’從而消耗該石夕化物形成金屬之全部或一部分。 在其他實施例中,可藉由濺鍍矽化物目標或藉由共濺錢 矽化物形成金屬與矽來形成矽化物層。 如標題為「Memory Cell Comprising A Semic〇nduct〇r
Junction Diode Crystallized Adjacent To A Silicide」之美 154788.doc •27· 201145634 國專利第7,176,064號(出於各種目的將該專利以全文引用 之方式併入本文中)中所闡述,石夕化物形成材料(諸如鈦及/ 或钻)與所沈積矽在退火期間進行反應以形成矽化物層。 矽化鈦與矽化鈷之晶格間距接近於矽之晶格間距,且此等 石夕化物層看似可在毗鄰之所沈積矽結晶時用作該所沈積石夕 之「結晶模板」或「晶種」(例如,^夕化物層可在退火期 間增強妙一極體5 0 4 a之結晶結構)。藉此提供較低電阻率 之矽。對於矽-鍺合金及/或鍺二極體而言,可達成類似結 果。 在形成金屬層堆疊508之後,可形成MIM堆疊5〇2a之底 部電極108。在某些實施例中,底部電極1〇8可包含 合金層,該SiGe合金層具有(舉例而言)介於約5 &加%至約 35 atm%之間的Ge及約5x1〇i9至5χ1〇21個原子/cm3(且在某 些實施例中約2xl02G個原子/cm3)之一n+摻雜濃度。如所 述,具有約5 atm%至35 atm%2GeiSiGe底部電極顯著降 低結晶退火溫度,同時仍提供足夠的Si用於氧空位形成。 在某些實施例中’彳採用低溫製程(諸如低壓化學氣相沈 積(WD)或電聚增強化學氣相沈積(PECVD))形成3心底 部電極108。可形成(結晶)SiGe底部電極1〇8之實例性溫度 範圍係6G(TC或更低,且在某些實施例中係55(Γ(:或更低: SiGe底部電極108之實例性厚度介於自約2奈米至i⑻夺来 之範圍内。在其他實施例中可使用Ge之其他、:雜 類型、摻雜位準、形成溫度及/或層厚度。 在形成底部電極108之後,可藉山塔2 η 』錯由原子層沈積(ALD)或另 154788.doc •28· 201145634 一適合方法來形成RRS材料l〇4。舉例而言,RRS材料1〇4 可包含 HfOx、ZrOx、NiOx、TiOx、TaOx、NbOx、 Α1χ〇γ、此專金屬氧化物中之一者或多者之組合、或另一 適合切換材料。在圖5Α之實施例中,rrs材料1〇4可包含 具有約3奈米至12奈米(且在某些實施例中約5奈米)之一厚 度之Hf〇x,其中X係約h2至2〇且在某些實施例中約丨7。 可使用其他厚度範圍及/或X值。 在形成RRS材料104之後,可形成一金屬/金屬氧化物層 堆疊110。金屬/金屬氧化物層堆疊11()可包含(舉例而言)
Ti/TiOx、Zr/ZrOx、Ni/NiOx、A1/A1xOy、Ta/TaOx、
Nb/NbOx、Hf/HfOx或另一類似層堆疊。在所示實施例 中,金屬/金屬氧化物層堆疊11〇可包含具有約〇.5奈米至1〇 奈米(且在某些實施例中約2奈米)之一厚度之丁丨層丨1〇b,及 具有約0.5奈米至6奈米(且在某些實施例中約丨奈米)之一厚 度之乃(^層ll〇a ;且X可係約1 2至2 〇且在某些實施例中約 1.5。可使用其他厚度及/或X值。 舉例而言’可藉由將一 Ti層沈積於HfOx層l04上方且然 後氧化該Ti以形成TiOx層ll〇a來形成^(^層u〇a。舉例而 吕,可經由PVD沈積一 Ti層且然後在用於形成Hf〇x層 1〇4(例如,藉由不使Hf母體流動)之相同ALD室内將該^層 加以氧化。然後可在TiOx層1 l〇a上形成Ti層1 i〇b。 在Ti層ll〇b上方形成頂部電極1〇6。舉例而言,頂部電 極10ό可包含氮化欽、氮化钽、氮化鎢、該等材料之組 合、一金屬/金屬氮化物堆疊(諸如Ti/TiN、Ta/TaN、 154788.doc -29- 201145634 W/WN)或另—類似障壁層。在所示之實施財,頂部電極 106可包含約i 0奈米至6G奈米(且在某些實施例_物奈 之TIN。可使用其他層厚度。在某些實施例中,n+s 可係在一早個叢集工具(single duster 一以例如不破壞 真λ )中形成以改良各種層之間的介接。 為將上文所闡述之MIM堆疊及二極體層㈣成—柱狀社 構5U(如圖4B至圖4F中所示),可使用卜適合钮刻製 程。在某些實施例中,可採用一硬遮罩製程如下: (1)在頂部TiN電極106上方沈積一金屬硬遮罩,諸如約 500埃至1〇〇〇埃之w ; (2) 在該金屬硬遮罩上方沈積氧化物硬遮罩,諸如約 1000埃至 2000埃之Six〇Y ; (3) 在該氧化物硬遮罩上方沈積一多晶矽硬遮罩,諸如 約500埃至2〇〇〇埃之多晶矽;及 (4) 在該多晶矽硬遮罩上方沈積光阻劑,諸如約埃 至3000埃之光阻劑。 然後可將該光阻劑曝光並顯影,且可在一適合之高密度電 漿触刻室中使用(舉例而言)HBr、Cl2、〇2及/或出來敍刻該 多晶矽硬遮罩層。在剝除(灰化)該光阻劑之後,可在一適 σ之中4抢度電漿姓刻室中使用(舉例而言)、〇2及Ar 透過該經圖案化及經蝕刻之多晶矽硬遮罩來蝕刻該氧化物 硬遮罩。然後可在一適合之高密度電漿蝕刻室中使用(舉 例而言)NF3、ΑΙ·、N2、Ch、He及/或〇2透過該經圖案化及 154788.doc -30· 201145634 經触刻之氧化物硬遮罩來姓刻該金屬硬遮罩。 此後,可使用(舉例而言)HBr、Cl2及/4He來蝕刻TiN頂 部電極106;可使用(舉例而言)π*、Cb、取及/或乂來蝕 刻Ti/Ti〇x金屬層堆疊110 ;可使用(舉例而言)HBr、a、 He及/或A來蝕刻Hf〇x RRS材料1〇4 ;可使用(舉例而 吕)HBr、Cl2、He、〇2及/或n2來蝕刻n+ siGe底部電極 108,可使用(舉例而言)ΗΒγ、ο:、及/或出來蝕刻 層堆哇508,可使用(舉例而言)HBr、cl:、He、02及/或N2 來蝕刻多晶矽二極體5(Ma ;且可使用(舉例而言)HBr、Cl2 及/或He來敍刻TiN層5 12。舉例而言,可在一適合之高密 度電漿蝕刻室中執行所有此等蝕刻製程。可採用其他蝕刻 化學過程及/或製程。 所得柱狀結構5 14可由一適合電介質圍繞以使其與在相 同記憶體層級上之其他類似柱狀結構(未展示)隔離。舉例 而言,可沈積大約200埃至7000埃之二氧化矽並使用化學 機械拋光或一回蝕製程將其平坦化以移除過量電介質材料 並形成用以接納字線506b之一平坦表面。此外,在二氧化 矽沈積之前可沈積諸如約50埃至200埃之一薄氮化矽襯 墊。 字線506b可係由任一適合導電材料(諸如藉由任一適合 方法(例如,CVD、PVD等)沈積之鎢、另一適合金屬、經 重掺雜之半導體材料、一導電矽化物、一導電矽化物-鍺 化物、一導電鍺化物或諸如此類)形成。可使用其他導電 層材料。舉例而言,可沈積及蝕刻導電材料以形成字線 154788.doc •31- 201145634 506b(及未單獨展示之其他字線)^在至少一項實施例中, 此等字線係沿不同於位元線506a之一方向延伸之大致平 行、大致共面之導體(舉例而言,如圖4F中所示)。 字線506b可經由一適合之電介質填充及回蝕製程與其他 字線隔離。此後,可以類似於用於形成記憶體單元5〇〇&之 方式之一方式在字線506上方形成一額外記憶體單元(未展 示)。 在形成記憶體單元500a(及/或欲形成於記憶體單元堆疊 500a上面之任何额外記憶體單元層/層級)之後,所得結構 可經退火以使二極體5〇4a之所沈積半導體材料結晶(及/或 藉由層5 08之石夕化物形成金屬與二極體5〇4a之矽區之反應 來形成矽化物區)》如所述,矽化鈦及矽化鈷之晶格間距 接近於矽之晶格間距,且矽化物層看似可在毗鄰之所沈積 矽結晶時用作該所沈積矽之「結晶模板」或「晶種」(例 如’石夕化物層可在約6〇〇它至8〇〇。〇之溫度下進行退火期間 增強石夕一極體之結晶結構)。藉此提供更低電阻率之二極 體材料。對於矽·鍺合金及/或鍺二極體而言,可達成類似 結果。 因此’在至少—項實施例中,可在約600°C至800。(〕(且在 某些實施例中介於約65〇〇c與75{rc之間)的一溫度下在氮氣 中執行一結晶退火達約1〇秒至約2分鐘。可使用其他退火 時間、溫度及/或環境。 圖5B係根據本發明提供之一第二記憶體單元5〇〇b之一剖 視圖。圖5B之第二記憶體單元500b類似於圖5A之第一記 154788.doc -32· 201145634 叫 a* s? —
體5 04b係一穿通二極體, 凡件。舉例而言,圖5B中之二極 而非如圖5A中之記憶體單元50〇a 中所使用之-p-i-n接面二極體。如所述,可使用其他引導
圖5C係根據本發明提供之一第三記憶體單元500c之一剖 視圖。圖5C之第三記憶體單元5〇以類似於圖5八之第一記 憶體單兀50〇a(且可以類似方式形成),但採用一雙層金屬 石夕化物底部電極1〇8(如先前參考圖2A至圖2D所闡述)。 圖5D係根據本發明提供之一第四記憶體單元5〇〇d之一剖 視圖。圖5D之第四記憶體單元5〇〇(1類似於圖5(:之第三記 憶體單元500c,但採用一單極引導元件替代第三記憶體單 元500c所採用之雙極引導元件。舉例而言,圖5D中之二極 體504d係一穿通二極體’而非如圖5C之記憶體單元5〇〇〇中 所使用之一 p-i-n接面二極體。如所述,可使用其他引導元 件’諸如随穿裝置、肖特基二極體或諸如此類。 圖5E係根據本發明提供之一第五記憶體單元5〇〇e之一剖 視圖。圖5E之第五記憶體單元5〇〇e類似於圖5A之第一記憶 體單元500a(且可以類似方式形成),但採用具有一額外金 屬、金屬氮化物或金屬氧化物層112之一金屬矽化物雙層 用於底部電極(如先前參考圖2E至圖2H所闡述)。 圖5F係根據本發明提供之一第六記憶體單元5〇〇f之一剖 視圖。圖5F之第六記憶體單元500f類似於圖5E之第五記憶 體單元500e ’但採用一單極引導元件替代第五記憶體單元 154788.doc •33· 201145634 500e所採用之雙極引導元件。舉例而言,圖5F中之二極體 504f係一穿通二極體,而非如圖5E之記憶體單元50〇6中所 使用之一 p-i-n接面二極體。如所述,可使用其他引導元 件’諸如隧穿裝置、肖特基二極體或諸如此類。 圖5G係根據本發明提供之一第七記憶體單元5〇〇g之一剖 視圖。圖5G之第七記憶體單元5〇〇g類似於圖5A之第一記 憶體單元500a(且可以類似方式形成),但採用一金屬、金 屬氮化物或金屬氧化物層用於底部電極1〇8(如先前參考圖 3A至圖3D所闡述)。 圖5H係根據本發明提供之一第八記憶體單元5〇〇h之一剖 視圖。圖5H之第八記憶體單元5〇〇11類似於圖之第七記 憶體單元500g ’但採用一單極引導元件替代第七記憶體單 元500g所採用之雙極引導元件。舉例而言,圖5H*之二極 體504h係一穿通二極體,而非如圖5g之記憶體單元5〇〇g中 所使用之一p-i-n接面二極體。如所述,可使用其他引導元 件’諸如隧穿裝置、肖特基二極體或諸如此類。 前述說明僅揭示本發明之實例性實施例。熟習此項技術 者將易於瞭解歸;|於本發明之範嘴内之上文所揭示設備及 、之‘改舉例而s,在任何記憶體單元内,可將MIM 堆疊放置於弓丨導元件上面或下面。 因此雖然已結合本發明之實例性實施例來揭示本發 明’但應理解,其他實施例可歸屬於如由以下中請專利範 圍界定之本發明精神及範疇内。 【圖式簡單說明】 154788.doc •34- 201145634 圖1A至圖ID係根據本發明提供之第—實例性金屬-絕緣 體-金屬(MIM)堆疊之剖視圖; 圖2A至圖2H係根據本發明提供之第二實例性Mm堆疊 之剖視圖; 圖3A至圖3D係根據本發明提供之第三實例性M][M堆疊 之剖視圖; 圖4 A係根據本發明之一實例性記憶體單元之一示意性圖 解說明》 圖4B係根據本發明之一記憶體單元之另一實例性實施例 之一簡化透視圖; 圖4C係根據本發明之一記憶體單元之又一實例性實施例 之一簡化透視圖; 圖4D係根據本發明由複數個記憶體單元形成之一第一記 隐體層級之一部分之一簡化透視圖; 圖4E係根據本發明包含位於一第二記憶體層級下面之一 第一記憶體層級之一第一單體式三維記憶體陣列之一部分 之一簡化透視圖; 圖4F係根據本發明包含位於一第二記憶體層級下面之一 第一記憶體層級之一第二單體式三維記憶體陣列之一部分 之一簡化透視圖;及 圖5A至圖5H係根據本發明提供之實例性記憶體單元堆 疊之剖視圖。 【主要元件符號說明】 100a 金屬·絕緣體-金屬(MIM)堆疊 154788.doc -35- 201145634 100b 100c lOOd 104 106 108 108a 108b 110 110a 110b 200a 200b 200c 200d 200e 200f 2〇〇g 200h 212 300a 300b 300c 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 可逆電阻率切換(RRS)材料 頂部電極 底部電極 第一金屬石夕化物層 第二金屬矽化物層 額外層(金屬/金屬氧化物層堆疊) 金屬氧化物層 金屬層 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 金屬(M)、金屬氮化物(MNX)或金屬氧化物 (ΜΟχ)層 金屬-絕緣體-金屬(ΜΙΜ)堆疊 金屬-絕緣體-金屬(ΜΙΜ)堆疊 金屬-絕緣體-金屬(ΜΙΜ)堆疊 154788.doc -36- 201145634 300d 金屬-絕緣體-金屬(MIM)堆疊 400 記憶體單元 402 金屬-絕緣體-金屬(MIM)堆疊 404 引導元件(二極體) 406a 第一導體 406b 第二導體 408 障壁層 410 障壁層 412 障壁層 414 記憶體陣列 416a 單體式三維記憶體陣列 416b 記憶體陣列 418 第一記憶體層級 420 第二記憶體層級 500a 第一記憶體單元 500b 第二記憶體單元 500c 第三記憶體單元 500d 第四記憶體單元 500e 第五記憶體單元 500f 第六記憶體單元 5〇〇g 第七記憶體單元 500h 第八記憶體單元 504a-3 n+碎層 502a 金屬-絕緣體-金屬(MIM)堆疊 154788.doc -37- 201145634 502b 金屬-絕緣體-金屬(MIM)堆疊 502c 金屬-絕緣體-金屬(MIM)堆疊 502d 金屬-絕緣體-金屬(MIM)堆疊 502e 金屬-絕緣體-金屬(MIM)堆疊 502f 金屬-絕緣體-金屬(MIM)堆疊 5〇2g 金屬-絕緣體-金屬(MIM)堆疊 502h 金屬-絕緣體·金屬(MIM)堆疊 504a-2 經輕摻雜、本質及/或非故意摻雜之非晶或 多晶矽層(本質矽層) 504a-l ρ+>ε夕層 504a 二極體 504b 二極體 504d 二極體 504f 二極體 504h 二極體 506a 位元線 506b 字線 508 石夕化物形成金屬層堆疊 512 障壁層 514 柱狀結構 154788.doc -38 -

Claims (1)

  1. 201145634 七、申請專利範圍: 1_ 一種金屬-絕緣體-金屬(MIM)堆疊,其包括: 一第一導電層,其包括矽-鍺(SiGe)合金; 包括-金屬氧化物層之一電阻率切換層,其形成於該 • 第一導電層上面;及 ' 第—導電層,其形成於該電阻率切換層上面》 2. 如請求項1之河服堆疊’其中該以&合金包括介於約5 atm%與 3 5 atm%之間的 Ge。 3. 如請求項1之MIM堆疊,其中該第一導電層具有約2奈米 至100奈米之一厚度。 4. 如請求項1之MIM堆疊,其中該金屬氧化物層包括 Hf〇x、Zr〇x、NiOx、Ti〇x、TaOx、NbOx 或 Alx〇Y 中之 一者或多者。 5·如請求項1之厘以堆疊,其中該第二導電層包括氮化 鈦。 6.如請求項5之ΜΙΜ堆疊,其中該第二導電層包括一層堆 疊,该層堆疊具有形成於該電阻率切換層上方之鈦層及 氧化欽層中之至少一者以及形成於該至少一者上方之氣 • 化鈦層。 • 7. 一種記憶體單元,其包括: 如請求項1之ΜΙΜ堆疊;及 一引導元件,其耦合至該ΜΙΜ堆疊。 8.如請求項7之記憶體單元,其中該引導元件包括與該 ΜΙΜ堆疊串聯耗合之一垂直多晶石夕二極體。 154788.doc 201145634 9. 如請求項7之記憶體單元,其中該81(^合金包括介於約$ atm。/。與 35 atm%之間的 Ge。 10. 如請求項7之記憶體單元,其中該第一導電層具有約2奈 米至1〇〇奈米之一厚度。 11. 如請求項7之記憶體單元,其中該金屬氧化物層包括 Hf〇x、Zr〇x、NiOx、TiOx、TaOx、NbOx 或 Alx〇Y 中之 一者或多者。 12. 如請求項7之記憶體單元,其中該第二導電層包括一層 堆疊,該層堆疊具有形成於該電阻率切換層上方之鈦層 及氧化鈦層中之至少一者以及形成於該至少一者上方之 氮化鈦層。 13· 一種形成一金屬-絕緣體-金屬(MIM)堆疊之方法,其包 括: 形成包括矽-鍺(SiGe)合金之一第一導電層; 在該第一導電層上面形成包括一金屬氧化物層之一電 阻率切換層;及 在該電阻率切換層上面形成一第二導電層。 14. 如清求項13之方法,其中在不大於約6〇〇。〇之一溫度下形 成該第一導電層。 15. 如4求項13之方法其中在不大於約55(rc之一溫度下形 成該第一導電層。 16. 如4求項13之方法’其中使用低壓化學氣相沈積或電漿 增強化學氣相沈積形成該第 一導電層。 Η.如喷求項13之方法,其中該SiGe合金包括介於約5 atm% 154788.doc -2 · 201145634 與35 atm%之間的Ge。 18. 如請求項13之方法,其中該第二導電層包括一層堆疊, 該層堆疊具有形成於該電阻率切換層上方之鈦層及氧化 鈦層中之至少一者以及形成於該至少一者上方之氮化欽 層。 19. 如請求項13之方法,其中該金屬氧化物層包括Hf〇x、 ZrOx、NiOx、Ti〇x、TaOx、NbOx 或 Alx〇Y 中之一者或多 者。 20· —種形成一記憶體單元之方法,其包括: 形成一金屬-絕緣體-金屬(ΜΙΜ)堆疊,其具有: 一第一導電層,其包括矽-鍺(SiGe)合金; 包括一金屬氧化物層之一電阻率切換層,其形成於 該第一導電層上面;及 一第二導電層’其形成於該電阻率切換層上面;及 形成麵合至該MIM堆疊之一引導元件。 21·如明求項20之方法,其中在不大於約6〇〇c>c之一溫度下形 成該第一導電層。 22.如吻求項20之方法’其中在不大於約之一溫度下形 成該第一導電層。 如β求項20之方法’其中使用低壓化學氣相沈積或電漿 增強化學氣相沈積形成該第一導電層。 月求項20之方法,其中該合金包括介於約5 與35 atm%之間的G 23 24 25.如請求項20之方、;^i 其中該金屬氧化物層包括Hf〇x、 154788.doc 201145634 ZrOx、NiOx、TiOx、TaOx、NbOx或AlxOY中之一者或多 者0 154788.doc -4-
TW100108969A 2010-03-16 2011-03-16 Bottom electrodes for use with metal oxide resistivity switching layers TW201145634A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US31457710P 2010-03-16 2010-03-16
US13/047,020 US8772749B2 (en) 2010-03-16 2011-03-14 Bottom electrodes for use with metal oxide resistivity switching layers
PCT/US2011/028394 WO2011115924A1 (en) 2010-03-16 2011-03-16 Bottom electrodes for use with metal oxide resistivity switching layers

Publications (1)

Publication Number Publication Date
TW201145634A true TW201145634A (en) 2011-12-16

Family

ID=44646512

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100108969A TW201145634A (en) 2010-03-16 2011-03-16 Bottom electrodes for use with metal oxide resistivity switching layers
TW100108967A TW201145633A (en) 2010-03-16 2011-03-16 Bottom electrodes for use with metal oxide resistivity switching layers

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW100108967A TW201145633A (en) 2010-03-16 2011-03-16 Bottom electrodes for use with metal oxide resistivity switching layers

Country Status (6)

Country Link
US (3) US8354660B2 (zh)
EP (2) EP2548238B8 (zh)
JP (2) JP2013522912A (zh)
KR (2) KR20130007572A (zh)
TW (2) TW201145634A (zh)
WO (2) WO2011115926A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI484679B (zh) * 2012-12-17 2015-05-11 Winbond Electronics Corp 非揮發性記憶體

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2548238B8 (en) * 2010-03-16 2015-06-17 SanDisk 3D, LLC Method of forming bottom electrodes for use with metal oxide resistivity switching layers
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
WO2011156787A2 (en) 2010-06-11 2011-12-15 Crossbar, Inc. Pillar structure for memory device and method
US8441835B2 (en) 2010-06-11 2013-05-14 Crossbar, Inc. Interface control for improved switching in RRAM
US8374018B2 (en) 2010-07-09 2013-02-12 Crossbar, Inc. Resistive memory using SiGe material
US8168506B2 (en) 2010-07-13 2012-05-01 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US8467227B1 (en) 2010-11-04 2013-06-18 Crossbar, Inc. Hetero resistive switching material layer in RRAM device and method
US8569172B1 (en) 2012-08-14 2013-10-29 Crossbar, Inc. Noble metal/non-noble metal electrode for RRAM applications
US8947908B2 (en) 2010-11-04 2015-02-03 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US8492195B2 (en) 2010-08-23 2013-07-23 Crossbar, Inc. Method for forming stackable non-volatile resistive switching memory devices
US8889521B1 (en) 2012-09-14 2014-11-18 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US9401475B1 (en) 2010-08-23 2016-07-26 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8404553B2 (en) 2010-08-23 2013-03-26 Crossbar, Inc. Disturb-resistant non-volatile memory device and method
US8391049B2 (en) 2010-09-29 2013-03-05 Crossbar, Inc. Resistor structure for a non-volatile memory device and method
US8558212B2 (en) 2010-09-29 2013-10-15 Crossbar, Inc. Conductive path in switching material in a resistive random access memory device and control
US8389971B2 (en) 2010-10-14 2013-03-05 Sandisk 3D Llc Memory cells having storage elements that share material layers with steering elements and methods of forming the same
US8841648B2 (en) 2010-10-14 2014-09-23 Sandisk 3D Llc Multi-level memory arrays with memory cells that employ bipolar storage elements and methods of forming the same
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
US8502185B2 (en) 2011-05-31 2013-08-06 Crossbar, Inc. Switching device having a non-linear element
US8088688B1 (en) 2010-11-05 2012-01-03 Crossbar, Inc. p+ polysilicon material on aluminum for non-volatile memory device and method
US9059401B2 (en) * 2010-12-14 2015-06-16 Sandisk 3D Llc Three dimensional non-volatile storage with three device driver for row select
US8930174B2 (en) 2010-12-28 2015-01-06 Crossbar, Inc. Modeling technique for resistive random access memory (RRAM) cells
US9153623B1 (en) 2010-12-31 2015-10-06 Crossbar, Inc. Thin film transistor steering element for a non-volatile memory device
US8815696B1 (en) 2010-12-31 2014-08-26 Crossbar, Inc. Disturb-resistant non-volatile memory device using via-fill and etchback technique
US8791010B1 (en) 2010-12-31 2014-07-29 Crossbar, Inc. Silver interconnects for stacked non-volatile memory device and method
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8450710B2 (en) 2011-05-27 2013-05-28 Crossbar, Inc. Low temperature p+ silicon junction material for a non-volatile memory device
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US8394670B2 (en) 2011-05-31 2013-03-12 Crossbar, Inc. Vertical diodes for non-volatile memory device
US8619459B1 (en) 2011-06-23 2013-12-31 Crossbar, Inc. High operating speed resistive random access memory
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
US8659929B2 (en) 2011-06-30 2014-02-25 Crossbar, Inc. Amorphous silicon RRAM with non-linear device and operation
US9166163B2 (en) 2011-06-30 2015-10-20 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
US8946669B1 (en) 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
JP2013026289A (ja) * 2011-07-15 2013-02-04 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
EP2735028A4 (en) 2011-07-22 2015-05-06 Crossbar Inc SEALING LAYER FOR SILICON-GERMANIUM P + MATERIAL FOR REMAINING MEMORY DEVICE AND ASSOCIATED METHOD
US9729155B2 (en) 2011-07-29 2017-08-08 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US8674724B2 (en) 2011-07-29 2014-03-18 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US10056907B1 (en) 2011-07-29 2018-08-21 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US8546275B2 (en) * 2011-09-19 2013-10-01 Intermolecular, Inc. Atomic layer deposition of hafnium and zirconium oxides for memory applications
JP2013069933A (ja) * 2011-09-22 2013-04-18 Toshiba Corp 不揮発性半導体記憶装置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP2013122985A (ja) * 2011-12-12 2013-06-20 Toshiba Corp 半導体記憶装置
US9269425B2 (en) 2011-12-30 2016-02-23 Sandisk 3D Llc Low forming voltage non-volatile storage device
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US8741772B2 (en) * 2012-02-16 2014-06-03 Intermolecular, Inc. In-situ nitride initiation layer for RRAM metal oxide switching material
US8716098B1 (en) 2012-03-09 2014-05-06 Crossbar, Inc. Selective removal method and structure of silver in resistive switching device for a non-volatile memory device
US9087576B1 (en) 2012-03-29 2015-07-21 Crossbar, Inc. Low temperature fabrication method for a three-dimensional memory device and structure
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
US8796658B1 (en) 2012-05-07 2014-08-05 Crossbar, Inc. Filamentary based non-volatile resistive memory device and method
JP2013235956A (ja) * 2012-05-09 2013-11-21 Toshiba Corp 半導体記憶装置
US8765566B2 (en) 2012-05-10 2014-07-01 Crossbar, Inc. Line and space architecture for a non-volatile memory device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
EP2695966B1 (en) 2012-08-06 2018-10-03 IMEC vzw ALD method
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
US9741765B1 (en) 2012-08-14 2017-08-22 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US8946673B1 (en) 2012-08-24 2015-02-03 Crossbar, Inc. Resistive switching device structure with improved data retention for non-volatile memory device and method
US20140054531A1 (en) * 2012-08-24 2014-02-27 Intermolecular, Inc. Defect enhancement of a switching layer in a nonvolatile resistive memory element
US9129894B2 (en) 2012-09-17 2015-09-08 Intermolecular, Inc. Embedded nonvolatile memory elements having resistive switching characteristics
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9312483B2 (en) 2012-09-24 2016-04-12 Crossbar, Inc. Electrode structure for a non-volatile memory device and method
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
US11068620B2 (en) 2012-11-09 2021-07-20 Crossbar, Inc. Secure circuit integrated with memory layer
US8982647B2 (en) 2012-11-14 2015-03-17 Crossbar, Inc. Resistive random access memory equalization and sensing
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9412790B1 (en) 2012-12-04 2016-08-09 Crossbar, Inc. Scalable RRAM device architecture for a non-volatile memory device and method
US8872152B2 (en) 2012-12-13 2014-10-28 Intermolecular, Inc. IL-free MIM stack for clean RRAM devices
US8796103B2 (en) 2012-12-20 2014-08-05 Intermolecular, Inc. Forming nonvolatile memory elements by diffusing oxygen into electrodes
US8860002B2 (en) 2012-12-20 2014-10-14 Intermolecular, Inc. Limited maximum fields of electrode-switching layer interfaces in Re-RAM cells
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9406379B2 (en) 2013-01-03 2016-08-02 Crossbar, Inc. Resistive random access memory with non-linear current-voltage relationship
US9047940B2 (en) 2013-01-10 2015-06-02 Intermolecular, Inc. Resistive random access memory cells having variable switching characteristics
US9112145B1 (en) 2013-01-31 2015-08-18 Crossbar, Inc. Rectified switching of two-terminal memory via real time filament formation
US9324942B1 (en) 2013-01-31 2016-04-26 Crossbar, Inc. Resistive memory cell with solid state diode
US8934280B1 (en) 2013-02-06 2015-01-13 Crossbar, Inc. Capacitive discharge programming for two-terminal memory cells
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014138124A1 (en) 2013-03-04 2014-09-12 Sandisk 3D Llc Vertical bit line non-volatile memory systems and methods of fabrication
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9165933B2 (en) 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
EP2793279A1 (en) * 2013-04-19 2014-10-22 ETH Zurich Strained multilayer resistive-switching memory elements
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device
US9269898B2 (en) * 2014-02-07 2016-02-23 Crossbar, Inc. Low temperature deposition for silicon-based conductive film
US9362338B2 (en) 2014-03-03 2016-06-07 Sandisk Technologies Inc. Vertical thin film transistors in non-volatile storage systems
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9379246B2 (en) 2014-03-05 2016-06-28 Sandisk Technologies Inc. Vertical thin film transistor selection devices and methods of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9425390B2 (en) * 2014-10-16 2016-08-23 Micron Technology, Inc. Select device for memory cell applications
US9246087B1 (en) * 2014-11-24 2016-01-26 Intermolecular, Inc. Electron barrier height controlled interfaces of resistive switching layers in resistive random access memory cells
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) * 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9450023B1 (en) 2015-04-08 2016-09-20 Sandisk Technologies Llc Vertical bit line non-volatile memory with recessed word lines
US9305974B1 (en) 2015-04-16 2016-04-05 Stmicroelectronics, Inc. High density resistive random access memory (RRAM)
KR101735187B1 (ko) * 2015-06-30 2017-05-15 서울대학교산학협력단 가변 저항체, 이를 이용한 비휘발성 메모리 소자 및 이들의 제조 방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10332957B2 (en) * 2016-06-30 2019-06-25 International Business Machines Corporation Stacked capacitor with symmetric leakage and break-down behaviors
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672604B2 (en) 2016-09-20 2020-06-02 The Board Of Trustees Of The Leland Stanford Junior University Metal oxide-resistive memory using two-dimensional edge electrodes
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10762940B2 (en) 2016-12-09 2020-09-01 Integrated Magnetoelectronics Corporation Narrow etched gaps or features in multi-period thin-film structures
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10510547B2 (en) * 2017-09-13 2019-12-17 Applied Materials, Inc. Metal and metal-derived films
JP2019057544A (ja) * 2017-09-19 2019-04-11 東芝メモリ株式会社 記憶素子
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR102397393B1 (ko) 2017-11-28 2022-05-12 삼성전자주식회사 반도체 장치
WO2019112576A1 (en) 2017-12-06 2019-06-13 Integrated Magnetoelectronics Corporation Narrow etched gaps or features in multi-period thin-film structures
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
FR3090180A1 (fr) * 2018-12-14 2020-06-19 Commissariat A L'energie Atomique Et Aux Energies Alternatives Cellule memoire resistive a base d’oxyde oxram et procede de fabrication associe
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200122175A (ko) * 2019-04-17 2020-10-27 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
CN110854267B (zh) * 2019-12-09 2023-09-22 上海华力微电子有限公司 阻变存储器及其制造方法
WO2022240426A1 (en) * 2021-05-12 2022-11-17 Tetramem Inc. Resistive random-access memory devices with multi-component electrodes
US20240074162A1 (en) * 2022-08-30 2024-02-29 Applied Materials, Inc. Lanthanum nitride as a dram molybdenum liner

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4954455A (en) * 1984-12-18 1990-09-04 Advanced Micro Devices Semiconductor memory device having protection against alpha strike induced errors
WO1986004408A1 (en) 1985-01-16 1986-07-31 Clayview Pty. Ltd. Navigation systems
JPH0239983Y2 (zh) 1985-09-03 1990-10-25
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
US6483736B2 (en) * 1998-11-16 2002-11-19 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
JP4027041B2 (ja) 1999-03-19 2007-12-26 インフィネオン テクノロジース アクチエンゲゼルシャフト メモリセル装置及びその製造方法
US6343032B1 (en) * 1999-07-07 2002-01-29 Iowa State University Research Foundation, Inc. Non-volatile spin dependent tunnel junction circuit
US6542000B1 (en) * 1999-07-30 2003-04-01 Iowa State University Research Foundation, Inc. Nonvolatile programmable logic devices
JP4880867B2 (ja) 2002-04-10 2012-02-22 セイコーインスツル株式会社 薄膜メモリ、アレイとその動作方法および製造方法
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
JP2006511965A (ja) 2002-12-19 2006-04-06 マトリックス セミコンダクター インコーポレイテッド 高密度不揮発性メモリを製作するための改良された方法
US7767499B2 (en) 2002-12-19 2010-08-03 Sandisk 3D Llc Method to form upward pointing p-i-n diodes having large and uniform current
KR100533958B1 (ko) 2004-01-05 2005-12-06 삼성전자주식회사 상변화 메모리 장치 및 그 제조 방법
CN101010793B (zh) 2004-06-30 2011-09-28 Nxp股份有限公司 制造具有通过纳米线接触的导电材料层的电子器件的方法
US20080237696A1 (en) 2004-07-01 2008-10-02 Chih-Hsin Wang Alignment protection in non-volatile memory and array
DE102004041330B3 (de) 2004-08-26 2006-03-16 Infineon Technologies Ag Speicherschaltung mit ein Widerstandsspeicherelement aufweisenden Speicherzellen
US7026689B2 (en) 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
US7405465B2 (en) * 2004-09-29 2008-07-29 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
US8179711B2 (en) 2004-10-26 2012-05-15 Samsung Electronics Co., Ltd. Semiconductor memory device with stacked memory cell and method of manufacturing the stacked memory cell
US20060250836A1 (en) 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US7812404B2 (en) 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
KR100634241B1 (ko) * 2005-05-30 2006-10-13 삼성전자주식회사 반도체 커패시터 및 그 제조 방법
KR100682946B1 (ko) * 2005-05-31 2007-02-15 삼성전자주식회사 상전이 램 및 그 동작 방법
WO2006137110A1 (ja) 2005-06-20 2006-12-28 Fujitsu Limited 不揮発性半導体記憶装置及びその書き込み方法
US20070069241A1 (en) 2005-07-01 2007-03-29 Matrix Semiconductor, Inc. Memory with high dielectric constant antifuses and method for using at low voltage
JP4894757B2 (ja) * 2005-07-29 2012-03-14 富士通株式会社 抵抗記憶素子及び不揮発性半導体記憶装置
WO2007023569A1 (ja) * 2005-08-26 2007-03-01 Fujitsu Limited 不揮発性半導体記憶装置及びその書き込み方法
JP4778765B2 (ja) * 2005-10-07 2011-09-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US20070132049A1 (en) * 2005-12-12 2007-06-14 Stipe Barry C Unipolar resistance random access memory (RRAM) device and vertically stacked architecture
KR101186293B1 (ko) * 2006-01-19 2012-09-27 삼성전자주식회사 배리스터를 포함하는 저항성 메모리 소자 및 그 동작 방법
US7501331B2 (en) 2006-03-31 2009-03-10 Sandisk 3D Llc Low-temperature metal-induced crystallization of silicon-germanium films
US7808810B2 (en) * 2006-03-31 2010-10-05 Sandisk 3D Llc Multilevel nonvolatile memory cell comprising a resistivity-switching oxide or nitride and an antifuse
US7875871B2 (en) * 2006-03-31 2011-01-25 Sandisk 3D Llc Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride
US7754605B2 (en) * 2006-06-30 2010-07-13 Sandisk 3D Llc Ultrashallow semiconductor contact by outdiffusion from a solid source
US8030637B2 (en) 2006-08-25 2011-10-04 Qimonda Ag Memory element using reversible switching between SP2 and SP3 hybridized carbon
CN101536188B (zh) * 2006-11-30 2010-09-29 富士通株式会社 电阻存储元件及其制造方法、非易失性半导体存储装置
WO2008075412A1 (ja) 2006-12-19 2008-06-26 Fujitsu Limited 抵抗変化素子及びその製造方法
KR100896180B1 (ko) 2007-01-23 2009-05-12 삼성전자주식회사 선택적으로 성장된 상변화층을 구비하는 상변화 메모리소자 및 그 제조방법
US7678607B2 (en) * 2007-02-05 2010-03-16 Intermolecular, Inc. Methods for forming resistive switching memory elements
KR100809724B1 (ko) * 2007-03-02 2008-03-06 삼성전자주식회사 터널링층을 구비한 바이폴라 스위칭 타입의 비휘발성메모리소자
US7629198B2 (en) 2007-03-05 2009-12-08 Intermolecular, Inc. Methods for forming nonvolatile memory elements with resistive-switching metal oxides
WO2008140979A1 (en) * 2007-05-09 2008-11-20 Intermolecular, Inc. Resistive-switching nonvolatile memory elements
WO2009015298A2 (en) 2007-07-25 2009-01-29 Intermolecular, Inc. Nonvolatile memory elements
US20090095985A1 (en) 2007-10-10 2009-04-16 Samsung Electronics Co., Ltd. Multi-layer electrode, cross point memory array and method of manufacturing the same
JP2010287582A (ja) 2007-10-15 2010-12-24 Panasonic Corp 不揮発性記憶素子およびその製造方法、並びにその不揮発性記憶素子を用いた不揮発性半導体装置
US7718990B2 (en) 2007-12-04 2010-05-18 Ovonyx, Inc. Active material devices with containment layer
JP5110088B2 (ja) 2007-12-06 2012-12-26 富士通株式会社 抵抗変化素子とその製造方法、及び抵抗変化素子を用いた半導体記憶装置
US8284596B2 (en) * 2008-06-09 2012-10-09 Qimonda Ag Integrated circuit including an array of diodes coupled to a layer of resistance changing material
JP4469023B2 (ja) 2008-07-11 2010-05-26 パナソニック株式会社 不揮発性記憶素子およびその製造方法、並びにその不揮発性記憶素子を用いた不揮発性半導体装置
US8362454B2 (en) 2008-08-12 2013-01-29 Industrial Technology Research Institute Resistive random access memory having metal oxide layer with oxygen vacancies and method for fabricating the same
US7974117B2 (en) 2008-10-30 2011-07-05 Seagate Technology Llc Non-volatile memory cell with programmable unipolar switching element
US7835173B2 (en) 2008-10-31 2010-11-16 Micron Technology, Inc. Resistive memory
US8120941B2 (en) * 2008-11-07 2012-02-21 Seagate Technology Llc Bidirectional non-volatile memory array architecture
KR20100060323A (ko) * 2008-11-27 2010-06-07 삼성전자주식회사 가변저항 메모리 장치 및 그 형성 방법
US8420478B2 (en) 2009-03-31 2013-04-16 Intermolecular, Inc. Controlled localized defect paths for resistive memories
JP5388710B2 (ja) * 2009-06-12 2014-01-15 株式会社東芝 抵抗変化メモリ
US8207064B2 (en) * 2009-09-17 2012-06-26 Sandisk 3D Llc 3D polysilicon diode with low contact resistance and method for forming same
EP2548238B8 (en) * 2010-03-16 2015-06-17 SanDisk 3D, LLC Method of forming bottom electrodes for use with metal oxide resistivity switching layers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI484679B (zh) * 2012-12-17 2015-05-11 Winbond Electronics Corp 非揮發性記憶體

Also Published As

Publication number Publication date
US20110227028A1 (en) 2011-09-22
US20130126821A1 (en) 2013-05-23
US20110227020A1 (en) 2011-09-22
KR20130007572A (ko) 2013-01-18
TW201145633A (en) 2011-12-16
JP2013522911A (ja) 2013-06-13
EP2548238A1 (en) 2013-01-23
JP2013522912A (ja) 2013-06-13
KR20130007571A (ko) 2013-01-18
EP2548238B8 (en) 2015-06-17
US8354660B2 (en) 2013-01-15
WO2011115924A1 (en) 2011-09-22
EP2548238B1 (en) 2015-04-22
EP2548239A1 (en) 2013-01-23
WO2011115926A1 (en) 2011-09-22
US8772749B2 (en) 2014-07-08

Similar Documents

Publication Publication Date Title
TW201145634A (en) Bottom electrodes for use with metal oxide resistivity switching layers
US9105576B2 (en) Multi-level memory arrays with memory cells that employ bipolar storage elements and methods of forming the same
US8969845B2 (en) Memory cells having storage elements that share material layers with steering elements and methods of forming the same
US8803119B2 (en) Semiconductor memory device and manufacturing method of the same
TW201027744A (en) Carbon-based memory elements exhibiting reduced delamination and methods of forming the same
JP4982098B2 (ja) 電気抵抗変化素子およびこの電気抵抗変化素子を備えた半導体装置ならびにその製造方法
TW201125078A (en) A memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
TW200810086A (en) Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride
TW201140805A (en) Memory cell formed using a recess and methods for forming the same
TW201010007A (en) A memory cell that includes a carbon-based memory element and methods of forming the same
TW201138173A (en) A memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
TW200830538A (en) Phase change memory device and method of fabricating the same
TW201017944A (en) Methods and apparatus for increasing memory density using diode layer sharing
TW200913171A (en) Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
TW200939397A (en) Vertical diode based memory cells having a lowered programming voltage and methods of forming the same
TW201203641A (en) A memory cell that includes a carbon-based memory element and methods of forming the same
US20120091418A1 (en) Bipolar storage elements for use in memory cells and methods of forming the same
TW201017946A (en) Methods for increasing carbon nano-tube (CNT) yield in memory devices
TW201011865A (en) Integration methods for carbon films in two-and three-dimensional memories and memories formed therefrom
TW201135873A (en) A memory cell that includes a carbon-based memory element and methods of forming the same
TW200908338A (en) Method to form low-defect polycrystalline semiconductor material for use in a transistor
CN113299827A (zh) 相变存储器及其制作方法