JP2013522911A - 金属酸化物抵抗率スイッチング層と共に使用する下部電極 - Google Patents

金属酸化物抵抗率スイッチング層と共に使用する下部電極 Download PDF

Info

Publication number
JP2013522911A
JP2013522911A JP2013500131A JP2013500131A JP2013522911A JP 2013522911 A JP2013522911 A JP 2013522911A JP 2013500131 A JP2013500131 A JP 2013500131A JP 2013500131 A JP2013500131 A JP 2013500131A JP 2013522911 A JP2013522911 A JP 2013522911A
Authority
JP
Japan
Prior art keywords
layer
metal
stack
mim
memory cell
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2013500131A
Other languages
English (en)
Inventor
チャンドラ スカル,ディパック
クループル,フランツ
エス. マカラ,ラグビアー
Original Assignee
サンディスク スリーディー,エルエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by サンディスク スリーディー,エルエルシー filed Critical サンディスク スリーディー,エルエルシー
Publication of JP2013522911A publication Critical patent/JP2013522911A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

(1)シリコン−ゲルマニウム(SiGe)合金を含む第1の導電層と、(2)第1の導電層の上に形成された金属酸化物層を含む抵抗率スイッチング層と、(3)抵抗率スイッチング層の上に形成された第2の導電層と、を備える金属−絶縁体−金属(MIM)スタックが提供される。このMIMスタックからメモリセルが形成されうる。

Description

本発明は、メモリアレイに関し、より詳細には金属酸化物抵抗率スイッチング層と共に使用する下部電極に関する。
関連出願
本願は、その全体が本願明細書において参照により援用されている、2010年3月16日に出願された「ELECTRODES FOR USE WITH RESISTIVITY SWITCHING MATERIALS 」という米国仮特許出願第61/314,577号(特許文献1)、ならびに2011年3月14日に出願された米国特許出願第13/047,020号(特許文献2)の優先権を主張するものである。
金属酸化物などの可逆的抵抗率スイッチング(RRS)材料は、メモリアレイ内の記憶素子として使用することができる。例えば、その全体が本願明細書において参照により援用されている、2005年5月9日に出願された「NON-VOLATILE MEMORY CELL COMPRISING A DIODE AND A RESISTANCE-SWITCHING MATERIAL 」という米国特許第7,812,404号(特許文献3)は、金属酸化物や金属窒化物などのRRS材料と直列に結合されたダイオードを含む書換え可能な不揮発性メモリセルを記述している。
しかし、望ましいスイッチング特性を持つ金属酸化物の書換え可能な抵抗率スイッチング材料からメモリ装置を製作するのは困難であり、金属酸化物抵抗率スイッチング材料を採用した改良型のメモリ装置が望ましい。
米国仮特許出願第61/314,577号 米国特許出願第13/047,020号 米国特許第7,812,404号 米国特許第6,952,030号 米国特許出願第11/692,151号 米国特許第5,915,167号 米国特許第7,176,064号
Robertson et al., "Fermi level pinning by defects in HfO2-metal gate stacks," Appl. Phys. Letters 91, 132912 (2007)
本発明の第1の態様では、(1)シリコン−ゲルマニウム(SiGe)合金を含む第1の導電層と、(2)第1の導電層の上に形成された金属酸化物層を含む抵抗率スイッチング層と、(3)抵抗率スイッチング層の上に形成された第2の導電層と、を備える金属−絶縁体−金属(MIM)スタックが提供される。このMIMスタックからメモリセルが形成されうる。
本発明の第2の態様では、(1)SiGe合金を含む第1の導電層を形成することと、(2)第1の導電層の上に金属酸化物層を含む抵抗率スイッチング層を形成することと、(3)抵抗率スイッチング層の上に第2の導電層を形成することと、を含むMIMスタックを形成する方法が提供される。
本発明の第3の態様では、(1)(a)SiGe合金を含む第1の導電層と、(b)第1の導電層の上に形成された金属酸化物層を含む抵抗率スイッチング層と、(c)抵抗率スイッチング層の上に形成された第2の導電層と、を有するMIMスタックを形成することと、(2)MIMスタックに結合されたステアリング素子を形成することと、を含むMIMスタックを形成する方法が提供される。
本発明の第4の態様では、(1)第1の金属シリサイド層および第2の金属シリサイド層を含む第1の導電層と、(2)第1の導電層の上に形成された金属酸化物層を含む抵抗率スイッチング層と、(3)抵抗率スイッチング層の上に形成された第2の導電層と、を備えるMIMスタックが提供される。このMIMスタックからメモリセルが形成されうる。
本発明の第5の態様では、(1)第1の金属シリサイド層および第2の金属シリサイド層を含む第1の導電層を形成することと、(2)第1の導電層の上に金属酸化物層を含む抵抗率スイッチング層を形成することと、(3)抵抗率スイッチング層の上に第2の導電層を形成することと、を含むMIMスタックを形成する方法が提供される。この方法を使用してメモリセルが形成されうる。
本発明の第6の態様では、(1)第1の温度で形成された第1の金属シリサイド層と、(2)第1の金属シリサイド層の上に第1の温度より高い第2の温度で形成された第2の金属シリサイド層と、(3)第2の金属シリサイド層の上に形成されたn+シリコンまたはSiGe層と、(4)n+シリコンまたはSiGe層の上に形成された金属酸化物層を含む抵抗率スイッチング層と、(5)抵抗率スイッチング層の上に形成された第2の導電層と、を備えるMIMスタックが提供される。このMIMスタックからメモリセルが形成されうる。
本発明の第7の態様では、(1)第1の温度で第1の金属シリサイド層を形成することと、(2)第1の金属シリサイド層の上に第1の温度より高い第2の温度で第2の金属シリサイド層を形成することと、(3)第2の金属シリサイド層の上にn+シリコンまたはSiGe層を形成することと、(4)n+シリコンまたはSiGe層の上に金属酸化物層を含む抵抗率スイッチング層を形成することと、(5)抵抗率スイッチング層の上に第2の導電層を形成することと、を含むMIMスタックを形成する方法が提供される。この方法を使用してメモリセルが形成されうる。
本発明の第8の態様では、(1)クロム、窒化クロム、ハフニウム、窒化ハフニウム、ニオブ、窒化ニオブ、バナジウム、窒化バナジウム、ジルコニウムまたは窒化ジルコニウムのうちの1つまたは複数を含む第1の導電層と、(2)第1の導電層の上に形成された金属酸化物層を含む抵抗率スイッチング層と、(3)抵抗率スイッチング層の上に形成された第2の導電層と、を備えるMIMスタックが提供される。このMIMスタックからメモリセルが形成されうる。
本発明の第9の態様では、(1)クロム、窒化クロム、ハフニウム、窒化ハフニウム、ニオブ、窒化ニオブ、バナジウム、窒化バナジウム、ジルコニウムまたは窒化ジルコニウムのうちの1つまたは複数を含む第1の導電層を形成することと、(2)第1の導電層の上に金属酸化物層を含む抵抗率スイッチング層を形成することと、(3)抵抗率スイッチング層の上に第2の導電層を形成することと、を含むMIMスタックを形成する方法が提供される。この方法を使用してメモリセルが形成されうる。他の多くの態様が提供される。
本発明の他の特徴および態様は、以下の詳細な説明、添付の特許請求の範囲、および添付の図面からより完全に明らかとなる。
本発明に従って提供される第1の例示的な金属−絶縁体−金属(MIM)スタックの断面図である。 本発明に従って提供される第1の例示的な金属−絶縁体−金属(MIM)スタックの断面図である。 本発明に従って提供される第1の例示的な金属−絶縁体−金属(MIM)スタックの断面図である。 本発明に従って提供される第1の例示的な金属−絶縁体−金属(MIM)スタックの断面図である。 本発明に従って提供される第2の例示的なMIMスタックの断面図である。 本発明に従って提供される第2の例示的なMIMスタックの断面図である。 本発明に従って提供される第2の例示的なMIMスタックの断面図である。 本発明に従って提供される第2の例示的なMIMスタックの断面図である。 本発明に従って提供される第2の例示的なMIMスタックの断面図である。 本発明に従って提供される第2の例示的なMIMスタックの断面図である。 本発明に従って提供される第2の例示的なMIMスタックの断面図である。 本発明に従って提供される第2の例示的なMIMスタックの断面図である。 本発明に従って提供される第3の例示的なMIMスタックの断面図である。 本発明に従って提供される第3の例示的なMIMスタックの断面図である。 本発明に従って提供される第3の例示的なMIMスタックの断面図である。 本発明に従って提供される第3の例示的なMIMスタックの断面図である。 本発明による例示的なメモリセルの概略図である。 本発明によるメモリセルの別の例示的な実施形態の簡略斜視図である。 本発明によるメモリセルの別の例示的な実施形態の簡略斜視図である。 本発明による複数のメモリセルから形成された第1のメモリレベルの一部の簡略斜視図である。 本発明による、第2のメモリレベルの下に配置された第1のメモリレベルを含む第1のモノリシックな3次元メモリアレイの一部の簡略斜視図である。 本発明による、第2のメモリレベルの下に配置された第1のメモリレベルを含む第2のモノリシックな3次元メモリアレイの一部の簡略斜視図である。 本発明に従って提供される例示的なメモリセルスタックの断面図である。 本発明に従って提供される例示的なメモリセルスタックの断面図である。 本発明に従って提供される例示的なメモリセルスタックの断面図である。 本発明に従って提供される例示的なメモリセルスタックの断面図である。 本発明に従って提供される例示的なメモリセルスタックの断面図である。 本発明に従って提供される例示的なメモリセルスタックの断面図である。 本発明に従って提供される例示的なメモリセルスタックの断面図である。 本発明に従って提供される例示的なメモリセルスタックの断面図である。
2つの金属層またはその他の導電層の間に挟まれた可逆的抵抗率スイッチング(RRS)材料から形成された金属−絶縁体−金属(MIM)スタックは、メモリセル用の抵抗率スイッチング素子として働くことができる。2つの導電層は、抵抗率スイッチング素子の上部電極および下部電極として働くことができ、RRS材料の抵抗率を高値から低値に変化させ、その逆も同様に変化させるRRS材料を横切る電場を加えるために使用することができる。
本発明の実施形態によれば、金属酸化物抵抗率スイッチング層を採用した新規なMIMスタックが提供される。この種のMIMスタックを形成する方法、ならびに3次元(3D)メモリアレイ内にこの種のMIMスタックを採用する方法もまた提供される。
本発明のこれらの実施形態およびその他の実施形態について、図1A〜5Hを参照して以下に説明する。例えば、図1A〜1DはSiGe下部電極を採用したMIMスタックを示す。図2A〜2Hは、複数層の金属シリサイド下部電極を採用したMIMスタックを示す。図3A〜3Dは、金属酸化物スイッチング層内での酸素空孔の形成を促進するために特に選択された金属、金属窒化物または金属酸化物を有する下部電極を採用したMIMスタックを示す。図4A〜4Fおよび図5A〜5Hは、前述したMIMスタックおよび/または下部電極のいずれかを使用することができるメモリセルおよびメモリアレイの例示的な実施形態を示す。
SiGe下部電極を有するMIMスタック
本発明のいくつかの実施形態によれば、シリコンゲルマニウム合金からなる下部電極を採用したMIMスタックが提供される。例えば、図1Aは、上部電極106とSiGe下部電極108の間に挟まれた可逆的抵抗率スイッチング(RRS)材料104を含むMIMスタック100aを示す。以下でさらに説明するように、金属層、金属酸化物層、金属/金属酸化物層スタックなどの1つまたは複数の追加の層110がMIMスタック100a内に採用されうる。
RRS材料104は、例えば、HfOX 、ZrOX 、NiOX 、TiOX 、TaOX 、NbOX 、AlXY 、別の金属酸化物(MOX )層、これらの金属酸化物の任意の組み合わせ、または別の適当なスイッチング材料を含むことができる。いくつかの実施形態では、上部電極106は、窒化チタン、窒化タンタル、窒化タングステン、これらの組み合わせ、Ti/TiN、Ta/TaN、W/WNなどの金属/金属窒化物スタック、または別の類似の層を含むことができる。他の実施形態では、上部電極106は、n+シリコンやp+シリコンなどの高濃度にドープされた半導体、高濃度にドープされたゲルマニウム、または高濃度にドープされたシリコン−ゲルマニウムを含むことができる。上部電極106に他の材料および/または構成が使用されてもよい。
n+Si下部電極を使用すると、金属酸化物内に酸素空孔を形成するための自由エネルギーを効果的に低くすることによってHfO2などの金属窒化物のスイッチング特性を改善することができる。一般に、この種のn+Si電極は、非晶質もしくはポリシリコンのn+Si層を堆積させ、次いでn+Si層の上に金属酸化物層を形成する前にn+Si層の結晶性および表面特性を改善するためにn+Si層をアニーリングすることによって形成される。この種のアニールは一般に、約750℃の温度での急速熱アニール(RTA)によって実現される。しかし、複数層の3次元メモリアレイを形成する場合、各メモリ層にこのような高いRTA温度を使用すると、メモリアレイのサーマルバジェットを超える可能性がある。
本発明のいくつかの実施形態によれば、MIMスタックの下部電極は、例えば、約5〜約35原子パーセント(atm%)のGeおよび約5×1019〜5×1021原子数/cm3 (atoms/cm3 )、いくつかの実施形態では約2×1020原子数/cm3 のn+ドーピング濃度を有するSiGe合金の層を含むことができる。この種のSiGe合金層は、Geが存在すると結晶化温度が低下するので、多結晶n+Si下部電極層より実質的に低い温度で形成することができる。例えば、いくつかの実施形態では、多結晶SiGe合金層が、約600℃以下の温度で堆積され、いくつかの実施形態では(採用されるGeの原子パーセントに応じて)SiGe層の結晶性を向上させるために追加の高温アニールを必要とせずに約550℃以下の温度で堆積されうる。別法として、非晶質SiGe合金層が、より低い堆積温度で堆積され、約600℃以下、いくつかの実施形態では(採用されるGeの原子パーセントに応じて)約550℃以下のRTAを使用して結晶化されてもよい。
特定の実施形態では、約5〜35原子パーセントのGeを有するSiGe下部電極は、金属酸化物スイッチング層内に酸素空孔を形成するために依然として十分なSiを供給しながら、堆積および/または結晶化アニール温度を大幅に下げる。SiGe下部電極108の例示的な厚みは約2〜100ナノメートルである。Geの他の原子パーセント、ドーピングタイプ、ドーピングレベル、アニーリング温度および/または層厚が使用されてもよい。
いくつかの実施形態では、追加の層110は、例えば、チタン、酸化チタン、タンタル、酸化タンタル、タングステン、酸化などを含むことができる。他の実施形態では、追加の層110は、Ti/TiOX 、Zr/ZrOX 、Ni/NiOX 、Al/AlXY 、Ta/TaOX 、Nb/NbOX 、Hf/HfOX などの金属/金属酸化物層スタック、または任意の適当な層スタックを含むことができる。
図1Bは、図1BではMIMスタック100bと称されている、図1AのMIMスタック100aの特定の例示的な実施形態を示す。図1BのMIMスタック100bでは、下部電極108はSiGe合金であり、RRS材料104は酸化ハフニウムであり、上部電極106は窒化チタンであり、酸化ハフニウムスイッチング材料(RRS材料104)とTiN上部電極106との間にチタン層または酸化チタン層が緩衝層として設けられる。例えば、下部電極108(SiGe)の厚みは、約2〜100ナノメートル、他の実施形態では約10〜60ナノメートル、いくつかの実施形態では約20ナノメートルとすることができる。TiまたはTiOX 層110の厚みは、約0.5〜10ナノメートル、いくつかの実施形態では約2ナノメートルとすることができる。TiOX が採用された場合、xは約1.2〜2、いくつかの実施形態では約1.5とすることができる。酸化ハフニウム層104の厚みは約3〜12ナノメートル、いくつかの実施形態では約5ナノメートルとすることができ、xは約1.2〜2.0、いくつかの実施形態では約1.7とすることができる。TiN上部電極106の厚みは、約2〜100ナノメートル、他の実施形態では約10〜60ナノメートル、いくつかの実施形態では約20ナノメートルとすることができる。n+SiGe下部電極108のドーピング濃度は、約5〜35原子パーセントのGeで、約5×1019〜5×1021原子数/cm3 、いくつかの実施形態では約2×1020原子数/cm3 とすることができる。他の膜厚、x値、Geの原子パーセントおよび/またはドーピング濃度が使用されてもよい。
図1Cは、SiGe下部電極108と、SiGe下部電極108の上に形成されたRRS材料104と、RRS材料104の上に形成された金属酸化物層110aおよび金属層110bを含む金属/金属酸化物層スタック110と、金属/金属酸化物層スタック110の上に形成された上部金属窒化物電極106と、を有する別の例示的なMIMスタック100cの断面図を示す。
一般に、上部電極106は、例えば、窒化チタン、窒化タンタル、窒化タングステン、これらの組み合わせ、Ti/TiN、Ta/TaN、W/WNなどの金属/金属窒化物スタック、または別の類似のバリア層を含むことができる。金属/金属酸化物層スタック110は、例えば、Ti/TiOX 、Zr/ZrOX 、Ni/NiOX 、Al/AlXY 、Ta/TaOX 、Nb/NbOX 、Hf/HfOX 、または別の類似の層スタックを含むことができる。RRS材料104は、例えば、HfOX 、ZrOX 、NiOX 、TiOX 、TaOX 、NbOX またはAlXY 、これらの金属酸化物の任意の組み合わせ、あるいは別の適当なスイッチング材料を含むことができる。
いくつかの実施形態では、金属/金属酸化物層スタック110は、RRS材料104に採用された材料とは異なる材料から形成されうる。例えば、Ti/TiOX 層スタックには、HfOX 、ZrOX 、NiOX 、TaOX 、NbOX またはAlXY スイッチング材料が採用されうる。Zr/ZrOX 層スタックには、HfOX 、NiOX 、TiOX 、TaOX 、NbOX またはAlXY スイッチング材料が使用されうる。Ni/NiOX 層スタックには、HfOX 、ZrOX 、TiOX 、TaOX 、NbOX またはAlXY スイッチング材料が使用されうる。Al/AlXY 層スタックには、HfOX 、ZrOX 、NiOX 、TiOX 、TaOX またはNbOX スイッチング材料が採用されうる。Ta/TaOX 層スタックには、HfOX 、TiOX 、ZrOX 、NiOX 、NbOX またはAlXY スイッチング材料が採用されうる。Nb/NbOX 層スタックには、HfOX 、TiOX 、ZrOX 、NiOX 、TaOX またはAlXY スイッチング材料が採用されうる。Hf/HfOX 層スタックには、NbOX 、TiOX 、ZrOX 、NiOX 、TaOX またはAlXY スイッチング材料が採用されうる。
他の実施形態では、金属/金属酸化物層スタック110は、RRS材料104に採用された材料と類似の材料から形成されうる。例えば、Ti/TiOX 層スタックには、TiOX スイッチング層が採用されうる。しかし、このような実施形態では、層スタックの金属酸化物は、スイッチング材料のものと比べて異なる結晶構造または他の特性(例えば、非晶構造対結晶構造)を持つことができる。金属/金属酸化物層スタック110の金属酸化物は、スイッチング材料内の酸素空孔の形成/除去をより制御可能かつ/または繰返し可能にすることができる「緩衝」層として働くことができ、それによってスイッチング材料104の耐久性/寿命を向上させることができる。
図1Dは、図1DではMIMスタック100dと称されている、MIMスタック100cの特定の例示的な実施形態を示し、上部電極106は窒化チタンであり、金属/金属酸化物層スタック110は酸化チタンを覆うチタンであり、RRS材料104は酸化ハフニウムであり、下部電極108はn+SiGeである。例えば、上部電極106(TiN)の厚みは、約10〜60ナノメートル、いくつかの実施形態では約20ナノメートルとすることができる。Ti層110bの厚みは、約0.5〜10ナノメートル、いくつかの実施形態では約2ナノメートルとすることができる。TiOX 層110aの厚みは約0.5〜6ナノメートル、いくつかの実施形態では約1ナノメートルとすることができ、xは約1.2〜2.0、いくつかの実施形態では約1.5とすることができる。酸化ハフニウム層104の厚みは約3〜12ナノメートル、いくつかの実施形態では約5ナノメートルとすることができ、xは約1.2〜2、いくつかの実施形態では約1.7とすることができる。n+SiGe層108の厚みは、約10〜100ナノメートル、いくつかの実施形態では約20ナノメートルとすることができる。n+SiGe層106のドーピング濃度は、約5〜35原子パーセントのGeで、約5×1019〜5×1021原子数/cm3 、いくつかの実施形態では約2×1020原子数/cm3 とすることができる。他の膜厚、x値、Geの原子パーセントおよび/またはドーピング濃度が使用されてもよい。
複数層のシリサイド下部電極を有するMIMスタック
本発明のいくつかの実施形態によれば、2つ以上のシリサイド層からなる下部電極を採用したMIMスタックが提供される。例えば、図2Aは、上部電極106と第1の金属シリサイド層108aおよび第2の金属シリサイド層108bを含む下部電極108との間に挟まれたRRS材料104を含むMIMスタック200aを示す。以下でさらに説明するように、金属層、金属酸化物層、金属/金属酸化物層スタックなどの1つまたは複数の追加の層110がMIMスタック200a内に使用されうる。
RRS材料104は、例えば、HfOX 、ZrOX 、NiOX 、TiOX 、TaOX 、NbOX 、AlXY 、別の金属酸化物(MOX )層、または別の適当なスイッチング材料を含むことができる。いくつかの実施形態では、上部電極106は、窒化チタン、窒化タンタル、窒化タングステン、これらの組み合わせ、Ti/TiN、Ta/TaN、W/WNなどの金属/金属窒化物スタック、または別の類似の層を含むことができる。他の実施形態では、上部電極106は、n+シリコンやp+シリコンなどの高濃度にドープされた半導体、高濃度にドープされたゲルマニウム、または高濃度にドープされたシリコン−ゲルマニウムを含むことができる。上部電極106に他の材料および/または構成が使用されてもよい。
前述したように、n+下部電極を使用すると、金属酸化物内に酸素空孔を形成するための自由エネルギーを効果的に低くすることによってHfO2 などの金属窒化物のスイッチング特性を改善することができる。しかし、n+Si電極を使用するには一般に、n+Si層の上に金属酸化物層を形成する前にn+Si電極の結晶性および表面特性を改善するために、比較的高い温度のアニール(例えば、約750℃)を必要とする。複数層の3次元メモリアレイを形成する場合、各メモリ層にこのような高温アニールを使用すると、メモリアレイのサーマルバジェットを超える可能性がある。
本発明の実施形態によれば、下部電極108は2つ以上の金属シリサイド層を含むことができる。いくつかの実施形態では、このような金属シリサイド層のうちの1つまたは複数は、採用されるシリサイド層の種類に応じて、600℃以下、いくつかの実施形態では約500〜550℃以下などの750℃より低い温度で形成することができる。例えば、CoまたはNiの原子数とSiの原子数の比などのファクタに応じて、コバルトシリサイドは一般に約300〜800℃の温度で形成することができ、ニッケルシリサイドは一般に約400〜800℃の温度で形成することができる。チタンシリサイドおよびタングステンシリサイドは通常、チタンシリサイドに対しては約500〜900℃、タングステンシリサイドに対しては約1000℃以上のさらに高い形成温度を必要とする。いずれにせよ、金属シリサイドを使用すると、酸素空孔を形成するために依然として十分なSiを供給することができる。
第1の金属シリサイド層108aおよび/または第2の金属シリサイド層108bに使用されうる例示的な材料としては、チタンシリサイド、タンタルシリサイド、タングステンシリサイド、ニッケルシリサイド、コバルトシリサイドまたはモリブデンシリサイドがあるが、他の金属シリサイドが使用されてもよい。いくつかの実施形態では、下位の(第1の)金属シリサイド層108aは、上位の(第2の)金属シリサイド層108bより低い温度で形成することができる。このような場合、下位の金属シリサイド層108aは、上位の金属シリサイド層108bのための結晶化シード層または「テンプレート」として働くことができるので、上位の金属シリサイド層108bがより低い温度で形成されることが可能になる。例えば、第1の金属シリサイド層108aはニッケルシリサイドまたはコバルトシリサイドを含むことができ、第2の金属シリサイド層108bはチタンシリサイドを含むことができる。別法として、第1の金属シリサイド層108aはチタンシリサイドを含むことができ、第2の金属シリサイド層108bはタングステンシリサイドを含むことができる。シリサイド層の他の組み合わせが使用されてもよい。
第1の金属シリサイド層108aおよび/または第2の金属シリサイド層108bの例示的な厚みは、約2〜約50ナノメートルである。他の厚みが一方または両方の層に使用されてもよい。図2Aには2つの金属シリサイド層が示されているが、3つ以上の金属シリサイド層が採用されうる(例えば、3つ、4つ、5つなど)ことが理解できるはずである。
いくつかの実施形態では、追加の層110は、例えば、チタン、酸化チタン、タンタル、酸化タンタル、タングステン、酸化タングステンなどを含むことができる。他の実施形態では、追加の層110は、Ti/TiOX 、Zr/ZrOX 、Ni/NiOX 、Al/AlXY 、Ta/TaOX 、Nb/NbOX 、Hf/HfOX などの金属/金属酸化物層スタック、または任意の適当な層スタックを含むことができる。
図2Bは、図2BではMIMスタック200bと称されている、図2AのMIMスタック200aの特定の例示的な実施形態を示す。図2BのMIMスタック200bでは、下部電極108は複数層の金属シリサイドスタックであり、RRS材料104は酸化ハフニウムであり、上部電極106は窒化チタンであり、酸化ハフニウムスイッチング材料(RRS材料104)とTiN上部電極106との間にチタン層または酸化チタン層が緩衝層として設けられる。一実施例として、下部電極108の各金属シリサイド層108aまたは108bの厚みは、約2〜50ナノメートル、他の実施形態では約5〜25ナノメートル、いくつかの実施形態では約20ナノメートルとすることができる。Ti層またはTiOX 層110の厚みは、約0.5〜10ナノメートル、いくつかの実施形態では約2ナノメートルとすることができる。TiOX が採用された場合、xは約1.2〜2、いくつかの実施形態では約1.5とすることができる。酸化ハフニウム層104の厚みは約3〜12ナノメートル、いくつかの実施形態では約5ナノメートルとすることができ、xは約1.2〜2.0、いくつかの実施形態では約1.7とすることができる。TiN上部電極106の厚みは、約2〜100ナノメートル、他の実施形態では約10〜60ナノメートル、いくつかの実施形態では約20ナノメートルとすることができる。他の膜厚、x値および/またはドーピング濃度が使用されてもよい。
図2Cは、複数層のシリサイド下部電極108と、下部電極108の上に形成されたRRS材料104と、RRS材料104の上に形成された金属酸化物層110aおよび金属層110bを含む金属/金属酸化物層スタック110と、金属/金属酸化物層スタック110の上に形成された上部金属窒化物電極106と、を有する別の例示的なMIMスタック200cの断面図を示す。
一般に、上部電極106は、例えば、窒化チタン、窒化タンタル、窒化タングステン、これらの組み合わせ、Ti/TiN、Ta/TaN、W/WNなどの金属/金属窒化物スタック、または別の類似のバリア層を含むことができる。金属/金属酸化物層スタック110は、例えば、Ti/TiOX 、Zr/ZrOX 、Ni/NiOX 、Al/AlXY 、Ta/TaOX 、Nb/NbOX 、Hf/HfOX または別の類似の層スタックを含むことができる。RRS材料104は、例えば、HfOX 、ZrOX 、NiOX 、TiOX 、TaOX 、NbOX またはAlXY あるいは別の適当なスイッチング材料を含むことができる。
いくつかの実施形態では、金属/金属酸化物層スタック110は、RRS材料104に採用されたものとは異なる材料から形成することができる。例えば、Ti/TiOX 層スタックには、HfOX 、ZrOX 、NiOX 、TaOX 、NbOX またはAlXY スイッチング材料が採用されうる。Zr/ZrOX 層スタックには、HfOX 、NiOX 、TiOX 、TaOX 、NbOX またはAlXY スイッチング材料が使用されうる。Ni/NiOX 層スタックには、HfOX 、ZrOX 、TiOX 、TaOX 、NbOX またはAlXY スイッチング材料が使用されうる。Al/AlXY 層スタックには、HfOX 、ZrOX 、NiOX 、TiOX 、TaOX またはNbOX スイッチング材料が採用されうる。Ta/TaOX 層スタックには、HfOX 、TiOX 、ZrOX 、NiOX 、NbOX またはAlXY スイッチング材料が採用されうる。Nb/NbOX 層スタックには、HfOX 、TiOX 、ZrOX 、NiOX 、TaOX またはAlXY スイッチング材料が採用されうる。Hf/HfOX 層スタックには、NbOX 、TiOX 、ZrOX 、NiOX 、TaOX またはAlXY スイッチング材料が採用されうる。
他の実施形態では、金属/金属酸化物層スタック110は、RRS材料104に採用されたものと類似の材料から形成することができる。例えば、Ti/TiOX 層スタックには、TiOX スイッチング層が採用されうる。しかし、このような実施形態では、層スタックの金属酸化物は、スイッチング材料のものと比べて異なる結晶構造または他の特性(例えば、非晶構造対結晶構造)を持つことができる。
図2Dは、図2DではMIMスタック200dと称されている、MIMスタック200cの特定の例示的な実施形態を示し、上部電極106は窒化チタンであり、金属/金属酸化物層スタック110は酸化チタンを覆うチタンであり、RRS材料104は酸化ハフニウムであり、下部電極108は複数層の金属シリサイドスタックである。例えば、上部電極106(TiN)の厚みは、約10〜60ナノメートル、いくつかの実施形態では約20ナノメートルとすることができる。Ti層110bの厚みは、約0.5〜10ナノメートル、いくつかの実施形態では約2ナノメートルとすることができる。TiOX 層110aの厚みは約0.5〜6ナノメートル、いくつかの実施形態では約1ナノメートルとすることができ、xは約1.2〜2.0、いくつかの実施形態では約1.5とすることができる。酸化ハフニウム層104の厚みは約3〜12ナノメートル、いくつかの実施形態では約5ナノメートルとすることができ、xは約1.2〜2、いくつかの実施形態では約1.7とすることができる。各金属シリサイド層108aまたは108bの厚みは、約2〜50ナノメートル、いくつかの実施形態では約25ナノメートルとすることができる。他の膜厚、x値および/またはドーピング濃度が使用されてもよい。
図2Eは、図2EではMIMスタック200eと称されている、図2AのMIMスタック200aの別の例示的な実施形態を示す。図2EのMIMスタック200eは、図2AのMIMスタック200aと類似しているが、RRS材料104と複数層の金属シリサイド層スタック108との間に配置された(下部電極108の一部を形成することができる)追加の金属(M)、金属窒化物(MNX )または金属酸化物(MOX )の層212を含む。いくつかの実施形態では、金属、金属窒化物または金属酸化物の層212は、ケイ素、窒化もしくは酸化ケイ素、アルミニウム、窒化もしくは酸化アルミニウム、ランタン、窒化もしくは酸化ランタン、モリブデン、窒化もしくは酸化モリブデン、タンタル、窒化もしくは酸化タンタル、クロム、窒化もしくは酸化クロム、ハフニウム、窒化もしくは酸化ハフニウム、ニオブ、窒化もしくは酸化ニオブ、バナジウム、窒化もしくは酸化バナジウム、ジルコニウム、または窒化もしくは酸化ジルコニウムのうちの1つまたは複数を含むことができる。他の実施形態では、n+SiGeなどの合金が、金属、金属窒化物または金属酸化物の層212として使用されうる。他の材料もまた採用されうる。
いくつかの金属は、酸素空孔の形成をエネルギー的により有利にすることによって金属酸化物内での酸素空孔の形成を促進し、金属酸化物内に酸素空孔を形成するギブズ自由エネルギー(Gibbs free energy) を効果的に低減することができる。例えば、HfO2 ゲート酸化物/Siチャネルシステム内での酸素空孔の形成を記述しているRobertson et al., "Fermi level pinning by defects in HfO2-metal gate stacks," Appl. Phys. Letters 91, 132912 (2007) (非特許文献1)参照されたい。
本発明のいくつかの実施形態では、追加の金属、金属窒化物または金属酸化物の層212は、酸素原子当たり約−3〜−6eV(電子ボルト)の生成ギブズ自由エネルギーを有し、それによって金属酸化物RRS材料104内での酸素空孔の形成を促進するように選択することができる。適切となりうる例示的な金属としては、例えば、Yb、Tb、Y、So、La、Hf、Mg、Zr、Ta、Nb、V、Zn、W、Mo、Ti、Al、Cr、Si、Ni、Re、Co、Cu、Ru、Rh、Pd、およびIrがある。これらの金属の金属窒化物(または金属酸化物)は、抵抗率スイッチング金属酸化物内での酸素空孔の形成をエネルギー的により有利にすることができる。したがって、いくつかの実施形態では、追加の金属、金属窒化物または金属酸化物の層212は、前に挙げた材料のうちの1つまたは複数の金属、金属窒化物または金属酸化物、あるいは酸素原子当たり約−3〜−6eVの生成ギブズ自由エネルギーを有する他の材料から形成することができる。
金属、金属窒化物または金属酸化物の層212の例示的な厚みは、約10〜約100オングストロームとすることができる。他の厚みが使用されてもよい。
図2F〜2Hは、図2B〜2DのMIMスタック200b〜200dと類似しているMIMスタック200f〜200hを示すが、金属、金属窒化物または金属酸化物の層212を含んでいる。
追加のMIMスタックの実施形態
図3A〜3Dは、図3A〜3DではMIMスタック300a〜300dと称されている、図2E〜2HのMIMスタック200e〜200hの代替の実施形態を示し、金属、金属窒化物または金属酸化物の層212などの金属、金属窒化物または金属酸化物の層が、第1の金属シリサイド層108aおよび第2の金属シリサイド層108bのない下部電極108として使用される。いくつかの実施形態では、金属、金属窒化物または金属酸化物の層108は、ケイ素、窒化もしくは酸化ケイ素、アルミニウム、窒化もしくは酸化アルミニウム、ランタン、窒化もしくは酸化ランタン、モリブデン、窒化もしくは酸化モリブデン、タンタル、窒化もしくは酸化タンタル、クロム、窒化もしくは酸化クロム、ハフニウム、窒化もしくは酸化ハフニウム、ニオブ、窒化もしくは酸化ニオブ、バナジウム、窒化もしくは酸化バナジウム、ジルコニウム、または窒化もしくは酸化ジルコニウムのうちの1つまたは複数を含むことができる。他の材料もまた採用されうる。
前述したように、金属、金属窒化物または金属酸化物の下部電極108は、金属酸化物スイッチング層104内での酸素空孔の形成を促進するように選択することができる。例えば、金属、金属窒化物または金属酸化物の下部電極108は、酸素原子当たり約−3〜−6eVの生成ギブズ自由エネルギーを有するように選択することができる。この範囲内に入りうる例示的な金属としては、例えば、Yb、Tb、Y、So、La、Hf、Mg、Zr、Ta、Nb、V、Zn、W、Mo、Ti、Al、Cr、Si、Ni、Re、Co、Cu、Ru、Rh、Pd、およびIrがある。これらの金属の金属窒化物(または金属酸化物)は、抵抗率スイッチング金属酸化物内での酸素空孔の形成を同様にエネルギー的により有利にすることができる。したがって、いくつかの実施形態では、金属、金属窒化物または金属酸化物の層108は、前に挙げた材料のうちの1つまたは複数の金属、金属窒化物または金属酸化物、あるいは酸素原子当たり約−3〜−6eVの生成ギブズ自由エネルギーを有する他の材料から形成することができる。
金属、金属窒化物または金属酸化物の層108の例示的な厚みは、約10〜約100オングストロームとすることができる。他の厚みが使用されてもよい。1つの特定の実施形態では、金属、金属窒化物または金属酸化物の下部電極108は、クロム、窒化クロム、ハフニウム、窒化ハフニウム、ニオブ、窒化ニオブ、バナジウム、窒化バナジウム、ジルコニウム、または窒化ジルコニウムを含むことができる。
本発明の例示的なメモリセル
図4Aは、本発明による例示的なメモリセル400の概略図である。メモリセル400は、ステアリング素子404に結合されたMIMスタック402を含む。MIMスタック402は、2つ以上の状態に可逆的に切り替えられうる抵抗率を有するRRS材料104(個別には示されていない)を含み、図1A〜1D、2A〜2Hおよび3A〜3Dを参照して前述したMIMスタックのいずれかに類似したものとすることができる。
ステアリング素子404は、MIMスタック402両端間の電圧および/またはMIMスタック402を流れる電流を選択的に制限することにより非オーム性伝導を呈する薄膜トランジスタ、ダイオード、金属−絶縁体−金属トンネル電流装置、パンチスルーダイオード、ショットキーダイオードまたは別の類似のステアリング素子を含むことができる。このようにして、メモリセル400は2次元もしくは3次元のメモリアレイの一部として使用することができ、データは、アレイ内の他のメモリセルの状態に影響を与えることなく、メモリセル400に書き込まれかつ/またはメモリセル400から読み出すことができる。いくつかの実施形態では、ステアリング素子404は省略することができ、メモリセル400は遠隔に位置するステアリング素子と共に使用することができる。
メモリセルおよびメモリアレイの例示的な実施形態
図4Bは、ステアリング素子404がダイオードである本発明によるメモリセル400の例示的な実施形態の簡略斜視図である。メモリセル400は、第1の導体406aと第2の導体406bの間にダイオード404と直列に結合されたMIMスタック402(RRS材料104を有する)を含む。
図1A〜1D、2A〜2Hおよび3A〜3Dを参照して前述したように、MIMスタック402は、メモリセル400のための可逆的抵抗率スイッチング素子として働くことができる。MIMスタック402は、図1A〜1D、2A〜2Hおよび3A〜3DのMIMスタックのいずれかと類似のもの、または他の適当なMIMスタックとすることができ、RRS材料104を取り囲みかつMIMスタック402の上部電極および下部電極として働く上部導電層106および下部導電層108を含むことができる。前述したように、金属層、金属酸化物層、金属/金属酸化物層スタックなどの1つまたは複数の追加の層110がMIMスタック402内に採用されうる。
いくつかの実施形態では、MIMスタック402とダイオード404の間にバリア層408が形成されてもよく、MIMスタック402と第2の導体406bの間にバリア層410が形成されてもよい。ダイオード404と第1の導体406aの間に追加のバリア層412が形成されてもよい。バリア層408、410および412としては、チタン、窒化チタン、タンタル、窒化タンタル、タングステン、窒化タングステン、モリブデン、これらの組み合わせ、または別の類似のバリア層とすることができる。バリア層410は、第2の導体406bから分離しているかまたは第2の導体406bの一部とすることができ、バリア層412は、第1の導体406aから分離しているかまたは導体406aの一部とすることができる。
ダイオード404としては任意の適当なダイオードとすることができ、例えば、垂直多結晶p−nもしくはp−i−nダイオード(ダイオードのn領域がp領域の上にある上向きでも、ダイオードのp領域がn領域の上にある下向きでも)、p−n−pもしくはn−p−nパンチスルーダイオード、ショットキーダイオードなどがある。ダイオード404の例示的な実施形態について図4B〜4Eを参照しながら以下に説明する。
図4Bの実施形態では、MIMスタック402はダイオード404の上に位置する。しかし、図4Cに示されているように、MIMスタック402は代替としてダイオード404の下に位置することもできる。
第1の導体406aおよび/または第2の導体406bは、タングステン、任意の適切な金属、高濃度にドープされた半導体材料、導電性シリサイド、導電性シリサイド−ゲルマニド、導電性ゲルマニド、高導電性カーボンなどの任意の適当な導電性材料を含むことができる。図4Bの実施形態では、第1の導体406aおよび第2の導体406bはそれぞれ、線またはレール状であり、異なる方向に(例えば、実質的に互いに垂直に)延びる。他の導体形状および/または構成が使用されてもよい。いくつかの実施形態では、装置の性能を改善しかつ/または装置の製作を助けるために、バリア層、接着層、反射防止コーティングおよび/または同類のもの(図示せず)が第1の導体406aおよび/または第2の導体406bと共に使用されてもよい。
図4Dは、複数のメモリセル400、例えば図4Aまたは4Bのメモリセル400から形成された第1のメモリレベル414の一部の簡略斜視図である。話を簡単にするために、RRS材料104、導電層106および108、追加の層110、ダイオード404、ならびにバリア層408、410および412は個別には示されていない。メモリアレイ414は、多数のメモリセルが結合されている(図に示されている)複数のビット線(第2の導体406b)および複数のワード線(第1の導体406a)を含む「クロスポイント」アレイである。他のメモリアレイ構成がマルチレベルのメモリとして使用されてもよい。
図4Eは、第2のメモリレベル420の下に配置された第1のメモリレベル418を含むモノリシックな3次元メモリアレイの一部の簡略斜視図である。メモリレベル418および420はそれぞれ、クロスポイントアレイ内に複数のメモリセル400を含む。第1のメモリレベル418と第2のメモリレベル420との間に追加の層(例えば、レベル間誘電体)が存在しうるが、簡単にするために図4Eには示されていないことを当業者であれば理解できるはずである。他のメモリアレイ構成が追加のメモリレベルとして使用されてもよい。
図4Eの実施形態では、各メモリセル400内にp−i−nダイオードなどのバイポーラステアリング素子が採用される場合、すべてのダイオードは、ダイオードの下部または上部にpドープ領域を有するp−i−nダイオードが採用されるかどうかに応じて上向きや下向きなどの同じ方向(同じ「ステアリング素子」極性方向)に「向く」ので、ダイオードの製作を簡略化することができる。
いくつかの実施形態では、メモリレベルは、その全体が本願明細書において参照により援用されている「High-Density Three-Dimensional Memory Cell」という米国特許第6,952,030号(特許文献4)に記述されているように形成することができる。例えば、図4Fに示されているように、第1のメモリレベルの第2の(上部)導体は、第1のメモリレベルの上に配置された第2のメモリレベルの第1の(下部)導体として使用することができる。このような実施形態では、その全体が本願明細書において参照により援用されている、2007年3月27日に出願された「Large Array Of Upward Pointing P-I-N Diodes Having Large And Uniform Current」という米国特許出願第11/692,151号(特許文献5)に記述されているように、隣接するメモリレベル上のダイオードは互いに逆の方向に向くことが好ましい。例えば、図4Fのメモリアレイ416b内に示されているように、第1のメモリレベル418のダイオードは、矢印D1で示されているように上向きのダイオード(例えば、ダイオードの下部にp領域がある)とすることができるのに対して、第2のメモリレベル420のダイオードは、矢印D2で示されているように下向きのダイオード(例えば、ダイオードの下部にn領域がある)とすることができ、またはその逆も同様である。
MIMスタック402がバイポーラである場合、図4Fのように導体がメモリレベル間で共用される実施形態では、MIMスタック402は、メモリレベル内で同じ向きの電圧極性を有するが、隣接するメモリセルレベル間では互いに逆向きの電圧極性を有するように配置することができる。例えば、第1のメモリレベル418のMIMスタック402は正に向けることができるのに対して、第2のメモリレベル420のMIMスタック402は負に向けることができ、またはその逆も同様である。いくつかの実施形態では、ダイオード404は、MIMスタック402のセット動作中に逆方向バイアスをかけられるように向けることができる。あるいは、ダイオード404は、MIMスタック402のセット動作中に順方向バイアスをかけられるように向けることもできる。
モノリシックな3次元メモリアレイは、複数のメモリレベルがウェハなどの単一基板の上に介在基板を用いずに形成されるものである。1つのメモリレベルを形成する層は、既存の1つまたは複数のレベルの層の上に直接的に堆積または成長される。対照的に、積層メモリは、Leedy の「Three Dimensional Structure Memory」という米国特許第5,915,167号(特許文献6)にあるように、別々の基板上にメモリレベルを形成しそれらのメモリレベルを互いに重ねて接着することによって構成されている。基板は結合前に薄くされうるかまたはメモリレベルから除去されうるが、メモリレベルは最初に別々の基板の上に形成されるので、このようなメモリは真のモノリシックな3次元メモリアレイではない。
例示的な積層メモリセル
図5Aは、本発明に従って提供される第1のメモリセル500aの断面図である。図4D〜4Fに記載されているように、各メモリレベルに追加のメモリセル(図示せず)が設けられうる。
図5Aを参照すると、メモリセル500aは、ビット線506aとワード線506bの間にダイオード504aと直列に結合されたMIMスタック502aを含む。MIMスタック502aは正極性の向きを有していて、ビット線506aに対してワード線506bに印加される正電圧がMIMスタック502aをセットするために採用されうるようにする。ダイオード504aは、このようなセット動作中に逆方向バイアスをかけられるように向けられる。他の実施形態では、ダイオード504aは、セット動作がMIMスタック502aに対して実行されている間に順方向バイアスをかけられるように向けられてもよい。
MIMスタック502aは、前述したMIMスタックのいずれか、または他の適当なMIMスタックを含むことができる。図5Aでは、MIMスタック502aは、MIMスタック100d(図1D)と類似のものとして示されている。
ダイオード504aは、p−nもしくはp−i−n接合ダイオード、パンチスルーダイオード、トンネル酸化物装置、ショットキーダイオードなどの任意の2端子非線形ステアリング素子を含むことができる。図5Aでは、ダイオード504aはp−i−n接合ダイオードであるものとして示されている。
図5Aを参照すると、メモリセル500aはビット線506aを含む。ビット線506aは、約200〜約2,500オングストロームの任意の適当な導電性材料、例えば、タングステンまたは別の適切な金属、高濃度にドープされた半導体材料、導電性シリサイド、導電性シリサイド−ゲルマニド、導電性ゲルマニドなどとすることができる。いくつかの実施形態では、複数のビット線506a(例えば、図4D〜4Fを参照)が実質的に平行で実質的に同じ平面上のビット線として形成されうる。ビット線506aの例示的な幅および/またはビット線506a間の例示的な間隔は約200〜約2,500オングストロームであるが、他の導体幅および/または間隔が使用されてもよい。ビット線506aは、二酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低K誘電体などの誘電体材料(図示せず)、および/または他の誘電体材料で互いに隔てられることができる。
バリア層512はビット線506aの上に形成される。バリア層512は、約20〜約500オングストローム、いくつかの実施形態では約100オングストロームの、窒化チタンのバリア層または窒化タンタル、窒化タングステン、タングステン、モリブデンなどの別の適当なバリア層、1つまたは複数のバリア層を組み合わせたもの、チタン/窒化チタン、タンタル/窒化タンタルまたはタングステン/窒化タングステンスタックなどの他の層と組み合わせたバリア層などとすることができる。他のバリア層の材料および/または厚みが採用されてもよい。
ダイオード504aを形成するために使用される半導体材料はバリア層512の上に形成される。図5Aの実施形態では、ダイオード504aは、ポリシリコン、多結晶シリコン−ゲルマニウム合金、ポリゲルマニウムなどの多結晶半導体材料あるいは他の適当な材料から形成される。例えば、高濃度にドープされた非晶質または多結晶のp+シリコン層504a−1がバリア層512上に堆積されうる。p+シリコン層504a−1を堆積させるためにCVDまたは別の適当なプロセスが採用されうる。少なくとも1つの実施形態では、p+シリコン層504a−1は、例えば、約100〜約1,000オングストローム、いくつかの実施形態では約100オングストロームのp+シリコンを約1021cm-3のドーピング濃度で形成することができる。他の層厚および/またはドーピング濃度が使用されてもよい。p+シリコン層504a−1は、その場で(in-situ) 例えば堆積中にアクセプタガスを流すことにより、あるいは他の場所で(ex-situ) 例えば注入によりドープすることができる。
p+シリコン層504a−1の堆積後、低濃度にドープされた、真性の、および/または意図せずにドープされた非晶質もしくは多結晶のシリコン層504a−2がp+シリコン層504a−1の上に形成されうる。真性シリコン層504a−2を堆積させるためにCVDまたは別の適当な堆積法が採用されてもよい。少なくとも1つの実施形態では、真性シリコン層504a−2の厚みは、約500〜約4,800オングストローム、いくつかの実施形態では約2,500オングストロームとすることができる。他の真性層の厚みが使用されてもよい。
n+シリコン層504a−3を形成するために、追加のシリコンが堆積され、イオン注入によってドープされるかまたは堆積中にその場でドープされうる。さらに、いくつかの実施形態では、拡散プロセスが採用されてもよい。少なくとも1つの実施形態では、得られるn+シリコン層504a−3は、約1021cm-3のドーピング濃度で、約100〜約1,000オングストローム、いくつかの実施形態では約100オングストロームの厚みを有することができる。他の層厚および/またはドーピング濃度が使用されてもよい。
n+シリコン層504a−3の形成に続いて、シリサイド形成金属層スタック508がn+シリコン層504a−3の上に堆積されうる。例示的なシリサイド形成金属は、スパッタまたはその他の方法で堆積されたチタンまたはコバルトを含む。いくつかの実施形態では、シリサイド形成金属層スタック508は、約1〜4ナノメートルのチタンおよび約15〜25ナノメートルの窒化チタンから形成される。他のシリサイド形成金属層材料および/または厚みが使用されてもよい。
Tiなどのシリサイド形成金属とn+領域504a−3との反応によってシリサイド領域を形成するために、急速熱アニール(「RTA」)ステップが実行されうる。いくつかの実施形態では、RTAは、シリサイド形成金属とダイオード504aの堆積シリコンとを相互に作用させてシリサイド層を形成し、それによってシリサイド形成金属の全部または一部を消費するように、約540℃で1分間実行されうる。
他の実施形態では、シリサイド層は、シリサイドターゲットをスパッタするかまたはシリサイド形成金属およびシリコンを同時スパッタすることによって形成することができる。
その全体が本願明細書において参照により援用されている、「Memory Cell Comprising A Semiconductor Junction Diode Crystallized Adjacent To A Silicide 」という米国特許第7,176,064号(特許文献7)に記述されているように、チタンおよび/またはコバルトなどのシリサイド形成材料がアニーリング中に堆積シリコンと反応してシリサイド層を形成する。チタンシリサイドおよびコバルトシリサイドの格子面間隔はシリコンの格子面間隔とほぼ同じであり、このようなシリサイド層は、堆積シリコンが結晶化するときに隣接する堆積シリコンの「結晶化テンプレート」または「シーズ」として働くことができるようである(例えば、シリサイド層はアニーリング中にシリコンダイオード504aの結晶構造を強化することができる)。それによってより低い抵抗率のシリコンが提供される。シリコン−ゲルマニウム合金および/またはゲルマニウムダイオードについても同様の結果を得ることができる。
金属層スタック508の形成に続いて、MIMスタック502aの下部電極108が形成されうる。いくつかの実施形態では、下部電極108は、例えば、約5〜約35原子パーセントのGeおよび約5×1019〜5×1021原子数/cm3 のn+ドーピング濃度、いくつかの実施形態では約2×1020原子数/cm3 を有するSiGe合金の層を含むことができる。前述したように、約5〜35原子パーセントのGeを有するSiGe下部電極は、酸素空孔形成のために依然として十分なSiを供給しながら、結晶のアニール温度を大幅に下げる。いくつかの実施形態では、SiGe下部電極108を形成するために低圧化学気相堆積(LPCVD)やプラズマ支援化学気相堆積(PECVD)などの低温プロセスが採用されうる。SiGe下部電極108が形成(結晶化)されうる例示的な温度範囲は600℃以下、いくつかの実施形態では550℃以下である。SiGe下部電極108の例示的な厚みは約2〜100ナノメートルである。他の実施形態では、Geの他の原子パーセント、ドーピングタイプ、ドーピングレベル、形成温度および/または層厚が使用されてもよい。
下部電極108の形成に続いて、RRS材料104が原子層堆積(ALD)法または別の適当な方法で形成されうる。例えば、RRS材料104は、HfOX 、ZrOX 、NiOX 、TiOX 、TaOX 、NbOX 、AlXY 、これらの金属酸化物のうちの1つまたは複数の組み合わせ、または別の適当なスイッチング材料を含むことができる。図5Aの実施形態では、RRS材料104は、約3〜12ナノメートル、いくつかの実施形態では約5ナノメートルの厚みを有するHfOX を含むことができ、xは約1.2〜2.0、いくつかの実施形態では約1.7とすることができる。他の厚みの範囲および/またはx値が使用されてもよい。
RRS材料104の形成に続いて、金属/金属酸化物層スタック110が形成されうる。金属/金属酸化物層スタック110は、例えば、Ti/TiOX 、Zr/ZrOX 、Ni/NiOX 、Al/AlXY 、Ta/TaOX 、Nb/NbOX 、Hf/HfOX または別の類似の層スタックを含むことができる。図に示されている実施形態では、金属/金属酸化物層スタック110は、約0.5〜10ナノメートル、いくつかの実施形態では約2ナノメートルの厚みを有するTi層110bと、約0.5〜6ナノメートル、いくつかの実施形態では約1ナノメートルの厚みを有するTiOX 層110aとを含むことができ、xは約1.2〜2.0、いくつかの実施形態では約1.5とすることができる。他の厚みおよび/またはx値が使用されてもよい。
TiOX 層110aは、例えば、HfOX 層104の上にTi層を堆積させ、次いでTiを酸化させてTiOX 層110aを形成することによって形成することができる。例えば、Ti層はPVDによって堆積され、次いでHfOX 層104を形成するために使用されたものと同じALDチャンバ内で(例えば、Hf前駆体を流さないことにより)酸化されうる。次いで、Ti層110bはTiOX 層110aの上に形成することができる。
TiOX 層110aの上に上部電極106が形成される。例えば、上部電極106は、窒化チタン、窒化タンタル、窒化タングステン、これらの組み合わせ、Ti/TiN、Ta/TaN、W/WNなどの金属/金属窒化物スタック、または別の類似のバリア層を含むことができる。図に示されている実施形態では、上部電極106は、約10〜60ナノメートルの厚みを含み、いくつかの実施形態では約20ナノメートルの厚みのTiNを含むことができる。他の層厚が使用されてもよい。いくつかの実施形態では、n+SiGe層108、HfOX 層104、TiOX 層110a、Ti層110bおよび/またはTiN層106は、種々の層の間の界面を改善するために単一のクラスタツール内で(例えば、真空を中断せずに)形成されてもよい。
前述したMIMスタックおよびダイオード層をエッチングしてピラー構造514(図4B〜4Fに示されている)にするために、任意の適当なエッチングプロセスが使用されうる。いくつかの実施形態では、ハードマスクプロセスが以下の通り採用されうる。
(1)上部TiN電極106の上に約500〜1,000オングストロームのWなどの金属ハードマスクを堆積させる。
(2)金属ハードマスクの上に約1,000〜2,000オングストロームのSiXY などの酸化物ハードマスクを堆積させる。
(3)酸化物ハードマスクの上に約500〜2,000オングストロームのポリシリコンなどのポリシリコンハードマスクを堆積させる。
(4)ポリシリコンハードマスクの上に約1,000〜3,000オングストロームのフォトレジストなどのフォトレジストを堆積させる。
次いで、フォトレジストは露光され、そして現像され、ポリシリコンハードマスク層は、例えば、適当な高密度プラズマエッチングチャンバ内でHBr、Cl2 、O2 および/またはHeを使用してエッチングすることができる。フォトレジストのストリッピング(アッシング)に続いて、酸化物ハードマスクは、例えば、適当な中密度プラズマエッチングチャンバ内でC46 、O2 およびArを使用して、パターン化されエッチングされたポリシリコンハードマスクを貫通エッチングすることができる。次いで、金属ハードマスクは、例えば、適当な高密度プラズマエッチングチャンバ内でNF3 、Ar、N2 、Cl2 、He、および/またはO2 を使用して、パターン化されエッチングされた酸化物ハードマスクを貫通エッチングすることができる。
その後、TiN上部電極106は、例えばHBr、Cl2 および/またはHeを使用してエッチングすることができ、Ti/TiOX 金属層スタック110は、例えばCF4 、Cl2 、Heおよび/またはN2 を使用してエッチングすることができ、HfOX のRRS材料104は、例えばHBr、Cl2 、Heおよび/またはN2 を使用してエッチングすることができ、n+SiGe下部電極108は、例えばHBr、Cl2 、He、O2 および/またはN2 を使用してエッチングすることができ、Ti/TiN層スタック508は、例えばHBr、Cl2 および/またはHeを使用してエッチングすることができ、ポリシリコンダイオード504aは、例えばHBr、Cl2 、He、O2 および/またはN2 を使用してエッチングすることができ、TiN層512は、例えばHBr、Cl2 および/またはHeを使用してエッチングすることができる。これらのエッチングプロセスはすべて、例えば、適当な高密度プラズマエッチングチャンバ内で実行することができる。他のエッチング化学および/またはプロセスが採用されてもよい。
得られたピラー構造514は、同じメモリレベル上の他の類似のピラー構造(図示せず)からピラー構造514を離隔するために、適当な誘電体によって取り囲むことができる。例えば、余分な誘電体材料を除去し、ワード線506bを受け入れるための平坦な表面を形成するために、約200〜7,000オングストロームの二酸化ケイ素が堆積され、化学的機械的研磨またはエッチバックプロセスを用いて平坦化されうる。さらに、二酸化ケイ素を堆積させる前に、約50〜200オングストロームなどの薄い窒化ケイ素ライナが堆積されてもよい。
ワード線506bは、任意の適当な方法(例えば、CVD、PVDなど)によって堆積されたタングステン、別の適当な金属、高濃度にドープされた半導体材料、導電性シリサイド、導電性シリサイド−ゲルマニド、導電性ゲルマニドなどの任意の適当な導電性材料から形成することができる。他の導電層材料が使用されてもよい。例えば、導電性材料は、ワード線506b(および個別には示されていない他のワード線)を形成するために堆積されエッチングされうる。少なくとも1つの実施形態では、このようなワード線は、(例えば、図4Fに示されているように)ビット線506aとは異なる方向に延びる実質的に平行で実質的に同一平面上にある導体である。
ワード線506bは、適当な誘電体の充填およびエッチバックプロセスによって他のワード線から離隔することができる。その後、追加のメモリセル(図示せず)が、メモリセル500aを形成するために使用されたものと同様の方法でワード線506bの上に形成される。
メモリセル500a(および/またはメモリセルスタック500aの上に形成されるべき追加のメモリセル層/レベル)の形成に続いて、得られた構造は、ダイオード504aの堆積済み半導体材料を結晶化するために(かつ/または層508からのシリサイド形成金属とダイオード504aのシリコン領域との反応によってシリサイド領域を形成するために)アニールすることができる。前述したように、チタンシリサイドおよびコバルトシリサイドの格子面間隔はシリコンの格子面間隔とほぼ同じであり、シリサイド層は、堆積シリコンが結晶化するときに隣接する堆積シリコンの「結晶化テンプレート」または「シード」として働くことができるようである(例えば、シリサイド層は約600〜800℃の温度でアニールする間にシリコンダイオードの結晶構造を強化することができる)。それによってより低い抵抗率のダイオード材料が提供される。シリコン−ゲルマニウム合金および/またはゲルマニウムダイオードに対しても同様の結果が得られうる。
したがって、少なくとも1つの実施形態では、結晶化アニールが、約600〜800℃、いくつかの実施形態では約650〜750℃の温度の窒素中で約10秒〜約2分間実行されうる。他のアニーリング時間、温度および/または環境が使用されてもよい。
図5Bは、本発明に従って提供される第2のメモリセル500bの断面図である。図5Bの第2のメモリセル500bは、図5Aの第1のメモリセル500aと類似しているが、第1のメモリセル500aで採用されたバイポーラステアリング素子の代わりにユニポーラステアリング素子を採用している。例えば、図5B内のダイオード504bは、図5Aのメモリセル500aに使用されているようなp−i−n接合ダイオードではなくパンチスルーダイオードである。前述したように、トンネル装置やショットキーダイオードなどの他のステアリング素子が使用されてもよい。
図5Cは、本発明に従って提供される第3のメモリセル500cの断面図である。図5Cの第3のメモリセル500cは、図5Aの第1のメモリセル500aと類似している(かつ同じように形成されうる)が、(図2A〜2Dを参照して前述したように)2層の金属シリサイド下部電極108を採用している。
図5Dは、本発明に従って提供される第4のメモリセル500dの断面図である。図5Dの第4のメモリセル500dは、図5Cの第3のメモリセル500cと類似しているが、第3のメモリセル500cで採用されたバイポーラステアリング素子の代わりにユニポーラステアリング素子を採用している。例えば、図5D内のダイオード504dは、図5Cのメモリセル500cに使用されているようなp−i−n接合ダイオードではなくパンチスルーダイオードである。前述したように、トンネル装置やショットキーダイオードなどの他のステアリング素子が使用されてもよい。
図5Eは、本発明に従って提供される第5のメモリセル500eの断面図である。図5Eの第5のメモリセル500eは、図5Aの第1のメモリセル500aと類似している(かつ同じように形成されうる)が、(図2E〜2Hを参照して前述したように)下部電極用の追加の金属、金属窒化物または金属酸化物の層212を有する金属シリサイド2層を採用している。
図5Fは、本発明に従って提供される第6のメモリセル500fの断面図である。図5Fの第6のメモリセル500fは、図5Eの第5のメモリセル500eと類似しているが、第5のメモリセル500eで採用されたバイポーラステアリング素子の代わりにユニポーラステアリング素子を採用している。例えば、図5F内のダイオード504fは、図5Eのメモリセル500eに使用されているようなp−i−n接合ダイオードではなくパンチスルーダイオードである。前述したように、トンネル装置やショットキーダイオードなどの他のステアリング素子が使用されてもよい。
図5Gは、本発明に従って提供される第7のメモリセル500gの断面図である。図5Gの第7のメモリセル500gは、図5Aの第1のメモリセル500aと類似している(かつ同じように形成されうる)が、(図3A〜3Dを参照して前述したように)下部電極108用の金属、金属窒化物または金属酸化物の層を採用している。
図5Hは、本発明に従って提供される第8のメモリセル500hの断面図である。図5Hの第8のメモリセル500hは、図5Gの第7のメモリセル500aと類似しているが、第1のメモリセル500aで採用されたバイポーラステアリング素子の代わりにユニポーラステアリング素子を採用している。例えば、図5H内のダイオード504hは、図5Gのメモリセル500gに使用されているようなp−i−n接合ダイオードではなくパンチスルーダイオードである。前述したように、トンネル装置やショットキーダイオードなどの他のステアリング素子が使用されてもよい。
前述した説明は本発明の例示的な実施形態だけを開示している。本発明の範囲内にある前に開示された装置および方法の変形形態は、当業者には容易に明らかになる。例えば、MIMスタックは、任意のメモリセル内のステアリング素子の上または下に配置することができる。
したがって、本発明の例示的な実施形態に関連して本発明を開示してきたが、添付の特許請求の範囲で定義されるように、他の実施形態が本発明の趣旨と範囲に入りうることが理解されるべきである。

Claims (25)

  1. 金属−絶縁体−金属(MIM)スタックであって、
    シリコン−ゲルマニウム(SiGe)合金を含む第1の導電層と、
    前記第1の導電層の上に形成された金属酸化物層を含む抵抗率スイッチング層と、
    前記抵抗率スイッチング層の上に形成された第2の導電層と、
    を備えるMIMスタック。
  2. 請求項1記載のMIMスタックにおいて、
    前記SiGe合金が、5〜35原子パーセントのGeを含むMIMスタック。
  3. 請求項1記載のMIMスタックにおいて、
    前記第1の導電層が、2〜100ナノメートルの厚みを有するMIMスタック。
  4. 請求項1記載のMIMスタックにおいて、
    前記金属酸化物層が、HfOX 、ZrOX 、NiOX 、TiOX 、TaOX 、NbOX またはAlXY のうちの1つまたは複数を含むMIMスタック。
  5. 請求項1記載のMIMスタックにおいて、
    前記第2の導電層が、窒化チタンを含むMIMスタック。
  6. 請求項5記載のMIMスタックにおいて、
    前記第2の導電層が、前記抵抗率スイッチング層の上に形成されたチタン層および酸化チタン層の少なくとも一方とその上に形成された窒化チタン層とを有する層スタックを備えるMIMスタック。
  7. メモリセルであって、
    請求項1記載のMIMスタックと、
    前記MIMスタックに結合されたステアリング素子と、
    を備えるメモリセル。
  8. 請求項7記載のメモリセルにおいて、
    前記ステアリング素子が、前記MIMスタックに直列に結合された垂直ポリシリコンダイオードを備えるメモリセル。
  9. 請求項7記載のメモリセルにおいて、
    前記SiGe合金が、5〜35原子パーセントのGeを含むメモリセル。
  10. 請求項7記載のメモリセルにおいて、
    前記第1の導電層が、2〜100ナノメートルの厚みを有するメモリセル。
  11. 請求項7記載のメモリセルにおいて、
    前記金属酸化物層が、HfOX 、ZrOX 、NiOX 、TiOX 、TaOX 、NbOX またはAlXY のうちの1つまたは複数を含むメモリセル。
  12. 請求項7記載のメモリセルにおいて、
    前記第2の導電層が、前記抵抗率スイッチング層の上に形成されたチタン層および酸化チタン層の少なくとも一方とその上に形成された窒化チタン層とを有する層スタックを備えるメモリセル。
  13. 金属−絶縁体−金属(MIM)スタックを形成する方法であって、
    シリコン−ゲルマニウム(SiGe)合金を含む第1の導電層を形成するステップと、 前記第1の導電層の上に金属酸化物層を含む抵抗率スイッチング層を形成するステップと、
    前記抵抗率スイッチング層の上に第2の導電層を形成するステップと、
    を含む方法。
  14. 請求項13記載の方法において、
    前記第1の導電層が、600℃以下の温度で形成される方法。
  15. 請求項13記載の方法において、
    前記第1の導電層が、550℃以下の温度で形成される方法。
  16. 請求項13記載の方法において、
    前記第1の導電層が、低圧化学気相堆積またはプラズマ支援化学気相堆積を使用して形成される方法。
  17. 請求項13記載の方法において、
    前記SiGe合金が、5〜35原子パーセントのGeを含む方法。
  18. 請求項13記載の方法において、
    前記第2の導電層が、前記抵抗率スイッチング層の上に形成されたチタン層および酸化チタン層の少なくとも一方とその上に形成された窒化チタン層とを有する層スタックを備える方法。
  19. 請求項13記載の方法において、
    前記金属酸化物層が、HfOX 、ZrOX 、NiOX 、TiOX 、TaOX 、NbOX またはAlXY のうちの1つまたは複数を含む方法。
  20. メモリセルを形成する方法であって、
    金属−絶縁体−金属(MIM)スタックを形成するステップであって、
    シリコン−ゲルマニウム(SiGe)合金を含む第1の導電層と、
    前記第1の導電層の上に形成された金属酸化物層を含む抵抗率スイッチング層と、
    前記抵抗率スイッチング層の上に形成された第2の導電層と、を有する金属−絶縁体−金属(MIM)スタックを形成するステップと、
    前記MIMスタックに結合されたステアリング素子を形成するステップと、
    を含む方法。
  21. 請求項20記載の方法において、
    前記第1の導電層が、600℃以下の温度で形成される方法。
  22. 請求項20記載の方法において、
    前記第1の導電層が、550℃以下の温度で形成される方法。
  23. 請求項20記載の方法において、
    前記第1の導電層が、低圧化学気相堆積またはプラズマ支援化学気相堆積を使用して形成される方法。
  24. 請求項20記載の方法において、
    前記SiGe合金が、5〜35原子パーセントのGeを含む方法。
  25. 請求項20記載の方法において、
    前記金属酸化物層が、HfOX 、ZrOX 、NiOX 、TiOX 、TaOX 、NbOX またはAlXY のうちの1つまたは複数を含む方法。
JP2013500131A 2010-03-16 2011-03-16 金属酸化物抵抗率スイッチング層と共に使用する下部電極 Withdrawn JP2013522911A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US31457710P 2010-03-16 2010-03-16
US61/314,577 2010-03-16
US13/047,020 US8772749B2 (en) 2010-03-16 2011-03-14 Bottom electrodes for use with metal oxide resistivity switching layers
US13/047,020 2011-03-14
PCT/US2011/028394 WO2011115924A1 (en) 2010-03-16 2011-03-16 Bottom electrodes for use with metal oxide resistivity switching layers

Publications (1)

Publication Number Publication Date
JP2013522911A true JP2013522911A (ja) 2013-06-13

Family

ID=44646512

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2013500132A Withdrawn JP2013522912A (ja) 2010-03-16 2011-03-14 金属酸化物抵抗率スイッチング層と共に使用する下部電極
JP2013500131A Withdrawn JP2013522911A (ja) 2010-03-16 2011-03-16 金属酸化物抵抗率スイッチング層と共に使用する下部電極

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2013500132A Withdrawn JP2013522912A (ja) 2010-03-16 2011-03-14 金属酸化物抵抗率スイッチング層と共に使用する下部電極

Country Status (6)

Country Link
US (3) US8354660B2 (ja)
EP (2) EP2548238B8 (ja)
JP (2) JP2013522912A (ja)
KR (2) KR20130007572A (ja)
TW (2) TW201145633A (ja)
WO (2) WO2011115926A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013122985A (ja) * 2011-12-12 2013-06-20 Toshiba Corp 半導体記憶装置
JP2013235956A (ja) * 2012-05-09 2013-11-21 Toshiba Corp 半導体記憶装置

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2548238B8 (en) * 2010-03-16 2015-06-17 SanDisk 3D, LLC Method of forming bottom electrodes for use with metal oxide resistivity switching layers
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
US8441835B2 (en) 2010-06-11 2013-05-14 Crossbar, Inc. Interface control for improved switching in RRAM
WO2011156787A2 (en) 2010-06-11 2011-12-15 Crossbar, Inc. Pillar structure for memory device and method
US8374018B2 (en) 2010-07-09 2013-02-12 Crossbar, Inc. Resistive memory using SiGe material
US8467227B1 (en) 2010-11-04 2013-06-18 Crossbar, Inc. Hetero resistive switching material layer in RRAM device and method
US8569172B1 (en) 2012-08-14 2013-10-29 Crossbar, Inc. Noble metal/non-noble metal electrode for RRAM applications
US8168506B2 (en) 2010-07-13 2012-05-01 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US8947908B2 (en) 2010-11-04 2015-02-03 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US9401475B1 (en) 2010-08-23 2016-07-26 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8889521B1 (en) 2012-09-14 2014-11-18 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8404553B2 (en) 2010-08-23 2013-03-26 Crossbar, Inc. Disturb-resistant non-volatile memory device and method
US8492195B2 (en) 2010-08-23 2013-07-23 Crossbar, Inc. Method for forming stackable non-volatile resistive switching memory devices
US8391049B2 (en) 2010-09-29 2013-03-05 Crossbar, Inc. Resistor structure for a non-volatile memory device and method
US8558212B2 (en) 2010-09-29 2013-10-15 Crossbar, Inc. Conductive path in switching material in a resistive random access memory device and control
US8841648B2 (en) 2010-10-14 2014-09-23 Sandisk 3D Llc Multi-level memory arrays with memory cells that employ bipolar storage elements and methods of forming the same
US8389971B2 (en) 2010-10-14 2013-03-05 Sandisk 3D Llc Memory cells having storage elements that share material layers with steering elements and methods of forming the same
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
US8502185B2 (en) 2011-05-31 2013-08-06 Crossbar, Inc. Switching device having a non-linear element
US8088688B1 (en) 2010-11-05 2012-01-03 Crossbar, Inc. p+ polysilicon material on aluminum for non-volatile memory device and method
US9059401B2 (en) 2010-12-14 2015-06-16 Sandisk 3D Llc Three dimensional non-volatile storage with three device driver for row select
US8930174B2 (en) 2010-12-28 2015-01-06 Crossbar, Inc. Modeling technique for resistive random access memory (RRAM) cells
US8791010B1 (en) 2010-12-31 2014-07-29 Crossbar, Inc. Silver interconnects for stacked non-volatile memory device and method
US9153623B1 (en) 2010-12-31 2015-10-06 Crossbar, Inc. Thin film transistor steering element for a non-volatile memory device
US8815696B1 (en) 2010-12-31 2014-08-26 Crossbar, Inc. Disturb-resistant non-volatile memory device using via-fill and etchback technique
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8450710B2 (en) 2011-05-27 2013-05-28 Crossbar, Inc. Low temperature p+ silicon junction material for a non-volatile memory device
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US8394670B2 (en) 2011-05-31 2013-03-12 Crossbar, Inc. Vertical diodes for non-volatile memory device
US8619459B1 (en) 2011-06-23 2013-12-31 Crossbar, Inc. High operating speed resistive random access memory
US8659929B2 (en) 2011-06-30 2014-02-25 Crossbar, Inc. Amorphous silicon RRAM with non-linear device and operation
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
US9166163B2 (en) 2011-06-30 2015-10-20 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
US8946669B1 (en) 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
JP2013026289A (ja) * 2011-07-15 2013-02-04 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
WO2013015776A1 (en) 2011-07-22 2013-01-31 Crossbar, Inc. Seed layer for a p + silicon germanium material for a non-volatile memory device and method
US10056907B1 (en) 2011-07-29 2018-08-21 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US8674724B2 (en) 2011-07-29 2014-03-18 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US9729155B2 (en) 2011-07-29 2017-08-08 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US8546275B2 (en) * 2011-09-19 2013-10-01 Intermolecular, Inc. Atomic layer deposition of hafnium and zirconium oxides for memory applications
JP2013069933A (ja) * 2011-09-22 2013-04-18 Toshiba Corp 不揮発性半導体記憶装置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9269425B2 (en) 2011-12-30 2016-02-23 Sandisk 3D Llc Low forming voltage non-volatile storage device
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US8741772B2 (en) * 2012-02-16 2014-06-03 Intermolecular, Inc. In-situ nitride initiation layer for RRAM metal oxide switching material
US8716098B1 (en) 2012-03-09 2014-05-06 Crossbar, Inc. Selective removal method and structure of silver in resistive switching device for a non-volatile memory device
US9087576B1 (en) 2012-03-29 2015-07-21 Crossbar, Inc. Low temperature fabrication method for a three-dimensional memory device and structure
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
US8796658B1 (en) 2012-05-07 2014-08-05 Crossbar, Inc. Filamentary based non-volatile resistive memory device and method
US8765566B2 (en) 2012-05-10 2014-07-01 Crossbar, Inc. Line and space architecture for a non-volatile memory device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
EP2695966B1 (en) 2012-08-06 2018-10-03 IMEC vzw ALD method
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
US10096653B2 (en) 2012-08-14 2018-10-09 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US8946673B1 (en) 2012-08-24 2015-02-03 Crossbar, Inc. Resistive switching device structure with improved data retention for non-volatile memory device and method
US20140054531A1 (en) * 2012-08-24 2014-02-27 Intermolecular, Inc. Defect enhancement of a switching layer in a nonvolatile resistive memory element
US9129894B2 (en) 2012-09-17 2015-09-08 Intermolecular, Inc. Embedded nonvolatile memory elements having resistive switching characteristics
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9312483B2 (en) 2012-09-24 2016-04-12 Crossbar, Inc. Electrode structure for a non-volatile memory device and method
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
US11068620B2 (en) 2012-11-09 2021-07-20 Crossbar, Inc. Secure circuit integrated with memory layer
US8982647B2 (en) 2012-11-14 2015-03-17 Crossbar, Inc. Resistive random access memory equalization and sensing
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9412790B1 (en) 2012-12-04 2016-08-09 Crossbar, Inc. Scalable RRAM device architecture for a non-volatile memory device and method
US8872152B2 (en) 2012-12-13 2014-10-28 Intermolecular, Inc. IL-free MIM stack for clean RRAM devices
TWI484679B (zh) * 2012-12-17 2015-05-11 Winbond Electronics Corp 非揮發性記憶體
US8796103B2 (en) 2012-12-20 2014-08-05 Intermolecular, Inc. Forming nonvolatile memory elements by diffusing oxygen into electrodes
US8860002B2 (en) 2012-12-20 2014-10-14 Intermolecular, Inc. Limited maximum fields of electrode-switching layer interfaces in Re-RAM cells
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9406379B2 (en) 2013-01-03 2016-08-02 Crossbar, Inc. Resistive random access memory with non-linear current-voltage relationship
US9047940B2 (en) 2013-01-10 2015-06-02 Intermolecular, Inc. Resistive random access memory cells having variable switching characteristics
US9324942B1 (en) 2013-01-31 2016-04-26 Crossbar, Inc. Resistive memory cell with solid state diode
US9112145B1 (en) 2013-01-31 2015-08-18 Crossbar, Inc. Rectified switching of two-terminal memory via real time filament formation
US8934280B1 (en) 2013-02-06 2015-01-13 Crossbar, Inc. Capacitive discharge programming for two-terminal memory cells
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9202694B2 (en) 2013-03-04 2015-12-01 Sandisk 3D Llc Vertical bit line non-volatile memory systems and methods of fabrication
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9165933B2 (en) 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
EP2793279A1 (en) * 2013-04-19 2014-10-22 ETH Zurich Strained multilayer resistive-switching memory elements
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9269898B2 (en) * 2014-02-07 2016-02-23 Crossbar, Inc. Low temperature deposition for silicon-based conductive film
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9362338B2 (en) 2014-03-03 2016-06-07 Sandisk Technologies Inc. Vertical thin film transistors in non-volatile storage systems
US9379246B2 (en) 2014-03-05 2016-06-28 Sandisk Technologies Inc. Vertical thin film transistor selection devices and methods of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9425390B2 (en) * 2014-10-16 2016-08-23 Micron Technology, Inc. Select device for memory cell applications
US9246087B1 (en) * 2014-11-24 2016-01-26 Intermolecular, Inc. Electron barrier height controlled interfaces of resistive switching layers in resistive random access memory cells
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) * 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9450023B1 (en) 2015-04-08 2016-09-20 Sandisk Technologies Llc Vertical bit line non-volatile memory with recessed word lines
US9305974B1 (en) 2015-04-16 2016-04-05 Stmicroelectronics, Inc. High density resistive random access memory (RRAM)
KR101735187B1 (ko) * 2015-06-30 2017-05-15 서울대학교산학협력단 가변 저항체, 이를 이용한 비휘발성 메모리 소자 및 이들의 제조 방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10332957B2 (en) * 2016-06-30 2019-06-25 International Business Machines Corporation Stacked capacitor with symmetric leakage and break-down behaviors
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10672604B2 (en) 2016-09-20 2020-06-02 The Board Of Trustees Of The Leland Stanford Junior University Metal oxide-resistive memory using two-dimensional edge electrodes
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10762940B2 (en) 2016-12-09 2020-09-01 Integrated Magnetoelectronics Corporation Narrow etched gaps or features in multi-period thin-film structures
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10510547B2 (en) 2017-09-13 2019-12-17 Applied Materials, Inc. Metal and metal-derived films
JP2019057544A (ja) 2017-09-19 2019-04-11 東芝メモリ株式会社 記憶素子
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR102397393B1 (ko) 2017-11-28 2022-05-12 삼성전자주식회사 반도체 장치
WO2019112576A1 (en) 2017-12-06 2019-06-13 Integrated Magnetoelectronics Corporation Narrow etched gaps or features in multi-period thin-film structures
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
FR3090180A1 (fr) 2018-12-14 2020-06-19 Commissariat A L'energie Atomique Et Aux Energies Alternatives Cellule memoire resistive a base d’oxyde oxram et procede de fabrication associe
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200122175A (ko) * 2019-04-17 2020-10-27 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
CN110854267B (zh) * 2019-12-09 2023-09-22 上海华力微电子有限公司 阻变存储器及其制造方法
WO2022240426A1 (en) * 2021-05-12 2022-11-17 Tetramem Inc. Resistive random-access memory devices with multi-component electrodes
US20240074162A1 (en) * 2022-08-30 2024-02-29 Applied Materials, Inc. Lanthanum nitride as a dram molybdenum liner

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4954455A (en) 1984-12-18 1990-09-04 Advanced Micro Devices Semiconductor memory device having protection against alpha strike induced errors
EP0239576A4 (en) 1985-01-16 1989-02-06 Clayview Pty Ltd NAVIGATION SYSTEMS.
JPH0239983Y2 (ja) 1985-09-03 1990-10-25
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
US7157314B2 (en) * 1998-11-16 2007-01-02 Sandisk Corporation Vertically stacked field programmable nonvolatile memory and method of fabrication
DE50000924D1 (de) 1999-03-19 2003-01-23 Infineon Technologies Ag Speicherzellenanordnung und verfahren zu deren herstellung
US6343032B1 (en) * 1999-07-07 2002-01-29 Iowa State University Research Foundation, Inc. Non-volatile spin dependent tunnel junction circuit
US6542000B1 (en) * 1999-07-30 2003-04-01 Iowa State University Research Foundation, Inc. Nonvolatile programmable logic devices
JP4880867B2 (ja) 2002-04-10 2012-02-22 セイコーインスツル株式会社 薄膜メモリ、アレイとその動作方法および製造方法
AU2003296988A1 (en) 2002-12-19 2004-07-29 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7767499B2 (en) 2002-12-19 2010-08-03 Sandisk 3D Llc Method to form upward pointing p-i-n diodes having large and uniform current
US7176064B2 (en) 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
KR100533958B1 (ko) 2004-01-05 2005-12-06 삼성전자주식회사 상변화 메모리 장치 및 그 제조 방법
US9231201B2 (en) 2004-06-30 2016-01-05 Nxp B.V. Electric device with a layer of conductive material contacted by nanowires
US20080237696A1 (en) 2004-07-01 2008-10-02 Chih-Hsin Wang Alignment protection in non-volatile memory and array
DE102004041330B3 (de) * 2004-08-26 2006-03-16 Infineon Technologies Ag Speicherschaltung mit ein Widerstandsspeicherelement aufweisenden Speicherzellen
US7026689B2 (en) 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
US7405465B2 (en) * 2004-09-29 2008-07-29 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
US8179711B2 (en) * 2004-10-26 2012-05-15 Samsung Electronics Co., Ltd. Semiconductor memory device with stacked memory cell and method of manufacturing the stacked memory cell
US20060250836A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US7812404B2 (en) * 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
KR100634241B1 (ko) 2005-05-30 2006-10-13 삼성전자주식회사 반도체 커패시터 및 그 제조 방법
KR100682946B1 (ko) 2005-05-31 2007-02-15 삼성전자주식회사 상전이 램 및 그 동작 방법
KR100937564B1 (ko) * 2005-06-20 2010-01-19 후지쯔 가부시끼가이샤 비휘발성 반도체 기억 장치 및 그 기입 방법
US20070069241A1 (en) * 2005-07-01 2007-03-29 Matrix Semiconductor, Inc. Memory with high dielectric constant antifuses and method for using at low voltage
KR100960208B1 (ko) 2005-07-29 2010-05-27 후지쯔 가부시끼가이샤 저항 기억 소자 및 불휘발성 반도체 기억 장치
JPWO2007023569A1 (ja) 2005-08-26 2009-03-26 富士通株式会社 不揮発性半導体記憶装置及びその書き込み方法
JP4778765B2 (ja) * 2005-10-07 2011-09-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US20070132049A1 (en) 2005-12-12 2007-06-14 Stipe Barry C Unipolar resistance random access memory (RRAM) device and vertically stacked architecture
KR101186293B1 (ko) * 2006-01-19 2012-09-27 삼성전자주식회사 배리스터를 포함하는 저항성 메모리 소자 및 그 동작 방법
US7501331B2 (en) * 2006-03-31 2009-03-10 Sandisk 3D Llc Low-temperature metal-induced crystallization of silicon-germanium films
US7808810B2 (en) * 2006-03-31 2010-10-05 Sandisk 3D Llc Multilevel nonvolatile memory cell comprising a resistivity-switching oxide or nitride and an antifuse
US7875871B2 (en) * 2006-03-31 2011-01-25 Sandisk 3D Llc Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride
US7754605B2 (en) 2006-06-30 2010-07-13 Sandisk 3D Llc Ultrashallow semiconductor contact by outdiffusion from a solid source
US8030637B2 (en) * 2006-08-25 2011-10-04 Qimonda Ag Memory element using reversible switching between SP2 and SP3 hybridized carbon
CN101536188B (zh) * 2006-11-30 2010-09-29 富士通株式会社 电阻存储元件及其制造方法、非易失性半导体存储装置
WO2008075412A1 (ja) 2006-12-19 2008-06-26 Fujitsu Limited 抵抗変化素子及びその製造方法
KR100896180B1 (ko) * 2007-01-23 2009-05-12 삼성전자주식회사 선택적으로 성장된 상변화층을 구비하는 상변화 메모리소자 및 그 제조방법
US7678607B2 (en) * 2007-02-05 2010-03-16 Intermolecular, Inc. Methods for forming resistive switching memory elements
KR100809724B1 (ko) 2007-03-02 2008-03-06 삼성전자주식회사 터널링층을 구비한 바이폴라 스위칭 타입의 비휘발성메모리소자
US7629198B2 (en) * 2007-03-05 2009-12-08 Intermolecular, Inc. Methods for forming nonvolatile memory elements with resistive-switching metal oxides
US8144498B2 (en) * 2007-05-09 2012-03-27 Intermolecular, Inc. Resistive-switching nonvolatile memory elements
US8294219B2 (en) * 2007-07-25 2012-10-23 Intermolecular, Inc. Nonvolatile memory element including resistive switching metal oxide layers
US20090095985A1 (en) 2007-10-10 2009-04-16 Samsung Electronics Co., Ltd. Multi-layer electrode, cross point memory array and method of manufacturing the same
JP2010287582A (ja) 2007-10-15 2010-12-24 Panasonic Corp 不揮発性記憶素子およびその製造方法、並びにその不揮発性記憶素子を用いた不揮発性半導体装置
US7718990B2 (en) 2007-12-04 2010-05-18 Ovonyx, Inc. Active material devices with containment layer
WO2009072201A1 (ja) * 2007-12-06 2009-06-11 Fujitsu Limited 抵抗変化素子とその製造方法、及び抵抗変化素子を用いた半導体記憶装置
US8284596B2 (en) * 2008-06-09 2012-10-09 Qimonda Ag Integrated circuit including an array of diodes coupled to a layer of resistance changing material
JP4469023B2 (ja) 2008-07-11 2010-05-26 パナソニック株式会社 不揮発性記憶素子およびその製造方法、並びにその不揮発性記憶素子を用いた不揮発性半導体装置
US8362454B2 (en) * 2008-08-12 2013-01-29 Industrial Technology Research Institute Resistive random access memory having metal oxide layer with oxygen vacancies and method for fabricating the same
US7974117B2 (en) * 2008-10-30 2011-07-05 Seagate Technology Llc Non-volatile memory cell with programmable unipolar switching element
US7835173B2 (en) 2008-10-31 2010-11-16 Micron Technology, Inc. Resistive memory
US8120941B2 (en) 2008-11-07 2012-02-21 Seagate Technology Llc Bidirectional non-volatile memory array architecture
KR20100060323A (ko) 2008-11-27 2010-06-07 삼성전자주식회사 가변저항 메모리 장치 및 그 형성 방법
US8420478B2 (en) 2009-03-31 2013-04-16 Intermolecular, Inc. Controlled localized defect paths for resistive memories
JP5388710B2 (ja) 2009-06-12 2014-01-15 株式会社東芝 抵抗変化メモリ
US8207064B2 (en) * 2009-09-17 2012-06-26 Sandisk 3D Llc 3D polysilicon diode with low contact resistance and method for forming same
EP2548238B8 (en) * 2010-03-16 2015-06-17 SanDisk 3D, LLC Method of forming bottom electrodes for use with metal oxide resistivity switching layers

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013122985A (ja) * 2011-12-12 2013-06-20 Toshiba Corp 半導体記憶装置
JP2013235956A (ja) * 2012-05-09 2013-11-21 Toshiba Corp 半導体記憶装置

Also Published As

Publication number Publication date
JP2013522912A (ja) 2013-06-13
WO2011115926A1 (en) 2011-09-22
EP2548238B8 (en) 2015-06-17
KR20130007571A (ko) 2013-01-18
US20130126821A1 (en) 2013-05-23
US20110227028A1 (en) 2011-09-22
WO2011115924A1 (en) 2011-09-22
EP2548239A1 (en) 2013-01-23
TW201145633A (en) 2011-12-16
EP2548238B1 (en) 2015-04-22
TW201145634A (en) 2011-12-16
KR20130007572A (ko) 2013-01-18
US8772749B2 (en) 2014-07-08
US8354660B2 (en) 2013-01-15
US20110227020A1 (en) 2011-09-22
EP2548238A1 (en) 2013-01-23

Similar Documents

Publication Publication Date Title
JP2013522911A (ja) 金属酸化物抵抗率スイッチング層と共に使用する下部電極
US8969845B2 (en) Memory cells having storage elements that share material layers with steering elements and methods of forming the same
US9105576B2 (en) Multi-level memory arrays with memory cells that employ bipolar storage elements and methods of forming the same
US7507674B2 (en) Memory device including resistance change layer as storage node and method(s) for making the same
US8737110B2 (en) Large array of upward pointing P-I-N diodes having large and uniform current
US7943926B2 (en) Nonvolatile memory device and nonvolatile memory array including the same
US8679901B2 (en) Memory cell that includes a sidewall collar for pillar isolation and methods of forming the same
JP2013505581A (ja) 低コンタクト抵抗を有する3次元ポリシリコンダイオードおよびその形成方法
US20120091418A1 (en) Bipolar storage elements for use in memory cells and methods of forming the same
US20110210306A1 (en) Memory cell that includes a carbon-based memory element and methods of forming the same
US20230413580A1 (en) Selector device and semiconductor device including the same

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140603