TW201145633A - Bottom electrodes for use with metal oxide resistivity switching layers - Google Patents
Bottom electrodes for use with metal oxide resistivity switching layers Download PDFInfo
- Publication number
- TW201145633A TW201145633A TW100108967A TW100108967A TW201145633A TW 201145633 A TW201145633 A TW 201145633A TW 100108967 A TW100108967 A TW 100108967A TW 100108967 A TW100108967 A TW 100108967A TW 201145633 A TW201145633 A TW 201145633A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- metal
- stack
- telluride
- mim
- Prior art date
Links
- 229910044991 metal oxide Inorganic materials 0.000 title claims abstract description 96
- 150000004706 metal oxides Chemical class 0.000 title claims abstract description 96
- 230000015654 memory Effects 0.000 claims abstract description 143
- 229910052751 metal Inorganic materials 0.000 claims description 210
- 239000002184 metal Substances 0.000 claims description 210
- 239000000463 material Substances 0.000 claims description 99
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 claims description 58
- 239000010936 titanium Substances 0.000 claims description 46
- 150000004767 nitrides Chemical class 0.000 claims description 39
- 238000000034 method Methods 0.000 claims description 35
- 229910052732 germanium Inorganic materials 0.000 claims description 28
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 28
- 229910003087 TiOx Inorganic materials 0.000 claims description 23
- HLLICFJUWSZHRJ-UHFFFAOYSA-N tioxidazole Chemical compound CCCOC1=CC=C2N=C(NC(=O)OC)SC2=C1 HLLICFJUWSZHRJ-UHFFFAOYSA-N 0.000 claims description 23
- 229910003070 TaOx Inorganic materials 0.000 claims description 22
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 21
- 229910003134 ZrOx Inorganic materials 0.000 claims description 21
- 229910005855 NiOx Inorganic materials 0.000 claims description 19
- 230000015572 biosynthetic process Effects 0.000 claims description 19
- 229910052719 titanium Inorganic materials 0.000 claims description 19
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 18
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 17
- -1 nitrided IS Chemical compound 0.000 claims description 17
- 229910052715 tantalum Inorganic materials 0.000 claims description 16
- 229910052721 tungsten Inorganic materials 0.000 claims description 15
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 13
- 239000010937 tungsten Substances 0.000 claims description 13
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 12
- SCCCLDWUZODEKG-UHFFFAOYSA-N germanide Chemical compound [GeH3-] SCCCLDWUZODEKG-UHFFFAOYSA-N 0.000 claims description 12
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 12
- 239000010955 niobium Substances 0.000 claims description 11
- 229910052797 bismuth Inorganic materials 0.000 claims description 9
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 claims description 9
- 229910052707 ruthenium Inorganic materials 0.000 claims description 9
- 229910052804 chromium Inorganic materials 0.000 claims description 8
- 239000011651 chromium Substances 0.000 claims description 8
- CXXKWLMXEDWEJW-UHFFFAOYSA-N tellanylidenecobalt Chemical compound [Te]=[Co] CXXKWLMXEDWEJW-UHFFFAOYSA-N 0.000 claims description 8
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 8
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 7
- 229910001507 metal halide Inorganic materials 0.000 claims description 7
- 150000005309 metal halides Chemical class 0.000 claims description 7
- 239000004575 stone Substances 0.000 claims description 7
- 229910052720 vanadium Inorganic materials 0.000 claims description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 6
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 claims description 6
- IAOQICOCWPKKMH-UHFFFAOYSA-N dithieno[3,2-a:3',2'-d]thiophene Chemical compound C1=CSC2=C1C(C=CS1)=C1S2 IAOQICOCWPKKMH-UHFFFAOYSA-N 0.000 claims description 6
- 238000001465 metallisation Methods 0.000 claims description 6
- 229910052750 molybdenum Inorganic materials 0.000 claims description 6
- NZIHMSYSZRFUQJ-UHFFFAOYSA-N 6-chloro-1h-benzimidazole-2-carboxylic acid Chemical compound C1=C(Cl)C=C2NC(C(=O)O)=NC2=C1 NZIHMSYSZRFUQJ-UHFFFAOYSA-N 0.000 claims description 5
- 229910052735 hafnium Inorganic materials 0.000 claims description 5
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 claims description 5
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims description 4
- 229910052744 lithium Inorganic materials 0.000 claims description 4
- 239000011733 molybdenum Substances 0.000 claims description 4
- 229910052726 zirconium Inorganic materials 0.000 claims description 4
- 229910052782 aluminium Inorganic materials 0.000 claims description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 3
- SKKMWRVAJNPLFY-UHFFFAOYSA-N azanylidynevanadium Chemical compound [V]#N SKKMWRVAJNPLFY-UHFFFAOYSA-N 0.000 claims description 3
- HPQRSQFZILKRDH-UHFFFAOYSA-M chloro(trimethyl)plumbane Chemical compound C[Pb](C)(C)Cl HPQRSQFZILKRDH-UHFFFAOYSA-M 0.000 claims description 3
- 239000012212 insulator Substances 0.000 claims description 3
- 229910052757 nitrogen Inorganic materials 0.000 claims description 3
- WHXSMMKQMYFTQS-UHFFFAOYSA-N Lithium Chemical compound [Li] WHXSMMKQMYFTQS-UHFFFAOYSA-N 0.000 claims description 2
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 claims description 2
- MRPWWVMHWSDJEH-UHFFFAOYSA-N antimony telluride Chemical compound [SbH3+3].[SbH3+3].[TeH2-2].[TeH2-2].[TeH2-2] MRPWWVMHWSDJEH-UHFFFAOYSA-N 0.000 claims description 2
- GPBUGPUPKAGMDK-UHFFFAOYSA-N azanylidynemolybdenum Chemical compound [Mo]#N GPBUGPUPKAGMDK-UHFFFAOYSA-N 0.000 claims description 2
- ZGHDMISTQPRNRG-UHFFFAOYSA-N dimolybdenum Chemical compound [Mo]#[Mo] ZGHDMISTQPRNRG-UHFFFAOYSA-N 0.000 claims description 2
- 239000000126 substance Substances 0.000 claims description 2
- 229910052787 antimony Inorganic materials 0.000 claims 2
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 claims 2
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 claims 1
- 229910001069 Ti alloy Inorganic materials 0.000 claims 1
- BYUANIDVEAKBHT-UHFFFAOYSA-N [Mo].[Bi] Chemical compound [Mo].[Bi] BYUANIDVEAKBHT-UHFFFAOYSA-N 0.000 claims 1
- HITXEXPSQXNMAN-UHFFFAOYSA-N bis(tellanylidene)molybdenum Chemical compound [Te]=[Mo]=[Te] HITXEXPSQXNMAN-UHFFFAOYSA-N 0.000 claims 1
- QAKMMQFWZJTWCW-UHFFFAOYSA-N bismuth titanium Chemical compound [Ti].[Bi] QAKMMQFWZJTWCW-UHFFFAOYSA-N 0.000 claims 1
- 235000021438 curry Nutrition 0.000 claims 1
- 229910052733 gallium Inorganic materials 0.000 claims 1
- ZPPUVHMHXRANPA-UHFFFAOYSA-N germanium titanium Chemical compound [Ti].[Ge] ZPPUVHMHXRANPA-UHFFFAOYSA-N 0.000 claims 1
- 230000001617 migratory effect Effects 0.000 claims 1
- 229910021332 silicide Inorganic materials 0.000 abstract description 4
- 239000010410 layer Substances 0.000 description 307
- 210000004027 cell Anatomy 0.000 description 45
- 239000004020 conductor Substances 0.000 description 26
- 230000004888 barrier function Effects 0.000 description 24
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 15
- 229910052760 oxygen Inorganic materials 0.000 description 15
- 239000001301 oxygen Substances 0.000 description 15
- 238000000151 deposition Methods 0.000 description 14
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 10
- 229910045601 alloy Inorganic materials 0.000 description 9
- 239000000956 alloy Substances 0.000 description 9
- 238000000137 annealing Methods 0.000 description 9
- 150000002739 metals Chemical class 0.000 description 9
- 239000004065 semiconductor Substances 0.000 description 8
- 238000004151 rapid thermal annealing Methods 0.000 description 7
- 230000002441 reversible effect Effects 0.000 description 7
- 230000008021 deposition Effects 0.000 description 6
- 238000005121 nitriding Methods 0.000 description 6
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 6
- 150000004772 tellurides Chemical class 0.000 description 6
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 5
- 239000013078 crystal Substances 0.000 description 5
- 238000002425 crystallisation Methods 0.000 description 5
- 230000008025 crystallization Effects 0.000 description 5
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 5
- 238000003491 array Methods 0.000 description 4
- 229910000420 cerium oxide Inorganic materials 0.000 description 4
- 239000010408 film Substances 0.000 description 4
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- 239000000758 substrate Substances 0.000 description 4
- 230000005641 tunneling Effects 0.000 description 4
- 229910052727 yttrium Inorganic materials 0.000 description 4
- 229910000831 Steel Inorganic materials 0.000 description 3
- SJKRCWUQJZIWQB-UHFFFAOYSA-N azane;chromium Chemical compound N.[Cr] SJKRCWUQJZIWQB-UHFFFAOYSA-N 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 3
- 229910000449 hafnium oxide Inorganic materials 0.000 description 3
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 3
- 235000003642 hunger Nutrition 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 229910052758 niobium Inorganic materials 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010959 steel Substances 0.000 description 3
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical compound C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 description 2
- 229910052771 Terbium Inorganic materials 0.000 description 2
- WGLPBDUCMAPZCE-UHFFFAOYSA-N Trioxochromium Chemical compound O=[Cr](=O)=O WGLPBDUCMAPZCE-UHFFFAOYSA-N 0.000 description 2
- 229910052769 Ytterbium Inorganic materials 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- CXOWYMLTGOFURZ-UHFFFAOYSA-N azanylidynechromium Chemical compound [Cr]#N CXOWYMLTGOFURZ-UHFFFAOYSA-N 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 229910000423 chromium oxide Inorganic materials 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 229910052741 iridium Inorganic materials 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 2
- 229910052763 palladium Inorganic materials 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229910052702 rhenium Inorganic materials 0.000 description 2
- 229910052703 rhodium Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 229910001936 tantalum oxide Inorganic materials 0.000 description 2
- 229910001930 tungsten oxide Inorganic materials 0.000 description 2
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 2
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 2
- 229910052725 zinc Inorganic materials 0.000 description 2
- ZVWKZXLXHLZXLS-UHFFFAOYSA-N zirconium nitride Chemical compound [Zr]#N ZVWKZXLXHLZXLS-UHFFFAOYSA-N 0.000 description 2
- 229910001152 Bi alloy Inorganic materials 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- 229910000927 Ge alloy Inorganic materials 0.000 description 1
- IOVCWXUNBOPUCH-UHFFFAOYSA-M Nitrite anion Chemical compound [O-]N=O IOVCWXUNBOPUCH-UHFFFAOYSA-M 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 229910001362 Ta alloys Inorganic materials 0.000 description 1
- 229910001215 Te alloy Inorganic materials 0.000 description 1
- WMOHXRDWCVHXGS-UHFFFAOYSA-N [La].[Ce] Chemical compound [La].[Ce] WMOHXRDWCVHXGS-UHFFFAOYSA-N 0.000 description 1
- XHCLAFWTIXFWPH-UHFFFAOYSA-N [O-2].[O-2].[O-2].[O-2].[O-2].[V+5].[V+5] Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[V+5].[V+5] XHCLAFWTIXFWPH-UHFFFAOYSA-N 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 229910001566 austenite Inorganic materials 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- CETPSERCERDGAM-UHFFFAOYSA-N ceric oxide Chemical compound O=[Ce]=O CETPSERCERDGAM-UHFFFAOYSA-N 0.000 description 1
- ZMIGMASIKSOYAM-UHFFFAOYSA-N cerium Chemical compound [Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce] ZMIGMASIKSOYAM-UHFFFAOYSA-N 0.000 description 1
- 150000001785 cerium compounds Chemical class 0.000 description 1
- 229910000422 cerium(IV) oxide Inorganic materials 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000008030 elimination Effects 0.000 description 1
- 238000003379 elimination reaction Methods 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 238000002309 gasification Methods 0.000 description 1
- GPMBECJIPQBCKI-UHFFFAOYSA-N germanium telluride Chemical compound [Te]=[Ge]=[Te] GPMBECJIPQBCKI-UHFFFAOYSA-N 0.000 description 1
- VDDXNVZUVZULMR-UHFFFAOYSA-N germanium tellurium Chemical compound [Ge].[Te] VDDXNVZUVZULMR-UHFFFAOYSA-N 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052747 lanthanoid Inorganic materials 0.000 description 1
- 150000002602 lanthanoids Chemical class 0.000 description 1
- 238000006138 lithiation reaction Methods 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910000476 molybdenum oxide Inorganic materials 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 229910000484 niobium oxide Inorganic materials 0.000 description 1
- URLJKFSTXLNXLG-UHFFFAOYSA-N niobium(5+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Nb+5].[Nb+5] URLJKFSTXLNXLG-UHFFFAOYSA-N 0.000 description 1
- 150000002923 oximes Chemical class 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- PQQKPALAQIIWST-UHFFFAOYSA-N oxomolybdenum Chemical compound [Mo]=O PQQKPALAQIIWST-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 210000004508 polar body Anatomy 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- HQZPMWBCDLCGCL-UHFFFAOYSA-N tantalum telluride Chemical compound [Te]=[Ta]=[Te] HQZPMWBCDLCGCL-UHFFFAOYSA-N 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 230000035922 thirst Effects 0.000 description 1
- 229910001935 vanadium oxide Inorganic materials 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B63/00—Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
- H10B63/20—Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B63/00—Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B63/00—Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
- H10B63/80—Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
- H10B63/84—Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/011—Manufacture or treatment of multistable switching devices
- H10N70/061—Shaping switching materials
- H10N70/063—Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/20—Multistable switching devices, e.g. memristors
- H10N70/24—Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/801—Constructional details of multistable switching devices
- H10N70/821—Device geometry
- H10N70/826—Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/801—Constructional details of multistable switching devices
- H10N70/841—Electrodes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/801—Constructional details of multistable switching devices
- H10N70/881—Switching materials
- H10N70/883—Oxides or nitrides
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/801—Constructional details of multistable switching devices
- H10N70/881—Switching materials
- H10N70/883—Oxides or nitrides
- H10N70/8833—Binary metal oxides, e.g. TaOx
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Semiconductor Memories (AREA)
Description
201145633 六、發明說明: 【發明所屬之技術領域】 本發明係關於記憶體陣列,且更特定而言係關於使用於 金屬氧化物電阻率切換層之底部電極。 本申請案主張優先於2010年3月16曰提出申請且標題為 「ELECTRODES FOR USE WITH RESISTIVITY SWITCHING MATERIALS」之美國臨時專利申請案序列號第61/314,577 號,出於所有目的將其以全文引用之方式併入本文中。 【先前技術】 可逆電阻率切換(RRS)材料(諸如金屬氧化物)可用作記 憶體陣列中之儲存元件。舉例而言,2005年5月9曰提出申 請且標題為「NON-VOLATILE MEMORY CELL COMPRISING A DIODE AND A RESISTANCE-SWITCHING MATERIAL」之美國專利 第7,8 12,404號闡述包含與一 RRS材料(諸如一金屬氧化物 或金屬氮化物)串聯耦合之二極體之一可重寫非揮發性記 憶體單元,將該專利以全文引用之方式併入本文中。 然而,由具有所期望之切換特性之金屬氧化物可重寫電 阻率切換材料製作記憶體裝置係困難的;且採用金屬氧化 物電阻率切換材料之改良記憶體裝置係期望的。 【發明内容】 在本發明之一第一態樣中,提供一種金屬-絕緣體-金屬 (MIM)堆疊,其包含:(1) 一第一導電層,其包括矽-鍺 (SiGe)合金;(2)包括一金屬氧化物層之一電阻率切換層, 其形成於該第一導電層上面;及(3)—第二導電層,其形成 I54789.doc 201145633 於該電阻率切換層上面。可由該MIM堆疊形成一記憶體單 元。 在本發明之一第2態樣中,供-種形成- MIM堆疊之 方法,其包含:(1)形成包括_SiGe合金之一第一導電層; (2)在該第一導電層上面形成包括一金屬氧化物層之一電阻 率切換層;及(3)在該電阻率切換層上面形成一第二導電 層0 在本發月之第二態樣中,提供一種形成一記憶體單元 之方法,其包含·⑴形成一 MIM堆疊,該MIM堆疊具有: ⑷一第—導電層,其包括-SiGe合金;⑻包括—金屬氧 化物層之一電阻率切換層,其形成於該第一導電層上面; 及⑷一第二導電層,其形成於該電阻率切換層上面;及 (2)形成耦合至該MIM堆疊之一引導元件。 在本發明之-第四態樣中’提供-種MIM堆疊,其包 含·⑴一第一導電層,其包括-第-金屬矽化物層及一第 一金屬矽化物層;⑺包括一金屬氧化物層之一電阻率切換 層,其形成於該第一導電層上面;及(3)一第二導電層,其 形成於該電阻率切換層上面。可由該MIM堆疊形成—記情 體單元。 。心 在本發明之―第五態樣中,—種形成-MIM堆疊之方法 包含:⑴形成包括一第一金屬矽化物層及一第二金屬矽化 物層之-第-導電層;⑺在該第一導電層上面形成包括一 金屬氧化物層之-電阻率切換層;及(3)在該電阻率切換層 上面形成一第二導電層。可使用該方法形成一記憶體單 154789.doc 201145633 元。 在本發明之一第六態樣中,提供一種MIM,其包含: (1)一第一金屬矽化物層,其在一第一溫度下形成;(2) — 第二金屬矽化物層’其形成於該第一金屬矽化物層上面且 在大於該第一溫度之一第二溫度下形成;(3) 一 n+矽或 SiGe層’其形成於該第二金屬矽化物層上面;(4)包括一金 屬氧化物層之一電阻率切換層,其形成於該n+矽或SiGe層 上面’及(5)—第二導電層,其形成於該電阻率切換層上 面。可由該MIM堆疊形成一記憶體單元。 在本發明之一第七態樣中,一種形成一 MIM堆疊之方法 包含:(1)在一第一溫度下形成一第一金屬矽化物層;(2) 在大於該第一溫度之一第二溫度下在該第一金屬矽化物層 上面形成一第二金屬矽化物層;(3)在該第二金屬矽化物層 上面形成一 n+矽或siGe層;(4)在該n+矽或§丨&層上面形 成包括一金屬氧化物層之一電阻率切換層;及(5)在該電阻 率切換層上面形成一第二導電層。可使用該方法形成一記 憶體單元。 在本發明之一第八態樣中,提供一 MIM堆疊,其包含: ⑴-第-導電層,丨包括鉻、氮化鉻、铪、氮化姶、鈮、 氮化鈮、釩、氮化釩、#或氮化锆中之一者或多者;(2)包 括一金屬氧化物層之一電阻率切換層,其形成於該第一導 電層上面;及(3)-第二導電層,其形成於該電阻率切換層 上面。可由該MIM堆疊形成一記憶體單元。 在本發明m態樣中,提供一種形成一以⑽堆疊之 1547S9.doc 201145633 方法’其包含(1)形成包括鉻、氮化鉻、給、氮化給、銳、 氮化銳、飢、氮化飢、錯或氮化錯中之一者或多者之一第 一導電層;(2)在該第一導電層上面形成包括一金屬氧化物 層之一電阻率切換層;及(3)在該電阻率切換層上面形成一 第二導電層。可使用該方法形成一記憶體單元。提供眾多 其他態樣》 依據以下詳細闡述、隨附申請專利範圍及附圖,本發明 之其他特徵及態樣將變得更加充分顯而易見。 【實施方式】 由夾在兩個金屬或其他導電層之間的一可逆電阻率切換 (RRS)材料形成之一金屬-絕緣體-金屬(MIM)堆疊可用作一 §己憶體單元之一電阻切換元件。該兩個導電層可用作該電 阻切換元件之頂部電極及底部電極’且可用於跨越該RRS 材料施加一電場,該電場將該RRS材料之電阻率自一高值 改變至一低值且反之亦然。 根據本發明之實施例’提供新穎的採用金屬氧化物電阻 率切換層之MIM堆疊。亦提供形成此等MIM堆疊之方法, 以及在三維(3D)記憶體陣列中採用此等MIM堆疊之方法。 下面參考圖1A至圖5H闡述本發明之此等及其他實施 例。舉例而言,圖1A至圖1D圖解說明採用SiGe底部電極 之MIM堆疊。圖2A至圖2H圖解說明採用多層金屬矽化物 底部電極之MIM堆疊。圖3A至圖3D圖解說明採用具有經 特別選擇以促進金屬氧化物切換層中之氧空位形成之金 屬、金屬氮化物或金屬氧化物之底部電極之MIM堆疊。圖 154789.doc 201145633 4A至圖5H圖解說明可使用上文所闡述之mIM堆疊及/或底 部電極中之任一者之記憶體單元及記憶體陣列之實例性實 施例。 具有SiGe底部電極之MIM堆疊 根據本發明之某些實施例,提供採用由矽鍺合金组成之 底部電極之MIM堆疊。舉例而言,圖1 a圖解說明一 MIM堆 疊100a,其包含夾在一頂部電極1〇6與一 siGe底部電極1〇8 之間的一可逆電阻率切換(RRS)材料1〇4。如下文進一步闡 述’可在MIM堆疊1 〇〇a内採用一個或多個額外層!丨〇,諸 如一金屬層、一金屬氧化物層、一金屬/金屬氧化物層堆 疊,或諸如此類。 舉例而言,RRS材料104可包含HfOx、ZrOx、NiOx、 ΤιΟχ、TaOx、NbOx、Alx〇Y、另一金屬氧化物(Μ〇χ)層、 此等金屬氧化物之任一組合、或另一適合切換材料。在某 些實施例中’頂部電極106可包含氮化鈦、氮化钽、氮化 鎢、該等材料之組合、一金屬/金屬氮化物堆疊(諸如 Ti/TiN、Ta/TaN、W/WN)或另一類似層。在其他實施例 中,頂部電極106可包含經重摻雜之半導體,諸如n+矽或 P+矽、經重摻雜之鍺或經重摻雜之矽_鍺。可針對頂部電 極106使用其他材料及/或組態。 一 n+ Si底部電極之使用可藉由有效降低用於在一金屬氧 化物(諸如Hf〇2)内形成氧空位之自由能來改良該金屬氧化 物之切換特性。通常,此一n+ si電極係藉由以下步驟來形 成.沈積一非晶或多晶矽n+ Si層,且然後使該n+ Si層退 154789.doc 201145633 火以在於該n+ Si層上方形成一金屬氧化物層之前改良其結 晶度及表面性質。此一退火通常係經由在約750°C之一溫 度下之一快速熱退火(RTA)來達成。然而,當形成一多層3 維記憶體陣列時,對每一記憶體層使用此一高RTA溫度可 能超過該記憶體陣列之熱積存(thermal budget)。 根據本發明之某些實施例,一 MIM堆疊之底部電極可包 含具有(舉例而言)介於約5 atm%至約35 atm%之間的Ge及 約5 X 1019至5 X 1 〇21個原子/cm3(在某些實施例中係約2X丨〇2〇 個原子/cm3)之一n+摻雜濃度之一 siGe合金層。此一 SiGe 合金層可在實質上低於一多晶n+ Si底部電極層之一溫度下 形成,此乃因Ge之存在降低結晶溫度。舉例而言,在某些 實施例中,一多晶SiGe合金層可在約600°C或更低(且在某 些實施例中’約550°C或更低)之一溫度下沈積而無需一額 外高溫退火,以改良該SiGe層之結晶度(相依於所採用之
Ge之atm%)。另一選擇係,一非晶SiGe合金層可在一較低 沈積溫度下沈積且使用約600。(:或更低(且在某些實施例 中,約55(TC或更低)之一 RTA來結晶(相依於所採用之^之 atm0/〇)。 在特定實施例中,具有約5&加。/。至3 5以111。/〇之(56之5丨(^ 底部電極顯著降低沈積及/或結晶退火溫度,同時仍提供 足夠的Si用於金屬氧化物切換層内之氧空位形成。“以底 部電極108之實例性厚度介於自約2奈米至1〇〇奈米之範圍 内。可使用Ge之其他atm。/。、摻雜類型、摻雜位準、退火 溫度及/或層厚度β 1547S9.doc 201145633 在某些實施例中,額外層110可包含(舉例而言)鈦、氧化 欽l 氧化组、鎢、氧化鎢等。在又一實施例中,額外 層I10可包含一金屬/金屬氧化物層堆疊,諸如Ti/TiOx、 Zr/ZrOx > Ni/NiOx ^ Α1/Α1χ〇γ > Ta/TaOx ^ Nb/NbOx > Hf/HfOx或任一適合層堆疊。 圖IB圓解說明圖1A之MIM堆疊l〇〇a(在圖1B中稱為MIM 堆疊100b)之一特定實例性實施例。在圖堆疊 10〇b中,底部電極1〇8係一SiGe合金,RRS材料1〇4係氧化 铪,頂部電極106係氮化鈦,且提供鈦或氧化鈦層作為該 氧化铪切換材料(RRS材料104)與TiN頂部電極1〇6之間的一 緩衝層。舉例而言,底部電極l〇8(SiGe)可具有約2奈米至 1〇〇奈米(在其他實施例中約1〇奈米至6〇奈米,且在某些實 施例中約20奈米)之一厚度。耵或Ή〇χ層110可具有約0.5奈 米至10奈米(且在某些實施例中約2奈米)之一厚度。當採用 Ti〇x時,X可係約丨.2至2,且在某些實施例令約^。氧化 姶層104可具有約3奈米至12奈米(且在某些實施例中約$奈 米)之尽度,其中x係約1.2至2.0且在某些實施例中約 1.7。TiN頂部電極1〇6可具有約2奈米至1〇〇奈米(在其他實 施例中約10奈米至60奈米,且在某些實施例中約2〇奈米) 之一厚度。n+ SiGe底部電極108之摻雜濃度可係約5χ1〇19 至5xl021個原子/cm3且在某些實施例中約2χ1〇2〇個原子km3(具 有約5 atm%至35 atm%iGe)可使用其他膜厚度、X值、
Ge之atm%及/或摻雜濃度。 圖1C圖解說明另一實例性MIM堆疊100c之一剖視圖,嗜 1547S9.doc -9- 201145633 實例性MIM堆疊具有一 SiGe底部電極108、形成於SiGe底 部電極108上面之RRS材料104、形成於RRS材料104上面之 一金屬/金屬氧化物層堆疊11 〇(包含金屬氧化物層11 〇a及金 屬層110b),以及形成於金屬/金屬氧化物層堆疊110上面之 一頂部金屬氮化物電極106。 一般而言,頂部電極106可包含(舉例而言)氮化鈦、氮 化组、氮化鶴、該等材料之組合、一金屬/金屬氮化物堆 疊(諸如Ti/TiN、Ta/TaN、W/WN)或另一類似障壁層。金屬/ 金屬氧化物層堆疊110可包含(舉例而言)Ti/TiOx、 Zr/ZrOx、Ni/NiOx、Al/AlxOY、Ta/TaOx、Nb/NbOx、 Hf/HfOx或另一類似層堆疊。RRS材料104可包含(舉例而 言)HfOx、ZrOx、NiOx、TiOx、TaOx、NbOx 或 AlxOY、此 等金屬氧化物之任一組合、或另一適合切換材料。 在某些實施例中,金屬/金屬氧化物層堆疊110可係由不 同於針對RRS材料104採用之材料之一材料形成。舉例而 言,一 Ti/TiOx層堆疊可與一 HfOx、ΖγΟχ、NiOx、TaOx、 NbOx或AlxOY切換材料一起採用。一Zr/ZrOx層堆疊可與 一 HfOx、NiOx、TiOx、TaOx、NbOx 或 AlxOY 切換材料一 起使用。一 Ni/NiOx 層堆疊可與一 HfOx、ZrOx、TiOx、 TaOx、NbOx或AlxOY切換材料一起使用。一 A1/A1X0Y層堆 疊可與一HfOx、ZrOx、NiOx、TiOx、TaOx 或 NbOx 切換材 料一起採用。一 Ta/TaOx層堆疊可與一 HfOx、TiOx、 ZrOx、Ni〇x、Nb〇x或ΑΙχΟγ切換材料一起採用。一 Nb/NbOx層堆疊可與一 HfOx、TiOx、ZrOx、NiOx、TaOx 154789.doc -10- 201145633 或AlxOY切換材料一起採用。一 Hf/Hf〇x層堆疊可與一 NbOx、TiOx、ZrOx、NiOx、TaOx 或 AlxCM;:;換材料—起 採用β 在其他實施例中’金屬/金屬氧化物層堆疊u 〇可係由類 似於針對RRS材料1〇4採用之材料之一材料形成。舉例而 言,一 Ti/TiOx層堆疊可與一 ^(^切換層一起採用。然 而,在此等實施例中,與該切換材料之結晶結構或其他性 質相比,該層堆疊之金屬氧化物可具有一不同的結晶結構 或其他性質(例如,非晶結構對結晶結構)。金屬/金屬氧化 物層堆疊110之該金屬氧化物層可用作一「緩衝」層,其 允許該切換材料内之氧空位形成/消除更可控制及/或可重 複’此可改良切換材料1 04之耐久性/壽命。 圖1D圖解說明MIM堆疊1〇〇c(在圖1D中稱為MIM堆疊 io〇d)之一特定實例性實施例,其中頂部電極1〇6係氮化 鈦,金屬/金屬氧化物層堆疊110係氧化鈦上鈦,RRS材料 04係氧化給且底部電極係n+ ^舉例而言,頂部 電極106(ΤιΝ)可具有約1〇奈米至6〇奈米(且在某些實施例中 、勺20奈米)之一厚度。Ti層11 〇b可具有約〇.5奈米至1〇奈米 (且在某些實施例中約2奈米)之一厚度。Ti〇x層ll〇a可具有 、力〇·5奈米至6奈米(且在某些實施例中約丨奈米)之一厚度; 且X可係約1.2至2.0且在某些實施例中約15。氧化铪層1〇4 可具有約3奈米至12奈米(且在某些實施例中約5奈米)之一 厚度,且X可係約1.2至2且在某些實施例中約17。n+ siGe 層1〇8可具有約i〇奈米至1〇〇奈米(且在某些實施例中約2〇 I54789.doc -11- 201145633 奈米)之一厚度。n+ SiGe層106之摻雜濃度可係約5χ1〇19至 5χ1〇2〗個原子/cm3且在某些實施例中約2χ1〇2〇個原子/咖3(具 有約5 atm。/。至35 atm%之Ge^可使用其他膜厚度、X值、 Ge之atm%及/或摻雜濃度。 具有多層矽化物底部電極之MIM堆曼 根據本發明之某些實施例’提供採用由兩個或更多個石夕 化物層組成之底部電極之MIM堆疊。舉例而言,圖2A圖解 說明一 MIM堆疊200a,其包含夾在一頂部電極ι〇6與一底 部電極108之間的一RRS材料104,該底部電極1〇8包含— 第一金屬矽化物層108a及一第二金屬矽化物層1〇8b。如下 文進一步闡述’可在MIM堆疊200a内採用一個或多個額外 層11 〇(諸如一金屬層、一金屬氧化物層、一金屬/金屬氧化 物層堆疊或諸如此類)。 RRS材料104可包含(舉例而言)HfOx、ZrOx、Ni〇x、 TiOx、TaOx、NbOx、Α1χΟγ、另一金屬氧化物(m〇x)層或 另一適合切換材料。在某些實施例中,頂部電極1 〇6可包 含氮化鈦、氮化组、氮化鎢、該等材料之組合、一金屬/ 金屬氮化物堆疊(諸如Ti/TiN、Ta/TaN、W/WN)或另一類似 層。在其他實施例中,頂部電極106可包含經重摻雜之半 導體(諸如n+石夕或p +石夕)、經重掺雜之緒或經重橹雜之石夕_ 鍺。可針對頂部電極106使用其他材料及/或組態。 如所述’一 n+ Si底部電極之使用可藉由有效降低用於在 一金屬氧化物(諸如Hf〇2)内形成氧空位之自由能來改良該 金屬氧化物之切換特性。然而’一 n+ Si電極之使用通常需 154789.doc •12- 201145633 要一相對高溫度退火(例如,約750。〇以在於該n+ si層上 方形成一金屬氧化物層之前改良該n+ Si電極之結晶度及表 面性質。當形成一多層3維記憶體陣列時,對每一記憶體 層使用此一高溫度退火可能超過該記憶體陣列之熱積存。 根據本發明之實施例,底部電極1 〇8可包含兩個或更多 個金屬矽化物層《在某些實施例中,相依於所採用之矽化 物之類型,可在低於750。(:(諸如60(TC或更低,且在某些 實施例中,約500。(:至550°C或更低)之一溫度下形成此等 金屬石夕化物層中之一者或多者。舉例而言,相依於諸如C〇 或Νι原子與si原子之比率之因素,矽化鈷通常可在約 3〇〇°C至800°C之溫度下形成且矽化鎳通常可在約400它至 8〇〇°C之溫度下形成。矽化鈦及矽化鎢通常需要較高形成 溫度’針對矽化鈦介於自約5〇〇°c至90CTC之範圍内且針對 石夕化鎢約1 〇〇〇。(:或更高。在任一情形下,金屬矽化物之使 用仍可提供足夠的Si用於氧空位形成。 可用於第一金屬矽化物層1〇83及/或第二金屬矽化物層 108b之實例性材料包含矽化鈦、矽化鈕、矽化鎢、矽化 錄、石夕化鈷或矽化鉬,但可使用其他金屬矽化物。在某些 實施例中,下部(第一)金屬矽化物層1〇8a可在比上部(第 二)金屬矽化物層1 〇8b低之一溫度下形成。在此等例項 中’下部金屬矽化物層l〇8a可用作上部金屬矽化物層l〇8b 之一結晶晶種層或「模板」,從而允許在一較低溫度下形 成上部金屬矽化物層i 〇8b。舉例而言,第一金屬矽化物層 108a可包含矽化鎳或矽化鈷而第二金屬矽化物層1〇8b可包 154789.doc •13· 201145633 含石夕化欽。另一選擇係,第一金屬矽化物層1〇8&可包含矽 化欽且第二金屬矽化物層丨08b可包含矽化鎢。可使用矽化 物層之其他組合。 第一金屬石夕化物層1083及/或第二金屬矽化物層108b之 實例性厚度介於自約2奈米至約5 〇奈米之範圍内。可針對 任一層或兩個層使用其他厚度。雖然圖2A中展示兩個金屬 石夕化物層’但應理解,可採用多於兩個金屬矽化物層(例 如,3個、4個、5個等)。 在某些實施例中,額外層110可包含(舉例而言)鈦、氧化 鈦、钽、氧化钽、鎢、氧化鎢等。在又一實施例中,額外 層11〇可包含一金屬/金屬氧化物層堆疊,諸如Ti/Ti〇x、
Zr/ZrOx、Ni/NiOx、Al/AIx〇Y、Ta/TaOx、Nb/NbOx、
Hf/Hf〇X或任一適合層堆疊。 圖2B圖解說明圖2A之MIM堆疊2〇〇a(在圖2B中稱為mIM 堆疊200b)之一特定實例性實施例。在圖2B之MIM堆疊 200b中’底部電極108係一多層金屬矽化物堆疊,RRS材 料104係氧化給’頂部電極1 〇6係氮化鈦,且提供鈦或氧化 鈦層作為在氧化铪切換材料(RRS材料1〇4)與TiN頂部電極 106之間的一緩衝層。作為一實例,底部電極1〇8之每一金 屬矽化物層l〇8a或108b可具有約2奈米至5〇奈米(在其他實 施例中約5奈米至25奈米,且在某些實施例中約2〇奈米)之 一厚度。Ti或TiOx層11〇可具有約〇.5奈米至10奈米(且在某 些實施例中約2奈米)之一厚度。當採用Ti〇x時,χ可係約 1.2至2 ’且在某些實施例中約1.5。氧化姶層104可具有約3 154789.doc •14· 201145633 奈米至12奈米(且在某些實施例中約5奈米)之一厚度,其中 X係約1_2至2.0且在某些實施例中約17。TiN頂部電極106 可具有約2奈米至1〇〇奈米(在其他實施例中約1〇奈米至6〇 奈米’且在某些實施例中約20奈米)之一厚度。可使用其 他膜厚度、X值及/或摻雜濃度。 圖2C圖解說明另一實例性mim堆疊200c之一剖視圖,該 貫例性MIM堆疊具有一多層矽化物底部電極丨〇8、形成於 底部電極108上面之RRS材料104、形成於rRS材料ι〇4上面 之一金屬/金屬氧化物層堆疊11〇(包含金屬氧化物層11〇&及 金屬層110b)及形成於金屬/金屬氧化物層堆疊110上面之一 頂部金屬氛化物電極1 〇6。 一般而言’頂部電極106可包含(舉例而言)氮化鈦、氮 化组、氮化鎢、該等材料之組合、一金屬/金屬氮化物堆 疊(諸如Ti/TiN、Ta/TaN、W/WN)或另一類似障壁層。金屬/ 金屬氧化物層堆疊11〇可包含(舉例而言)Ti/Ti〇x、
Zr/ZrOx、Ni/NiOx ' A1/A1X0Y、Ta/TaOx、Nb/NbOx、
Hf/HfOx或另一類似層堆疊。rrs材料104可包含(舉例而 言)HfOx、ZrOx、Ni〇x、TiOx、TaOx、NbOx 或 Alx〇Y 或另 一適合切換材料。 在某些實施例中,金屬/金屬氧化物層堆疊11〇可係由不 同於針對RRS材料104採用之材料之一材料形成。舉例而 言,一 Ti/Ti〇x層堆疊可與一 Hf〇x、Zr〇x、Ni〇x、Ta〇x、
NbOx或AlxOY切換材料一起採用。一Zr/Zr〇x層堆疊可與 一 HfOx、NiOx、Ti〇x、Ta〇x、Nb〇x 或 AlxCM;?換材料一 154789.doc •15- 201145633 起使用。一 Ni/NiOx層堆疊可與一 HfOx、ZrOx、Ti〇x、 TaOx、NbOx或Α1χΟγ切換材料一起使用。一 a1/A1xOy層堆 疊可與一 HfOx、ZrOx、NiOx、TiOx、TaOx 或 NbOx切換材 料一起採用。一 Ta/TaOx層堆疊可與一 HfOx、TiOx、 ZrOx、NiOx、NbOx或A1X0Y切換材料一起採用。一 Nb/NbOx層堆疊可與一 HfOx、TiOx、ZrOx、NiOx、TaOx 或AlxOY切換材料一起採用。一 Hf/HfOx層堆疊可與一 NbOx、TiOx、ZrOx、NiOx、TaOx 或 Α1χ0γ 切換材料一起 採用。 在其他實施例中’金屬/金屬氧化物層堆疊11 〇可係由類 似於針對RRS材料104採用之材料之一材料形成。舉例而 言,一 Ti/TiOx層堆疊可與一 Τί0χ切換層一起採用。然 而’在此等實施例中,與該切換材料之結晶結構或其他性 質相比’該層堆疊之金屬氧化物可具有一不同的結晶結構 或其他性質(例如,非晶結構對結晶結構)。 圖2D圖解說明MIM堆疊200c(在圖2D中稱為MIM堆疊 200d)之一特定實例性實施例’其中頂部電極1〇6係氮化 鈦’金屬/金屬氧化物層堆疊11 〇係氧化鈦上鈦,RRS材料 104係氧化铪且底部電極} 〇8係一多層金屬矽化物堆疊。舉 例而言,頂部電極l〇6(TiN)可具有約1〇奈米至6〇奈米(且在 某些實施例中約20奈米)之一厚度。丁丨層11〇1)可具有約〇 5 奈米至10奈米(且在某些實施例中約2奈米)之一厚度。 ΤιΟχ層1 l〇a可具有約〇 5奈米至6奈米(且在某些實施例中約 1奈米)之一厚度;且X可係約1.2至2 〇且在某些實施例中約 154789.doc -16- 201145633 U。氧化姶層104可具有約3奈米至12奈米(且在某些實施 例中約5奈米)之一厚度;且X可係約1.2至2且在某些實施例 中約1.7。每一金屬矽化物l〇8a或108b可具有約2奈米至50 奈米(且在某些實施例中約25奈米)之一厚度。可使用其他 膜厚度、X值及/或摻雜濃度。 圖2Ε圖解說明圖2Α之ΜΙΜ堆疊200a(在圖2Ε中稱為ΜΙΜ 堆疊200e)之一替代實例性實施例。圖2E之MIM堆疊200e 類似於圖2A之ΜΙΜ堆疊200a,但包含位於RRS材料104與 多層金屬矽化物層堆疊108之間的一額外金屬(M)、金屬氮 化物(MNX)或金屬氧化物(MOx)層212(且其可形成底部電 極108之部分)。在某些實施例中,金屬、金屬氮化物或金 屬氧化物層212可包含石夕、氮化石夕或氧化石夕、鋁、氮化铭 或氧化紹、鑭、氮化鋼或氧化鋼、翻、氮化翻或氧化钥、 叙、氮化钽或氧化钽、鉻、氮化鉻或氧化鉻、姶、氮化铪 或氧化給、銳、氮化銳或氧化銳、飢、氮化鈒或氧化鈒、 鍅’或氮化锆或氧化锆中之一者或多者。在其他實施例 中’可使用一合金(諸如n+ SiGe)作為金屬、金屬氮化物或 金屬氧化物層212。亦可採用其他材料。 某些金屬可藉由使氧空位形成更積極有利從而有效減少 在該等金屬氧化物内形成氧空位之吉布斯(Gibbs)自由能來 促進金屬氧化物令之氧空位形成。舉例而言,參見
Roberston metal gate stacks」(Appl· Phys. Letters 91,132912 (2007)) ’其闡述在一 Hf〇2閘極氧化物/Si通道系統中之氧 154789.doc •17· 201145633 空位形成。 在本發明之某些實施例中,額外金屬、金屬氮化物或金 屬氧化物層212可經選擇以便具有每0介於約-3 eV與-6 eV 之間的一 Gibbs形成自由能(Gibbs free energy of formation) 以便促進在金屬氧化物RRS材料104内之氧空位形成。可 係適用之實例性金屬包含(舉例而言)Yb、Tb、Y、So、 La、Hf ' Mg ' Zr、Ta ' Nb、V、Zn、W、Mo、Ti、A1、 Cr、Si、Ni、Re、Co、Cu、Ru、Rh、Pd及 Ir。此等金屬 之金屬氮化物(或金屬氧化物)可使得在電阻率切換金屬氧 化物内之氧空位形成更積極有利。因此,在某些實施例 中,額外金屬、金屬氮化物或金屬氧化物層212可由上文 所列之材料中之一個或多個金屬、金屬氮化物或金屬氧化 物或具有每Ο介於約-3 eV與-6 eV之間的一 Gibbs形成自由 能之任何其他材料形成。 金屬、金屬氮化物或金屬氧化物層212之實例性厚度可 介於自約10埃至約100埃之範圍内。可使用其他厚度。 圖2F至2H圖解說明類似於圖2B至圖2D之MIM堆疊200b 至200d但包含金屬、金屬氮化物或金屬氧化物層212之 MIM堆疊 200f至 200h。 額外MIM堆疊實施例 圖3A至圖3D圖解說明圖2E至圖2H之MIM堆疊200e至 20011(在圖3六至圖30中稱為]\411^堆疊3 0(^至3 00(1)之替代實 施例,其中在不具有第一金屬矽化物層108a及第二金屬石夕 化物層l〇8b之情形下將一金屬、金屬氮化物或金屬氧化物 154789.doc -18- 201145633 層(諸如金屬、金屬氮化物或金屬氧化物層212)用作底部電 極1〇卜在某些實施例中’金屬、金屬氮化物或金屬氧化 物底部電極108可包含矽、氮化矽或氧化矽、鋁、氮化鋁 或氧化鋁、鑭、氮化鑭或氧化鑭、鉬、氮化鉬或氧化鉬、 鈕、氮化钽或氧化钽、鉻、氮化鉻或氧化鉻、铪' 氮化铪 或氧化铪、鈮 '氮化鈮或氧化鈮、釩、氮化釩或氧化釩、 鍅或氮化鍅或氧化锆中之一者或多者。亦可採用其他材 料。 如上文所闡述,金屬、金屬氮化物或金屬氧化物底部電 極108可經選擇以促進在金屬氧化物切換層1〇4中之氧空位 形成。舉例而言,金屬、金屬氮化物或金屬氧化物底部電 極108可經選擇以便具有每〇介於約ev與_6 ev之間的一 Gibbs形成自由能。可歸屬於此範圍内之實例性金屬包含 (舉例而呂)Yb、Tb、Y、So、La、Hf、Mg、Zr、Ta、Nb、 V、Zn、W、Mo、Ti、A1、Cr、Si、Ni、Re、Co、Cu、
Ru、Rh、Pd及Ir。此等金屬之金屬氮化物(或金屬氧化物) 可類似地使得在電阻率切換金屬氧化物内之氧空位形成更 積極有利。因此,在某些實施例中,金屬、金屬氮化物或 金屬氧化物底部電極108可由上文所列之材料中之一個或 多個金屬、金屬氮化物或金屬氧化物或具有每〇介於約_3 eV與-6 eV之間的一 Gibbs形成自由能之任何其他材料形 成。 金屬、金屬氮化物或金屬氧化物底部電極108之實例性 厚度可介於自約10埃至約1〇〇埃之範圍内。可使用其他厚 154789.doc •19· 201145633 度。在一項特定實施例中,金屬、金屬氮化物或金屬氧化 物底部電極可包含鉻、氮化鉻、铪、氮化铪、鈮、氮 化鈮、釩、氮化釩、锆或氮化鍅。 實例性發明性記憶體單元 圖4A係根據本發明之一實例性記憶體單元4〇〇之一示意 性圖解說明。記憶體單元400包含搞合至一引導元件404之 MIM堆疊402。MIM堆疊402包含具有可在兩個或更多個狀 態之間可逆地切換之一電阻率之RRS材料丨〇4(未單獨展 示)’且可類似於先前參考圖1A至圖3D所闡述之MIM堆疊 中之任一者。 引導το件404可包含一薄膜電晶體、二極體、一金屬·絕 緣體·金屬隧穿電流裝置、一穿通二極體、一肖特基 (Schottky)二極體或藉由選擇性地限制跨越MIM堆疊4〇2之 電壓及/或流過MIM堆疊402之電流而展現非歐姆傳導之另 一類似引導元件。以此方式,記憶體單元4〇〇可用作二維 或二維記憶體陣列之部分且可在不影響該陣列中其他記憶 體單元狀態之情形下將資料寫入至記憶體單元4〇〇及/或自 記憶體單元400讀取資料。在某些實施例中,可省略引導 元件404,且記憶體單元4〇〇可與一遠端定位引導元件—起 使用* 記憶體單元及記憶體陣列之實例性實施例 圖4B係根據本發明之記憶體單元4GG(其中引導元件4〇4 係-極體)《實例性實施例之—簡化透視圖。記憶體單 元400〇 3 MIM堆叠402(具有狀8材料1〇4),該MIM堆疊與 154789.doc •20· 201145633 一極體404串聯耦合於一第一導體4〇6a與一第二導體4〇6b 之間。 如上文參考圖1A至圖3D所闡述,MIM堆疊402可用作記 憶體單元400之一可逆電阻切換元件。MIM堆疊4〇2可類似 於圖1A至3D之MIM堆疊中之任一者,或任一其他適合 MIM堆疊,且可包含一頂部導電層1〇6及一底部導電層 108,該頂部導電層及該底部導電層圍繞RRS材料1〇4且用 作MIM堆疊402之頂部電極及底部電極.如先前所闡述, 可在MIM堆疊402内採用一個或多個額外層11〇,諸如一金 屬層 '一金屬氧化物層、一金屬/金屬氧化物層堆疊或諸 如此類。 在某些實施例中,可在MIM堆疊402與二極體404之間形 成一障壁層408,且可在MIM堆疊402與第二導體406b之間 形成一障壁層410。可在二極體4〇4與第一導體4〇6a之間形 成一額外障壁層412。障壁層408、41〇及412可包含鈦、氮 化鈦、鈕、氮化鈕、鎢、氮化鎢、鉬、該等材料之組合或 另一類似障壁層。障壁層41〇可與第二導體4〇6b分離或可 係第二導體406b之部分,且障壁層412可與第一導體4〇6a 分離或可係第一導體406a之部分。 二極體404可包含任一適合二極體,諸如一垂直多晶 或p-i-n二極體(或是該二極體之一 n區位於一 p區上面之上 才曰或疋该一極體之一 ρ區位於一 η區上面之下指)、一 ρ_η·ρ 或η-ρ-η穿通二極體、一宵特基二極體或諸如此類。下文參 考圖5Α至圖5Η闡述二極體2〇4之實例性實施例。 154789.doc -21· 201145633 在圖4B之實施例中,miM堆疊402位於二極體404上面。 然而’如圖4C中所示,另一選擇係,MIM堆疊402可位於 二極體404下面。 第一導體406a及/或第二導體406b可包含任一適合導電 材料’諸如鎢、任一適當金屬、經重摻雜之半導體材料、 一導電石夕化物、一導電矽化物-鍺化物、一導電鍺化物、 一高導電碳或諸如此類。在圖4B之實施例中,第一導體 406a及第二導體406b分別係線形或執道形,且沿不同方向 延伸(例如’大致彼此垂直)。可使用其他導體形狀及/或組 態《在某些實施例中,障壁層、黏合層、抗反射塗層及/ 或諸如此類(未展示)可與第一導體4〇63及/或第二導體4〇6b 一起使用以改良裝置效能及/或幫助裝置製作。 圖4D係由複數個記憶體單元4〇〇(諸如,圖4A或圖化之 記憶體單元400)形成之一第一記憶體層級414之一部分之 一簡化透視圖。為簡單起見,未單獨展示RRS材料1〇4、 導電層106及108、 額外層110、二極體404及障壁層408、
子線(第一導體406a)(如圖所示)(> 可使用其他記憶體陣列 組態’如可使用多個記憶體層級。
及420各自包含成一 丄維記憶體陣列包含定位於一第二記憶 第一記憶體層級418。記憶體層級418 交又點陣列之複數個記憶體單元400。 I54789.doc -22- 201145633 熟習此項技術者應理解,額外層(例如,一層間電介質)可 存在於第-記憶體層級418與第二記憶體層級42〇之間,作 為簡單起見在圖㈣未展示。可使用其他記憶體陣列組 態,如可使用額外記憶體層級。 在圖4E之實施财,當在每—記憶體單元内採用— 雙極引導元件(諸如-Η-η二極體)時,所有二極體可 「指」向相同方向(具有相同「引導元件」極性定向),諸 如相依於是採用在該等二極體之底部還是頂部上具有_ρ
摻雜區之p-i-n二極體而向上赤A 菔门上次向下,從而簡化二極體製 作0 在某些實施例中,可如Mg「High_DensityThree_
Dimensional Memory Cell」之美國專利第6 952,⑽號中所 闡述來形成該等記憶體層級,出於各種目的將該專利以全 文引用之方式併入本文中。舉例而言,一第一記憶體層級 之第二(頂部)導體可用作位於該第—記憶體層級上面之一 第二記憶體層級之第-(底部)導體’如圖4F中所示。在此 等實施例中’在毗鄰記憶體層級上之二極體較佳地指向相 反方向,如2007年3月27日提出申請且標題為「Large Array Of Upward Pointing p+N 叫仏心㈣[叫編 Uniform Current」之美國專利申請案序列號第丨丨/692,^ 唬(151申請案」)中所闡述,出於各種目的將該專利申 «月案以全文引用之方式併入本文中。舉例而言,如圖4F中 之5己憶體陣列416b中所示,第一記憶體層級418之二極體 可係如箭頭D1所指示之上指二極體(例如,其中位於該 -23. 154789.doc 201145633 等二極體底部)’而第二記憶體層級420之二極體可係如箭 頭D2所指示之下指二極體(例如,其中η區位於該等二極體 底部),或反之亦然。 在其中在記憶體層級之間共享導體之實施例中(如在圖 4F中)’若ΜΙΜ堆疊402係雙極的,則ΜΙΜ堆疊402可經配 置以在一記憶體層級内具有相同電壓極性定向,而在毗鄰 記憶體層級之間具有相反電壓極性定向。舉例而言,第一 記憶體層級41 8之ΜΙΜ堆疊402可經正定向而第二記憶體層 級420之ΜΙΜ堆疊402可經負定向,或反之亦然。在某些實 施例中,二極體404可經定向以在ΜΙΜ堆疊402之設定操作 期間受到反向偏壓。另一選擇係,二極體404可經定向以 在ΜΙΜ堆疊402之設定操作期間受到正向偏壓。 一單體式二維s己憶體陣列係其中於一單個基板(諸如, 一晶圓)上面形成多個記憶體層級而無介入基板之一個記 憶體陣列。將形成一個記憶體層級之層直接沈積或生長於 一個或多個現有層級之層上方。相反,如在Leedy之標題 為「Three Dimensional Structure Memory」之美國專利第 5,915,167號中,已藉由在單獨基板上形成記憶體層級並將 該等記憶體層級彼此疊加黏合來建構堆疊記憶體。可在接 合之前使該等基板變薄或自該等記憶體層級移除’但由於 該等記憶體層級最初形成於單獨基板上方,因此此等記憶 體並非真正的單體式三維記憶體陣列。 實例性堆疊記憶體單元 圖5A係根據本發明所提供之一第一記憶體單元5〇〇&之一 154789.doc •24· 201145633 剖視圖。如圖4D至圖4F中所闡述,可在每一記憶體層級處 提供額外記憶體單元(未展示)。 參考圖5A,記憶體單元500a包含一 MIM堆疊502a,該 MIM堆疊與二極體504a串聯耦合於位元線506a與字線506b 之間。MIM堆疊502a具有一正極性定向以使得可採用相對 於位元線506a施加至字線506b之一正電壓來設定MIM堆疊 502a。二極體504a經定向以便在此一設定搡作期間受到反 向偏壓。在其他實施例中,二極體504a可經定向以在對 MIN堆疊502a執行一設定操作之同時受到正向偏壓。 MIM堆疊502a可包含先前所闡述之MIM堆疊中之任一 者,或任何其他適合MIM堆疊。在圖5A中,MIM堆疊502a 係展示為類似於MIM堆疊100d(圖ID)。 二極體504a可包含任一雙端子非線性引導元件,諸如一 ρ-η或p-i-n接面二極體、一穿通二極體、一隧穿氧化物裝 置、一肖特基二極體,或諸如此類。在圖5A中,二極體 504a係展示為一p-i-n接面二極體。 參考圖5A,記憶體單元500a包含位元線506a。位元線 506a可係約200埃至約2500埃之任一適合導電材料,諸如 鎢或另一適當金屬、經重摻雜之半導體材料、一導電矽化 物、一導電碎化物-鍺化物、一導電鍺化物或諸如此類。 在某些實施例中,可形成複數個位元線506a(舉例而言, 參見圖4D至圖4F)作為大致平行、大致共面之位元線 506a。位元線506a之實例性寬度及/或位元線506a之間的間 距介於自約200埃至約2500埃之範圍内,但可使用其他導 154789.doc -25- 201145633 體寬度及/或間距。位元線506a可藉由電介質材料(未展 不)(諸如一氧化矽、氮化矽、氮氧化矽、低K電介質等及/ 或其他電介質材料)而彼此分離。 在位元線506a上方形成障壁層512。障壁層512可係約2〇 埃至約5GG埃(且在某些實施例令約⑽埃)之氮化欽或另一 適合障壁層’諸如氮化钽、氮化鎢、鎢、鉬、一個或多個 障壁層之組合、與其他層組合之障壁層(諸如欽/氣化欽、 鈕/氮化组或嫣/氮化鶴堆疊)或諸如此類。可採用其他障壁 層材料及/或厚度。 。在障壁層512上方形成用於形成二極體5〇4a之半導體材 料。在圖5A之實施例中,三極體5〇4a係由一多晶半導體材 料(諸如多晶矽、一多晶矽·鍺合金、多晶鍺或任何其他適 合材料)形成。舉例而言’可在障壁層512上沈積—經重摻 雜之非晶或多晶p +矽層504“。可採用CVD或另—適合製 程沈積P+石夕層504a]。在至少一項實施财,p+石夕層5〇4a_ ι 可係(舉例而5 )由約1〇〇埃至約1〇〇〇埃(在某些實施例中約 UK)埃)之p+石夕以約1〇21 cm-3之一摻雜濃度形成。可使用其 他層厚度及/或摻雜濃度。P +矽層5〇4“可(舉例而言)藉由 在沈積期間流動一受體氣體來就地摻雜,或(舉例而言)經 由植入來異地摻雜。 在沈積Ρ+石夕層504W之後,可在Ρ +石夕層5〇4al上方形成 -經輕摻雜、本質及/或非故意摻雜之非晶或多晶矽層 5〇4a-2。可採用CVD或另一適合沈積方法來沈積本質矽層 504a-2e在至少一項實施例中’本質矽層5〇仏2在厚度上 154789.doc •26- 201145633 可係約500埃至約4800埃,在某些實施例中,約25〇〇埃。 可使用其他本質層厚度。 可沈積並藉由離子植入來摻雜額外矽或在沈積期間就地 摻雜額外矽以形成一 n+矽層504a-3。此外,在某些實施例 中,可採用一擴散製程。在至少一項實施例中,所得n+矽 層504a-3可具有約1〇〇埃至約1000埃(在某些實施例中約1〇〇 埃)之一厚度,及約102丨cm·3之一摻雜濃度。可使用其他層 厚度及/或掺雜濃度。 在形成n+矽層504a-3之後’可將矽化物形成金屬層堆疊 508沈積於n+矽層5〇乜_3上方。實例性矽化物形成金屬包 3賤鍵或以其他方式沈積之欽或姑。在某些實施例中,石夕 化物形成金屬層堆疊508係由約1奈米至4奈米之鈦及約15 奈米至25奈米之氮化鈦形成。可使用其他矽化物形成金屬 層材料及/或厚度。 可執行一快速熱退火(「RTA」)步驟以藉由矽化物形成 金屬(諸如Ti)與n+區5〇4a-3之反應來形成矽化物區。在某 些實施例中,該RTA可在約54(TC下執行約1分鐘,以致使 矽化物形成金屬與二極體5〇4a之所沈積矽相互作用而形成 石夕化物層’從而消耗該矽化物形成金屬之全部或一部分。 在其他實施例中,可藉由濺鍍矽化物目標或藉由共濺鍍 石夕化物形成金屬與矽來形成矽化物層。 如標題為「Memory Cell Comprising a Semic〇nduct〇r
Junction Diode Crystallized Adjacent To A Silicide」之美 國專利第7,17 6,0 6 4號(出於各種目的將該專利以全文引用 154789.doc -27- 201145633 之方式併入本文中)中所闡述,矽化物形成材料(諸如鈦及/ 或鈷)與所沈積矽在退火期間進行反應以形成矽化物層。 矽化鈦與矽化鈷之晶格間距接近於矽之晶格間距,且此等 矽化物層看似可在毗鄰之所沈積矽結晶時用作該所沈積矽 之「結晶模板」或「晶種」(例如,矽化物層可在退火期 間增強矽二極體504a之結晶結構)。藉此提供較低電阻率 之矽。對於矽-鍺合金及/或鍺二極體而言,可達成類似結 果。 。 在形成金屬層堆疊508之後,可形成MIM堆疊5〇2a之底 部電極108。在某些實施例中,底部電極1〇8可包含一以以 合金層,該SiGe合金層具有(舉例而言)介於約5 atm%至約 35 atm%之間的Ge及約5><1〇丨9至5χ1〇2丨個原子/cm3(且在某 些實施例中約2χ1〇2。個原子/cm3)之一n+摻雜濃度。如所 述,具有約5 atm%至35以„1%之(^之幻(^底部電極顯著降 低結晶退火溫度,同時仍提供足夠的Si用於氧空位形成。 在某些實施例中,可採用低溫製程(諸如低壓化學氣相沈 積(LPCVD)或電漿增強化學氣相沈積(pECVD))形成底 部電極108。可形成(結晶)8丨(^底部電極1〇8之實例性溫度 範圍係600°C或更低,且在某些實施例中係55〇。(:或更低。 SiGe底部電極1〇8之實例性厚度介於自約2奈米至1〇〇奈米 之範圍内。在其他實施例中可使用Ge之其他atm%、摻雜 類型、摻雜位準、形成溫度及/或層厚度。 在形成底部電極108之後,可藉由原子層沈積(ALD)或另 一適合方法來形成RRS材料1〇4。舉例而言,RRS材料1〇4 154789.doc •28· 201145633 可包含 Hf〇x、ΖΓ〇χ、Ni〇x、聊、Ta〇x、獅乂、 Alx〇Y、此等金屬氧化物中之—者或多者之組合、或另_ 適合切換材料。在圖5A之實施例中,RRS材料ι〇4可包含 具有約3奈米至12奈米(且在某些實施例中約5奈米)之一厚 度之HfOx,其中x係約h2至2 〇且在某些實施例中約} 7。 可使用其他厚度範圍及/或X值。 在形成RRS材料104之後,可形成一金屬/金屬氧化物層 堆疊110 °金屬/金屬氧化物層堆4 11〇可包含(舉例而 言)Ti/Ti〇x、Zr/Zr〇x、Ni/Ni〇x、Α1/Α1χ〇γ、Ta/Ta〇x、
Nb/NbOx、Hf/Hf〇x或3 一類似層堆^。在所示實施例 中’金屬/金屬氧化物層堆疊11Q可包含具有約Q5奈米至ι〇 奈米(且在某些實施例中約2奈米)之一厚度之TWU〇b,及 具有約〇·5奈米至6奈米(且在某些實_中約ι奈幻之一厚 度之聊層110a ;且父可係約L2至2 〇且在某些實施例中纟$ 1.5。可使用其他厚度及/或X值。 舉例而言,可藉由將一Ti層沈積於1^〇)(層1〇4上方且然 後氧化該Ti以形成Ti〇w 110a來形成Τί〇χ層n〇a。舉例而 言,可經由PVD沈積-Ti層且然後在用於形成Hf〇x層 1〇4(例如,藉由不使财母體流動)之相同ald室内將該 加以氧化。然後可在Ti〇x層ll〇a上形成丁丨層1101^ 在Ti層lU)b上方形成頂部電極1〇6。舉例而言,頂部電 極1〇6可包含氮化鈦、氮化組、氮化鶴、該等材料之組 合、一金屬/金屬氮化物堆疊(諸如Ti/TiN ' τ&/τ^、 W/WN)或另一類似障壁層。在所示之實施例中,頂部電極 154789.doc -29· 201145633 106可包含約ίο奈米至60奈米(且在某些實施例中約2〇奈米) 之TiN。可使用其他層厚度。在某些實施例中,“&層 108、HfOx層 104、TiOx層 ll〇a、Ti層 110b及 /或 TiN層 1〇6 可係在一單個叢集工具(single cluster tool)(例如,不破壞 真空)中形成以改良各種層之間的介接。 為將上文所闡述之MIM堆疊及二極體層蝕刻成一柱狀結 構514(如圖4B至圖4F中所示),可使用任一適合蝕刻製 程。在某些實施例中,可採用一硬遮罩製程如下: (1) 在頂部TiN電極1〇6上方沈積一金屬硬遮罩,諸如約 500埃至1 000埃之w ; (2) 在該金屬硬遮罩上方沈積氧化物硬遮罩,諸如約 1000 埃至 2000 埃之 Six〇Y ; (3) 在該氧化物硬遮罩上方沈積一多晶矽硬遮罩,諸如 約500埃至2000埃之多晶矽;及 (4) 在該多晶矽硬遮罩上方沈積光阻劑,諸如約1〇〇〇埃 至3 0 0 0埃之光阻劑。 然後可將該光阻劑曝光並顯影,且可在一適合之高密度 電漿蝕刻室中使用(舉例而言)HBr、Ch、〇2&^lHe來蝕刻 該多晶矽硬遮罩層。在剝除(灰化)該光阻劑之後,可在一 適合之中等密度電漿蝕刻室中使用(舉例而言)及 Ar透過5玄經圖案化及經蝕刻之多晶矽硬遮罩來蝕刻該氧化 物硬遮罩。然後可在一適合之高密度電漿蝕刻室中使用 (舉例而s )NF3、Ar、N2、eh、He及/或〇2透過該經圖案化 及經蝕刻之氧化物硬遮罩來蝕刻該金屬硬遮罩。 154789.doc 201145633 此後,可使用(舉例而言)HBr、(:12及/或He來蝕刻TiN頂 部電極106 ;可使用(舉例而言)Cf4、ci2、He及/或N2來蝕 刻Ti/TiOx金屬層堆疊;π〇 ;可使用(舉例而言)HBr、ci2、 He及/或N2來蝕刻HfOx RRS材料1〇4 ;可使用(舉例而 s )HBr、Cl2、He、〇2及/或n2來钮刻n+ SiGe底部電極 108,可使用(舉例而言)HBr、Cl2、及/或He來钱刻Ti/TiN 層堆疊508 ;可使用(舉例而言)HBr、Cl2、以、〇2及/或乂 來蝕刻多晶矽二極體504a ;且可使用(舉例而言)HBr、Cl2 及/或He來蝕刻TiN層512。舉例而言,可在一適合之高密 度電漿餘刻至中執行所有此等蚀刻製程。可採用其他蚀刻 化學過程及/或製程。 所得柱狀結構514可由一適合電介質圍繞以使其與在相 同記憶體層級上之其他類似柱狀結構(未展示)隔離。舉例 而言,可沈積大約200埃至7000埃之二氧化矽並使用化學 機械拋光或一回蝕製程將其平坦化以移除過量電介質材料 並形成用以接納字線5〇6b之一平坦表面。此外,在二氧化 矽沈積之前可沈積諸如約5 〇埃至2 〇 〇埃之一薄氮化矽襯 整。 字線506b可係由任一適合導電材料(諸如藉由任一適合 方法(例如,CVD、PVD等)沈積之鶴、另一適合金屬、經 重摻雜之半導體材料、一導電矽化物、一導電矽化物-鍺 化物、—導電鍺化物或諸如此類)形成。可使用其他導電 層材料。舉㈣言,可沈積及㈣導電材料以形成字線 渴b(及未單獨展示之其他字線)。在至少一項實施例中, 154789.doc • 31 - 201145633 此等字線係沿不同於位元線5〇6a之一方向延伸之大致平 行、大致共面之導體(舉例而言,如圖4F中所示)。 字線506b可經由一適合之電介質填充及回蝕製程與其他 字線隔離。此後’可以類似於用於形成記憶體單元5〇〇a之 方式之一方式在字線506b上方形成一額外記憶體單元(未 展示)。 在形成記憶體單元500a(及/或欲形成於記憶體單元堆疊 500a上面之任何額外記憶體單元層/層級)之後,所得結構 可經退火以使二極體504a之所沈積半導體材料結晶(及/或 藉由層508之矽化物形成金屬與二極體5〇4a之矽區之反應 來形成矽化物區)。如所述,矽化鈦及矽化鈷之晶格間距 接近於矽之晶格間距,且矽化物層看似可在毗鄰之所沈積 矽結晶時用作該所沈積矽之「結晶模板」或「晶種」(例 如’石夕化物層可在約600°C至800°C之溫度下進行退火期間 增強石夕一極體之結晶結構)。藉此提供更低電阻率之二極 體材料。對於碎-鍺合金及/或鍺二極體而言,可達成類似 結果。 因此’在至少一項實施例中,可在約600〇c至8〇〇°C (且 在某些實施例中介於約650。(:與750。(:之間)的一溫度下在 氮氣中執行一結晶退火達約1 〇秒至約2分鐘。可使用其他 退火時間、溫度及/或環境。 圖5B係根據本發明提供之一第二記憶體單元5〇〇b之一剖 視圖。圖5B之第二記憶體單元5〇〇b類似於圖5A之第一記 憶體單元500a ’但採用一單極引導元件替代第一記憶體單 154789.doc -32- 201145633 元500a所採用之雙極引導元件。舉例而言,圖沾中之二極 體504b係一穿通二極體,而非如圖5A中之記憶體單元5〇(^ 中所使用之一 p-i-n接面二極體。如所述,可使用其他引導 元件,諸如隨穿裝置、肖特基二極體或諸如此類。 圖5C係根據本發明提供之一第三記憶體單元500c之一剖 視圖。圖5C之第三記憶體單元5〇〇(;類似於圖5八之第—記 憶體單元500a(且可以類似方式形成),但採用一雙層金屬 矽化物底部電極1〇8(如先前參考圖2A至圖2D所闡述)。 圖5D係根據本發明提供之一第四記憶體單元5〇〇d之—剖 視圖。圖5〇之第四記憶體單元500d類似於圖5C之第三記 憶體單兀500c,但採用一單極引導元件替代第三記憶體單 元500c所採用之雙極引導元件。舉例而言,圖5〇中之二極 體5〇4d係一穿通二極體,而非如圖5C之記憶體單元500c中 所使用之一 p-i-n接面二極體。如所述,可使用其他引導元 件,諸如隧穿裝置、肖特基二極體或諸如此類。 圖5E係根據本發明提供之一第五記憶體單元5〇〇e之一剖 視圖。圖5E之第五記憶體單元5〇〇e類似於圖5A之第一記憶 體單元500a(且可以類似方式形成),但採用具有一額外金 屬、金屬氮化物或金屬氧化物層212之一金屬矽化物雙層 用於底部電極(如先前參考圖2E至圖2H所闡述)。 圖5F係根據本發明提供之一第六記憶體單元5〇〇f之一剖 視圖。圖5F之第六記憶體單元5〇〇f類似於圖5E之第五記憶 體單元50〇e ’但採用一單極引導元件替代第五記憶體單元 500e所採用之雙極引導元件。舉例而言’圖5F中之二極體 154789.doc •33- 201145633 5 04 f係一穿通二極體,而非如圍cr:i 句非如圖5Ε之記憶體單元5〇〇e中所 使用之一 p-i-n接面二極體 m 如所述,可使用其他引導元 件’諸如«裝置、肖特基二極體或諸如此類。 圖5G係根據本發明提供之_第七記憶體單元則g之一剖 視圖。圖5G之第七記憶體單元5〇〇g類似於圖从之第一記 憶體單元5術(且可以類似方式形成)’但採用-金屬、金 屬氮化物或金屬氧化物層用於底部電極刚(如先前參考圖 3A至圖3D所闡述)。 圖5H係根據本發明提供之_第八記憶體單元5麵之一剖 視圖圖5H之第八6己憶體單元500h類似於圖5〇之第七記 憶體單to 500g ’但採用一單極引導元件替代第七記憶體單 元500g所採用之雙極引導元件。舉例而言,圖5η*之二極 體504h係一穿通二極體,而非如圖5(}之記憶體單元5〇〇g中 所使用之一 ρ-ι-η接面二極體。如所述,可使用其他引導元 件’諸如隧穿裝置、肖特基二極體或諸如此類。 刖述說明僅揭示本發明之實例性實施例。熟習此項技術 者將易於瞭解歸屬於本發明之範疇内之上文所揭示設備及 方法之修改《舉例而言,在任何記憶體單元内,可將MIM 堆疊放置於引導元件上面或下面。 因此’雖然已結合本發明之實例性實施例來揭示本發 明’但應理解,其他實施例可歸屬於如由以下申請專利範 圍界定之本發明精神及範疇内。 【圖式簡單說明】 圖1A至圖id係根據本發明提供之第一實例性金屬-絕緣 154789.doc •34· 201145633 體-金屬(MIM)堆疊之剖視圖; 圖2Λ至圖2H係根據本發明提供之第二實例性mim堆疊 之剖視圖; 圖3 A至圖3D係根據本發明提供之第三實例性MIM堆疊 之剖視圖; 圖4A係根據本發明之一實例性記憶體單元之一示意性圖 解說明; 圖4 B係根據本發明之一記憶體單元之另—實例性實施例 之一簡化透視圖; έ己憶體單元之又~實例性實施例 圖4C係根據本發明之一 之一簡化透視圖; 圖4D係根據本發明由複數個記憶體單元形成之一第一記 憶體層級之一部分之一簡化透視圖; 圖4Ε係根據本發明包含位於一第二記憶體層級下面之一 第一圮憶體層級之一第一單體式三維記憶體陣列之一部分 之一簡化透視圖; 圖4F係根據本發明包含位於一第二記憶體層級下面之一 第一 s己憶體層級之一第二單體式三維記憶體陣列之一部分 之一簡化透視圖;及 圖5Α至圖5Η係根據本發明提供之實例性記憶體單元堆 疊之剖視圖。 【主要元件符號說明】 100a 100b 金屬-絕緣體·金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 I54789.doc -35· 201145633 100c 金屬-絕緣體-金屬(MIM)堆疊 lOOd 金屬-絕緣體-金屬(MIM)堆疊 104 可逆電阻率切換(RRS)材料 106 頂部電極 108 底部電極 108a 第一金屬石夕化物層 108b 第二金屬矽化物層 110 額外層(金屬/金屬氧化物層堆疊) 110a 金屬氧化物層 110b 金屬層 200a 金屬-絕緣體-金屬(MIM)堆疊 200b 金屬-絕緣體-金屬(MIM)堆疊 200c 金屬-絕緣體-金屬(MIM)堆疊 200d 金屬-絕緣體-金屬(MIM)堆疊 200e 金屬-絕緣體-金屬(MIM)堆疊 200f 金屬-絕緣體-金屬(MIM)堆疊 200g 金屬-絕緣體-金屬(MIM)堆疊 200h 金屬-絕緣體-金屬(MIM)堆疊 212 金屬(M)、金屬氮化物(MNX)或金屬氧化物 (ΜΟχ)層 300a 金屬-絕緣體-金屬(ΜΙΜ)堆疊 300b 金屬-絕緣體-金屬(ΜΙΜ)堆疊 300c 金屬-絕緣體-金屬(ΜΙΜ)堆疊 300d 金屬-絕緣體-金屬(ΜΙΜ)堆疊 154789.doc -36- 201145633 400 402 404 406a 406b 408 410 412 414 416a 416b 418 420 500a 500b 500c 500d 500e 500f 5〇〇g 500h 502a 502b 502c 記憶體早元 金屬-絕緣體-金屬(MIM)堆疊 引導元件(二極體) 第一導體 第二導體 障壁層 障壁層 障壁層 記憶體陣列 單體式三維記憶體陣列 記憶體陣列 第一記憶體層級 第二記憶體層級 第一記憶體單元 第二記憶體單元 第三記憶體單元 第四記憶體單元 第五記憶體單元 第六記憶體單元 第七記憶體單元 第八記憶體單元 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 154789.doc -37- 201145633 502d 502e 502f 5〇2g 502h 504a 504a-l 504a-2 504a-3 504b 504d 504f 504h 506a 506b 508 512 514 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 金屬-絕緣體-金屬(MIM)堆疊 二極體 P+石夕層 經輕摻雜、本質及/或非故意摻雜之非晶或 多晶矽層(本質矽層) η+ί夕層 二極體 二極體 二極體 二極體 位元線 字線 矽化物形成金屬層堆疊 障壁層 柱狀結構 I54789.doc -38-
Claims (1)
- 201145633 七、申請專利範圍: 1. 一種金屬-絕緣體-金屬(MIM)堆疊,其包括. -第-導電層,其包括一第-金屬矽化物層及一第二 金屬矽化物層; 包括-金屬氧化物層之-電阻率切換層,其形成於該 第一導電層上面;及 一第二導電層,其形成於該電阻率切換層上面。 2.如請求項1之MIM堆疊,《中該第—金屬石夕化物層包括 石夕化鈦、⑦驗、⑪化鶴、⑦化錄、⑦化^切化銷中 之-者且該第二金屬石夕化物層包括石夕化鈦、石夕化輕、石夕 化鎢、矽化鎳、矽化鈷或矽化鉬中之一不同者。 3. 如清求項1之MIM堆疊,其中令玄笛 X α ® 第—金屬矽化物層包括 矽化鎳且該第二金屬矽化物層包括發化欽。 4. 如請求項1之ΜΙΜ堆疊,其中該笛 X s , 1# ® 八丫忒第—金屬矽化物層包括 矽化鈷且該第二金屬矽化物層包括石夕化欽。 5·如請求項1之MIM堆疊,其中該笛 .H 且,、丫忒第一金屬矽化物層包括 石夕化鈦且該第二金屬矽化物層包括矽化鶴。. 6. 如請求項1之MIM堆叠,JL中贫筮 隹五/、甲这第―導電層具有約2奈米 至100奈米之一厚度。 如請求項1之臟堆疊,其中該金屬氧化物層包括 Hf〇X、Zr〇x、Ni〇x、Ti〇x ' Ta〇x、_\或 Αΐχ〇γ 中之 一者或多者。 8. 如請求们之丽堆疊’其中該第二導電層包括一層堆 疊’該層堆疊具有形成於該電阻率切換層上方之欽層及 154789.doc 201145633 氧化欽層中之至少一者以及形成於該至少一者上方之氮 化欽層。 9. 如請求項1之MIM堆疊’其中該第一導電層進一步包括 具有每Ο介於約_3 eV與-6 eV之間的一 Gibbs形成自由能 之一金屬或金屬氮化物。 10. 如請求項9之MIM堆疊,其中該第一導電層包括鋁、氮 化IS、鑭、氮化鑭、鉬、氮化鉬、鈕、氮化鈕、鉻、氮 化絡、給、氮化铪、鈮、氮化鈮、釩、氮化釩、锆或氮 化鍅中之至少一者。 Π · —種記憶體單元,其包括: 如請求項1之MIM堆疊;及 一引導元件,其耦合至該MIM堆疊。 12. 如請求項11之記憶體單元,其中該引導元件包括一垂直 多晶矽二極體。 13. —種形成一金屬-絕緣體金屬(MIM)堆疊之方法,其包 括: 形成包括一第一金屬矽化物層及一第二金屬矽化物層 之一第—導電層; 在該第一導電層上面形成包括一金屬氧化物層之一電 阻率切換層;及 在該電阻率切換層上面形成一第二導電層。 14. 如請求項13之方法,其中該第一金屬矽化物層包括矽化 欽、碎化钽、矽化鎢、矽化鎳、矽化鈷或矽化鉬中之一 者且該第二金屬矽化物層包括矽化鈦、矽化钽、矽化 154789.doc 201145633 鎢、矽化鎳、矽化鈷或矽化鉬中之一不同者。 15. 16. 17. 18. 19. 20. 21. 22. 如。月求項13之方法,其中該第—金屬碎化物層包括石夕化 錄且。亥第—金屬石夕化物層包括石夕化鈦。 如請求項13之Μ ’其中該第—金屬魏物層包括石夕化 銘且該第一金屬石夕化物層包括;5夕化鈦。 如請求们3之方法,其中該第—金屬⑦化物層包括石夕化 鈦且該第一金屬石夕化物層包括石夕化鎢。 如請求項13之方法,其中該第一導電層具有約2奈米至 100奈米之一厚度。 如凊求項13之方法,其中該金屬氧化物層包括Hf〇x、 Zr〇x、Ni〇x、Ti〇x、Ta〇x、Nb〇x 或 Α1χ〇钟之一者或多 者。 如請求項13之方法,其中形成該第一導電層進一步包括 形成具有每0介於約-3 eV與-6 eV之間的— Gibbs形成自 由能之一金屬或金屬氮化物。 一種使用如清求項13之方法形成之記憶體單元。 一種金屬-絕緣體-金屬(MIM)堆疊,其包括: 一第一金屬矽化物層’其係在一第一溫度下形成; 一第二金屬矽化物層,其係在該第一金屬矽化物層上 面且在大於該第一溫度之一第二溫度下形成; 一 n+矽或SiGe層,其形成於該第二金屬矽化物層上 面; 包括一金屬氧化物層之一電阻率切換層,其形成於該 n+石夕或SiGe層上面;及 I54789.doc 201145633 一第二導電層’其形成於該電阻率切換層上面β 23·如請求項22之ΜΙΜ堆疊,其中該第—金屬矽化物層包括 矽化鎳且該第二金屬矽化物層包括妙化鈦。 24·如請求項22之ΜΙΜ堆疊,其中該第一金屬矽化物層包括 矽化鈷且該第二金屬矽化物層包括石夕化鈦。 25. 如請求項22之ΜΙΜ堆疊,其中該第一金屬矽化物層包括 矽化鈦且該第二金屬矽化物層包括妙化鶴。 26. 如請求項22之ΜΙΜ堆疊,其中該第二導電層包括一層堆 疊’該層堆疊具有形成於該電阻率切換層上方之鈦層及 氧化欽層中之至少一者以及形成於該至少一者上方之氮 化鈦層。 27_如請求項22之ΜΙΜ堆疊’其中該金屬氧化物層包括 HfOx、ZrOx、NiOx、TiOx、TaOx、NbOx 或 Α1χ〇γ 中之 一者或多者。 28. —種記憶體單元,其包括: 如請求項22之ΜΙΜ堆疊;及 一引導元件,其耦合至該ΜΙΜ堆疊。 29. 如請求項28之記憶體單元,其中該引導元件包括—垂直 多晶碎二極體。 30. —種形成一金屬-絕緣體-金屬(ΜΙΜ)堆疊之方法,其包 括: 在一第一溫度下形成一第一金屬矽化物層; 在大於該第一溫度之一第二溫度下在該第一金屬石夕化 物層上面形成一第二金屬石夕化物層; 154789.doc -4- 201145633 在該第二金屬石夕化物層上面形成—n+石夕或SiG^,· 在該㈣夕以iGe廣上面形成包括一金屬氧化物層之— 電阻率切換層;及 在邊電阻率切換層上面形成一第二導電層。 31. 32. 33. 34. 35. 如請求項30之方法,其中該第一金屬石夕化物層包括矽化 錄且該第一金屬石夕化物層包括石夕化鈦。 士哨求項30之方法’其中該第一金屬矽化物層包括矽化 銘且该第二金屬石夕化物層包括矽化鈦。 如請求項3G之方法’其中該第―金屬石夕化物層包括石夕化 鈦且該第二金屬矽化物層包括矽化鎢。 如味求項30之方法,其中該金屬氧化物層包括Hf〇x、 ZrOx、NiOx、TiOx、TaOx、咖乂或八⑻丫中之一者或多 者。 -種藉由如請求項3〇之料形成之記憶體翠元。 154789.doc
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US31457710P | 2010-03-16 | 2010-03-16 | |
PCT/US2011/028396 WO2011115926A1 (en) | 2010-03-16 | 2011-03-14 | Bottom electrodes for use with metal oxide resistivity switching layers |
US13/047,098 US8354660B2 (en) | 2010-03-16 | 2011-03-14 | Bottom electrodes for use with metal oxide resistivity switching layers |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201145633A true TW201145633A (en) | 2011-12-16 |
Family
ID=44646512
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW100108969A TW201145634A (en) | 2010-03-16 | 2011-03-16 | Bottom electrodes for use with metal oxide resistivity switching layers |
TW100108967A TW201145633A (en) | 2010-03-16 | 2011-03-16 | Bottom electrodes for use with metal oxide resistivity switching layers |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW100108969A TW201145634A (en) | 2010-03-16 | 2011-03-16 | Bottom electrodes for use with metal oxide resistivity switching layers |
Country Status (6)
Country | Link |
---|---|
US (3) | US8772749B2 (zh) |
EP (2) | EP2548238B8 (zh) |
JP (2) | JP2013522912A (zh) |
KR (2) | KR20130007572A (zh) |
TW (2) | TW201145634A (zh) |
WO (2) | WO2011115926A1 (zh) |
Families Citing this family (216)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8772749B2 (en) * | 2010-03-16 | 2014-07-08 | Sandisk 3D Llc | Bottom electrodes for use with metal oxide resistivity switching layers |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9601692B1 (en) | 2010-07-13 | 2017-03-21 | Crossbar, Inc. | Hetero-switching layer in a RRAM device and method |
US8946046B1 (en) | 2012-05-02 | 2015-02-03 | Crossbar, Inc. | Guided path for forming a conductive filament in RRAM |
US9570678B1 (en) | 2010-06-08 | 2017-02-14 | Crossbar, Inc. | Resistive RAM with preferental filament formation region and methods |
US9012307B2 (en) | 2010-07-13 | 2015-04-21 | Crossbar, Inc. | Two terminal resistive switching device structure and method of fabricating |
WO2011156787A2 (en) | 2010-06-11 | 2011-12-15 | Crossbar, Inc. | Pillar structure for memory device and method |
US8441835B2 (en) | 2010-06-11 | 2013-05-14 | Crossbar, Inc. | Interface control for improved switching in RRAM |
US8374018B2 (en) | 2010-07-09 | 2013-02-12 | Crossbar, Inc. | Resistive memory using SiGe material |
US8884261B2 (en) | 2010-08-23 | 2014-11-11 | Crossbar, Inc. | Device switching using layered device structure |
US8569172B1 (en) | 2012-08-14 | 2013-10-29 | Crossbar, Inc. | Noble metal/non-noble metal electrode for RRAM applications |
US8467227B1 (en) | 2010-11-04 | 2013-06-18 | Crossbar, Inc. | Hetero resistive switching material layer in RRAM device and method |
US8168506B2 (en) | 2010-07-13 | 2012-05-01 | Crossbar, Inc. | On/off ratio for non-volatile memory device and method |
US8947908B2 (en) | 2010-11-04 | 2015-02-03 | Crossbar, Inc. | Hetero-switching layer in a RRAM device and method |
US8889521B1 (en) | 2012-09-14 | 2014-11-18 | Crossbar, Inc. | Method for silver deposition for a non-volatile memory device |
US8404553B2 (en) | 2010-08-23 | 2013-03-26 | Crossbar, Inc. | Disturb-resistant non-volatile memory device and method |
US8492195B2 (en) | 2010-08-23 | 2013-07-23 | Crossbar, Inc. | Method for forming stackable non-volatile resistive switching memory devices |
US9401475B1 (en) | 2010-08-23 | 2016-07-26 | Crossbar, Inc. | Method for silver deposition for a non-volatile memory device |
US8558212B2 (en) | 2010-09-29 | 2013-10-15 | Crossbar, Inc. | Conductive path in switching material in a resistive random access memory device and control |
US8391049B2 (en) | 2010-09-29 | 2013-03-05 | Crossbar, Inc. | Resistor structure for a non-volatile memory device and method |
US8389971B2 (en) | 2010-10-14 | 2013-03-05 | Sandisk 3D Llc | Memory cells having storage elements that share material layers with steering elements and methods of forming the same |
US8841648B2 (en) | 2010-10-14 | 2014-09-23 | Sandisk 3D Llc | Multi-level memory arrays with memory cells that employ bipolar storage elements and methods of forming the same |
USRE46335E1 (en) | 2010-11-04 | 2017-03-07 | Crossbar, Inc. | Switching device having a non-linear element |
US8502185B2 (en) | 2011-05-31 | 2013-08-06 | Crossbar, Inc. | Switching device having a non-linear element |
US8088688B1 (en) | 2010-11-05 | 2012-01-03 | Crossbar, Inc. | p+ polysilicon material on aluminum for non-volatile memory device and method |
EP2731109B1 (en) | 2010-12-14 | 2016-09-07 | SanDisk Technologies LLC | Architecture for three dimensional non-volatile storage with vertical bit lines |
US8930174B2 (en) | 2010-12-28 | 2015-01-06 | Crossbar, Inc. | Modeling technique for resistive random access memory (RRAM) cells |
US8815696B1 (en) | 2010-12-31 | 2014-08-26 | Crossbar, Inc. | Disturb-resistant non-volatile memory device using via-fill and etchback technique |
US8791010B1 (en) | 2010-12-31 | 2014-07-29 | Crossbar, Inc. | Silver interconnects for stacked non-volatile memory device and method |
US9153623B1 (en) | 2010-12-31 | 2015-10-06 | Crossbar, Inc. | Thin film transistor steering element for a non-volatile memory device |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8450710B2 (en) | 2011-05-27 | 2013-05-28 | Crossbar, Inc. | Low temperature p+ silicon junction material for a non-volatile memory device |
US9620206B2 (en) | 2011-05-31 | 2017-04-11 | Crossbar, Inc. | Memory array architecture with two-terminal memory cells |
US8394670B2 (en) | 2011-05-31 | 2013-03-12 | Crossbar, Inc. | Vertical diodes for non-volatile memory device |
US8619459B1 (en) | 2011-06-23 | 2013-12-31 | Crossbar, Inc. | High operating speed resistive random access memory |
US8659929B2 (en) | 2011-06-30 | 2014-02-25 | Crossbar, Inc. | Amorphous silicon RRAM with non-linear device and operation |
US9564587B1 (en) | 2011-06-30 | 2017-02-07 | Crossbar, Inc. | Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects |
US8946669B1 (en) | 2012-04-05 | 2015-02-03 | Crossbar, Inc. | Resistive memory device and fabrication methods |
US9166163B2 (en) | 2011-06-30 | 2015-10-20 | Crossbar, Inc. | Sub-oxide interface layer for two-terminal memory |
US9627443B2 (en) | 2011-06-30 | 2017-04-18 | Crossbar, Inc. | Three-dimensional oblique two-terminal memory with enhanced electric field |
JP2013026289A (ja) * | 2011-07-15 | 2013-02-04 | Toshiba Corp | 不揮発性半導体記憶装置及びその製造方法 |
CN103828047A (zh) | 2011-07-22 | 2014-05-28 | 科洛斯巴股份有限公司 | 用于非易失性存储器装置的p+硅锗材料的种子层及方法 |
US9729155B2 (en) | 2011-07-29 | 2017-08-08 | Crossbar, Inc. | Field programmable gate array utilizing two-terminal non-volatile memory |
US8674724B2 (en) | 2011-07-29 | 2014-03-18 | Crossbar, Inc. | Field programmable gate array utilizing two-terminal non-volatile memory |
US10056907B1 (en) | 2011-07-29 | 2018-08-21 | Crossbar, Inc. | Field programmable gate array utilizing two-terminal non-volatile memory |
US8546275B2 (en) * | 2011-09-19 | 2013-10-01 | Intermolecular, Inc. | Atomic layer deposition of hafnium and zirconium oxides for memory applications |
JP2013069933A (ja) * | 2011-09-22 | 2013-04-18 | Toshiba Corp | 不揮発性半導体記憶装置 |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
JP2013122985A (ja) * | 2011-12-12 | 2013-06-20 | Toshiba Corp | 半導体記憶装置 |
US9269425B2 (en) | 2011-12-30 | 2016-02-23 | Sandisk 3D Llc | Low forming voltage non-volatile storage device |
US8846484B2 (en) | 2012-02-15 | 2014-09-30 | Intermolecular, Inc. | ReRAM stacks preparation by using single ALD or PVD chamber |
US8741772B2 (en) * | 2012-02-16 | 2014-06-03 | Intermolecular, Inc. | In-situ nitride initiation layer for RRAM metal oxide switching material |
US8716098B1 (en) | 2012-03-09 | 2014-05-06 | Crossbar, Inc. | Selective removal method and structure of silver in resistive switching device for a non-volatile memory device |
US9087576B1 (en) | 2012-03-29 | 2015-07-21 | Crossbar, Inc. | Low temperature fabrication method for a three-dimensional memory device and structure |
US9685608B2 (en) | 2012-04-13 | 2017-06-20 | Crossbar, Inc. | Reduced diffusion in metal electrode for two-terminal memory |
US8658476B1 (en) | 2012-04-20 | 2014-02-25 | Crossbar, Inc. | Low temperature P+ polycrystalline silicon material for non-volatile memory device |
US8796658B1 (en) | 2012-05-07 | 2014-08-05 | Crossbar, Inc. | Filamentary based non-volatile resistive memory device and method |
JP2013235956A (ja) * | 2012-05-09 | 2013-11-21 | Toshiba Corp | 半導体記憶装置 |
US8765566B2 (en) | 2012-05-10 | 2014-07-01 | Crossbar, Inc. | Line and space architecture for a non-volatile memory device |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
EP2695966B1 (en) | 2012-08-06 | 2018-10-03 | IMEC vzw | ALD method |
US9741765B1 (en) | 2012-08-14 | 2017-08-22 | Crossbar, Inc. | Monolithically integrated resistive memory using integrated-circuit foundry compatible processes |
US9583701B1 (en) | 2012-08-14 | 2017-02-28 | Crossbar, Inc. | Methods for fabricating resistive memory device switching material using ion implantation |
US20140054531A1 (en) * | 2012-08-24 | 2014-02-27 | Intermolecular, Inc. | Defect enhancement of a switching layer in a nonvolatile resistive memory element |
US8946673B1 (en) | 2012-08-24 | 2015-02-03 | Crossbar, Inc. | Resistive switching device structure with improved data retention for non-volatile memory device and method |
US9129894B2 (en) | 2012-09-17 | 2015-09-08 | Intermolecular, Inc. | Embedded nonvolatile memory elements having resistive switching characteristics |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9312483B2 (en) | 2012-09-24 | 2016-04-12 | Crossbar, Inc. | Electrode structure for a non-volatile memory device and method |
US9576616B2 (en) | 2012-10-10 | 2017-02-21 | Crossbar, Inc. | Non-volatile memory with overwrite capability and low write amplification |
US11068620B2 (en) | 2012-11-09 | 2021-07-20 | Crossbar, Inc. | Secure circuit integrated with memory layer |
US8982647B2 (en) | 2012-11-14 | 2015-03-17 | Crossbar, Inc. | Resistive random access memory equalization and sensing |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9412790B1 (en) | 2012-12-04 | 2016-08-09 | Crossbar, Inc. | Scalable RRAM device architecture for a non-volatile memory device and method |
US8872152B2 (en) | 2012-12-13 | 2014-10-28 | Intermolecular, Inc. | IL-free MIM stack for clean RRAM devices |
TWI484679B (zh) * | 2012-12-17 | 2015-05-11 | Winbond Electronics Corp | 非揮發性記憶體 |
US8796103B2 (en) | 2012-12-20 | 2014-08-05 | Intermolecular, Inc. | Forming nonvolatile memory elements by diffusing oxygen into electrodes |
US8860002B2 (en) | 2012-12-20 | 2014-10-14 | Intermolecular, Inc. | Limited maximum fields of electrode-switching layer interfaces in Re-RAM cells |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9406379B2 (en) | 2013-01-03 | 2016-08-02 | Crossbar, Inc. | Resistive random access memory with non-linear current-voltage relationship |
US9047940B2 (en) | 2013-01-10 | 2015-06-02 | Intermolecular, Inc. | Resistive random access memory cells having variable switching characteristics |
US9324942B1 (en) | 2013-01-31 | 2016-04-26 | Crossbar, Inc. | Resistive memory cell with solid state diode |
US9112145B1 (en) | 2013-01-31 | 2015-08-18 | Crossbar, Inc. | Rectified switching of two-terminal memory via real time filament formation |
US8934280B1 (en) | 2013-02-06 | 2015-01-13 | Crossbar, Inc. | Capacitive discharge programming for two-terminal memory cells |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9202694B2 (en) | 2013-03-04 | 2015-12-01 | Sandisk 3D Llc | Vertical bit line non-volatile memory systems and methods of fabrication |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9165933B2 (en) | 2013-03-07 | 2015-10-20 | Sandisk 3D Llc | Vertical bit line TFT decoder for high voltage operation |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
EP2793279A1 (en) * | 2013-04-19 | 2014-10-22 | ETH Zurich | Strained multilayer resistive-switching memory elements |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9269898B2 (en) * | 2014-02-07 | 2016-02-23 | Crossbar, Inc. | Low temperature deposition for silicon-based conductive film |
US10290801B2 (en) | 2014-02-07 | 2019-05-14 | Crossbar, Inc. | Scalable silicon based resistive memory device |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9362338B2 (en) | 2014-03-03 | 2016-06-07 | Sandisk Technologies Inc. | Vertical thin film transistors in non-volatile storage systems |
US9379246B2 (en) | 2014-03-05 | 2016-06-28 | Sandisk Technologies Inc. | Vertical thin film transistor selection devices and methods of fabrication |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9425390B2 (en) | 2014-10-16 | 2016-08-23 | Micron Technology, Inc. | Select device for memory cell applications |
US9246087B1 (en) * | 2014-11-24 | 2016-01-26 | Intermolecular, Inc. | Electron barrier height controlled interfaces of resistive switching layers in resistive random access memory cells |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) * | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9450023B1 (en) | 2015-04-08 | 2016-09-20 | Sandisk Technologies Llc | Vertical bit line non-volatile memory with recessed word lines |
US9305974B1 (en) | 2015-04-16 | 2016-04-05 | Stmicroelectronics, Inc. | High density resistive random access memory (RRAM) |
KR101735187B1 (ko) * | 2015-06-30 | 2017-05-15 | 서울대학교산학협력단 | 가변 저항체, 이를 이용한 비휘발성 메모리 소자 및 이들의 제조 방법 |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10332957B2 (en) * | 2016-06-30 | 2019-06-25 | International Business Machines Corporation | Stacked capacitor with symmetric leakage and break-down behaviors |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10672604B2 (en) | 2016-09-20 | 2020-06-02 | The Board Of Trustees Of The Leland Stanford Junior University | Metal oxide-resistive memory using two-dimensional edge electrodes |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10762940B2 (en) | 2016-12-09 | 2020-09-01 | Integrated Magnetoelectronics Corporation | Narrow etched gaps or features in multi-period thin-film structures |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10510547B2 (en) * | 2017-09-13 | 2019-12-17 | Applied Materials, Inc. | Metal and metal-derived films |
JP2019057544A (ja) | 2017-09-19 | 2019-04-11 | 東芝メモリ株式会社 | 記憶素子 |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
KR102397393B1 (ko) | 2017-11-28 | 2022-05-12 | 삼성전자주식회사 | 반도체 장치 |
EP3721486A4 (en) * | 2017-12-06 | 2021-08-18 | Integrated Magnetoelectronics Corporation | NARROW ETCHED GAPS OR FEATURES IN MULTI-PERIOD THIN-LAYER STRUCTURES |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
FR3090180A1 (fr) * | 2018-12-14 | 2020-06-19 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Cellule memoire resistive a base d’oxyde oxram et procede de fabrication associe |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20200122175A (ko) * | 2019-04-17 | 2020-10-27 | 에스케이하이닉스 주식회사 | 반도체 장치 및 그 제조 방법 |
CN110854267B (zh) * | 2019-12-09 | 2023-09-22 | 上海华力微电子有限公司 | 阻变存储器及其制造方法 |
WO2022240426A1 (en) * | 2021-05-12 | 2022-11-17 | Tetramem Inc. | Resistive random-access memory devices with multi-component electrodes |
US20240074162A1 (en) * | 2022-08-30 | 2024-02-29 | Applied Materials, Inc. | Lanthanum nitride as a dram molybdenum liner |
Family Cites Families (59)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4954455A (en) | 1984-12-18 | 1990-09-04 | Advanced Micro Devices | Semiconductor memory device having protection against alpha strike induced errors |
EP0239576A4 (en) | 1985-01-16 | 1989-02-06 | Clayview Pty Ltd | NAVIGATION SYSTEMS. |
JPH0239983Y2 (zh) | 1985-09-03 | 1990-10-25 | ||
US5915167A (en) | 1997-04-04 | 1999-06-22 | Elm Technology Corporation | Three dimensional structure memory |
US6509601B1 (en) | 1998-07-31 | 2003-01-21 | Samsung Electronics Co., Ltd. | Semiconductor memory device having capacitor protection layer and method for manufacturing the same |
US7157314B2 (en) | 1998-11-16 | 2007-01-02 | Sandisk Corporation | Vertically stacked field programmable nonvolatile memory and method of fabrication |
KR100408576B1 (ko) * | 1999-03-19 | 2003-12-03 | 인피니언 테크놀로지스 아게 | 기억 셀 어레이 및 그의 제조 방법 |
US6343032B1 (en) | 1999-07-07 | 2002-01-29 | Iowa State University Research Foundation, Inc. | Non-volatile spin dependent tunnel junction circuit |
US6542000B1 (en) * | 1999-07-30 | 2003-04-01 | Iowa State University Research Foundation, Inc. | Nonvolatile programmable logic devices |
JP4880867B2 (ja) | 2002-04-10 | 2012-02-22 | セイコーインスツル株式会社 | 薄膜メモリ、アレイとその動作方法および製造方法 |
WO2004061851A2 (en) | 2002-12-19 | 2004-07-22 | Matrix Semiconductor, Inc | An improved method for making high-density nonvolatile memory |
US7767499B2 (en) | 2002-12-19 | 2010-08-03 | Sandisk 3D Llc | Method to form upward pointing p-i-n diodes having large and uniform current |
US7176064B2 (en) * | 2003-12-03 | 2007-02-13 | Sandisk 3D Llc | Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide |
KR100533958B1 (ko) | 2004-01-05 | 2005-12-06 | 삼성전자주식회사 | 상변화 메모리 장치 및 그 제조 방법 |
EP1766678A1 (en) | 2004-06-30 | 2007-03-28 | Koninklijke Philips Electronics N.V. | Method for manufacturing an electric device with a layer of conductive material contacted by nanowire |
US20080237696A1 (en) | 2004-07-01 | 2008-10-02 | Chih-Hsin Wang | Alignment protection in non-volatile memory and array |
DE102004041330B3 (de) * | 2004-08-26 | 2006-03-16 | Infineon Technologies Ag | Speicherschaltung mit ein Widerstandsspeicherelement aufweisenden Speicherzellen |
US7026689B2 (en) * | 2004-08-27 | 2006-04-11 | Taiwan Semiconductor Manufacturing Company | Metal gate structure for MOS devices |
US7405465B2 (en) * | 2004-09-29 | 2008-07-29 | Sandisk 3D Llc | Deposited semiconductor structure to minimize n-type dopant diffusion and method of making |
US8179711B2 (en) | 2004-10-26 | 2012-05-15 | Samsung Electronics Co., Ltd. | Semiconductor memory device with stacked memory cell and method of manufacturing the stacked memory cell |
US20060250836A1 (en) * | 2005-05-09 | 2006-11-09 | Matrix Semiconductor, Inc. | Rewriteable memory cell comprising a diode and a resistance-switching material |
US7812404B2 (en) * | 2005-05-09 | 2010-10-12 | Sandisk 3D Llc | Nonvolatile memory cell comprising a diode and a resistance-switching material |
KR100634241B1 (ko) | 2005-05-30 | 2006-10-13 | 삼성전자주식회사 | 반도체 커패시터 및 그 제조 방법 |
KR100682946B1 (ko) * | 2005-05-31 | 2007-02-15 | 삼성전자주식회사 | 상전이 램 및 그 동작 방법 |
KR100937564B1 (ko) | 2005-06-20 | 2010-01-19 | 후지쯔 가부시끼가이샤 | 비휘발성 반도체 기억 장치 및 그 기입 방법 |
US20070069241A1 (en) * | 2005-07-01 | 2007-03-29 | Matrix Semiconductor, Inc. | Memory with high dielectric constant antifuses and method for using at low voltage |
KR100960208B1 (ko) * | 2005-07-29 | 2010-05-27 | 후지쯔 가부시끼가이샤 | 저항 기억 소자 및 불휘발성 반도체 기억 장치 |
WO2007023569A1 (ja) | 2005-08-26 | 2007-03-01 | Fujitsu Limited | 不揮発性半導体記憶装置及びその書き込み方法 |
JP4778765B2 (ja) * | 2005-10-07 | 2011-09-21 | 富士通セミコンダクター株式会社 | 半導体装置及びその製造方法 |
US20070132049A1 (en) * | 2005-12-12 | 2007-06-14 | Stipe Barry C | Unipolar resistance random access memory (RRAM) device and vertically stacked architecture |
KR101186293B1 (ko) | 2006-01-19 | 2012-09-27 | 삼성전자주식회사 | 배리스터를 포함하는 저항성 메모리 소자 및 그 동작 방법 |
US7501331B2 (en) | 2006-03-31 | 2009-03-10 | Sandisk 3D Llc | Low-temperature metal-induced crystallization of silicon-germanium films |
US7808810B2 (en) | 2006-03-31 | 2010-10-05 | Sandisk 3D Llc | Multilevel nonvolatile memory cell comprising a resistivity-switching oxide or nitride and an antifuse |
US7875871B2 (en) * | 2006-03-31 | 2011-01-25 | Sandisk 3D Llc | Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride |
US7754605B2 (en) * | 2006-06-30 | 2010-07-13 | Sandisk 3D Llc | Ultrashallow semiconductor contact by outdiffusion from a solid source |
US8030637B2 (en) * | 2006-08-25 | 2011-10-04 | Qimonda Ag | Memory element using reversible switching between SP2 and SP3 hybridized carbon |
JP4973666B2 (ja) | 2006-11-30 | 2012-07-11 | 富士通株式会社 | 抵抗記憶素子及びその製造方法、並びに不揮発性半導体記憶装置 |
WO2008075412A1 (ja) | 2006-12-19 | 2008-06-26 | Fujitsu Limited | 抵抗変化素子及びその製造方法 |
KR100896180B1 (ko) | 2007-01-23 | 2009-05-12 | 삼성전자주식회사 | 선택적으로 성장된 상변화층을 구비하는 상변화 메모리소자 및 그 제조방법 |
US7678607B2 (en) * | 2007-02-05 | 2010-03-16 | Intermolecular, Inc. | Methods for forming resistive switching memory elements |
KR100809724B1 (ko) | 2007-03-02 | 2008-03-06 | 삼성전자주식회사 | 터널링층을 구비한 바이폴라 스위칭 타입의 비휘발성메모리소자 |
US7629198B2 (en) | 2007-03-05 | 2009-12-08 | Intermolecular, Inc. | Methods for forming nonvolatile memory elements with resistive-switching metal oxides |
CN101711431B (zh) * | 2007-05-09 | 2015-11-25 | 分子间公司 | 阻变型非易失性存储元件 |
WO2009015298A2 (en) * | 2007-07-25 | 2009-01-29 | Intermolecular, Inc. | Nonvolatile memory elements |
US20090095985A1 (en) | 2007-10-10 | 2009-04-16 | Samsung Electronics Co., Ltd. | Multi-layer electrode, cross point memory array and method of manufacturing the same |
JP2010287582A (ja) | 2007-10-15 | 2010-12-24 | Panasonic Corp | 不揮発性記憶素子およびその製造方法、並びにその不揮発性記憶素子を用いた不揮発性半導体装置 |
US7718990B2 (en) * | 2007-12-04 | 2010-05-18 | Ovonyx, Inc. | Active material devices with containment layer |
JP5110088B2 (ja) * | 2007-12-06 | 2012-12-26 | 富士通株式会社 | 抵抗変化素子とその製造方法、及び抵抗変化素子を用いた半導体記憶装置 |
US8284596B2 (en) | 2008-06-09 | 2012-10-09 | Qimonda Ag | Integrated circuit including an array of diodes coupled to a layer of resistance changing material |
CN101978496B (zh) | 2008-07-11 | 2012-11-07 | 松下电器产业株式会社 | 非易失性存储元件和其制造方法、以及使用该非易失性存储元件的非易失性半导体装置 |
US8362454B2 (en) * | 2008-08-12 | 2013-01-29 | Industrial Technology Research Institute | Resistive random access memory having metal oxide layer with oxygen vacancies and method for fabricating the same |
US7974117B2 (en) * | 2008-10-30 | 2011-07-05 | Seagate Technology Llc | Non-volatile memory cell with programmable unipolar switching element |
US7835173B2 (en) | 2008-10-31 | 2010-11-16 | Micron Technology, Inc. | Resistive memory |
US8120941B2 (en) | 2008-11-07 | 2012-02-21 | Seagate Technology Llc | Bidirectional non-volatile memory array architecture |
KR20100060323A (ko) | 2008-11-27 | 2010-06-07 | 삼성전자주식회사 | 가변저항 메모리 장치 및 그 형성 방법 |
US8420478B2 (en) * | 2009-03-31 | 2013-04-16 | Intermolecular, Inc. | Controlled localized defect paths for resistive memories |
JP5388710B2 (ja) * | 2009-06-12 | 2014-01-15 | 株式会社東芝 | 抵抗変化メモリ |
US8207064B2 (en) * | 2009-09-17 | 2012-06-26 | Sandisk 3D Llc | 3D polysilicon diode with low contact resistance and method for forming same |
US8772749B2 (en) * | 2010-03-16 | 2014-07-08 | Sandisk 3D Llc | Bottom electrodes for use with metal oxide resistivity switching layers |
-
2011
- 2011-03-14 US US13/047,020 patent/US8772749B2/en active Active
- 2011-03-14 EP EP11712085.7A patent/EP2548238B8/en active Active
- 2011-03-14 KR KR1020127024032A patent/KR20130007572A/ko not_active Application Discontinuation
- 2011-03-14 WO PCT/US2011/028396 patent/WO2011115926A1/en active Application Filing
- 2011-03-14 JP JP2013500132A patent/JP2013522912A/ja not_active Withdrawn
- 2011-03-14 US US13/047,098 patent/US8354660B2/en active Active
- 2011-03-16 WO PCT/US2011/028394 patent/WO2011115924A1/en active Application Filing
- 2011-03-16 EP EP11713107A patent/EP2548239A1/en not_active Withdrawn
- 2011-03-16 TW TW100108969A patent/TW201145634A/zh unknown
- 2011-03-16 TW TW100108967A patent/TW201145633A/zh unknown
- 2011-03-16 KR KR1020127024030A patent/KR20130007571A/ko not_active Application Discontinuation
- 2011-03-16 JP JP2013500131A patent/JP2013522911A/ja not_active Withdrawn
-
2013
- 2013-01-14 US US13/740,766 patent/US20130126821A1/en not_active Abandoned
Also Published As
Publication number | Publication date |
---|---|
US8772749B2 (en) | 2014-07-08 |
EP2548238B1 (en) | 2015-04-22 |
US8354660B2 (en) | 2013-01-15 |
WO2011115926A1 (en) | 2011-09-22 |
US20130126821A1 (en) | 2013-05-23 |
WO2011115924A1 (en) | 2011-09-22 |
JP2013522911A (ja) | 2013-06-13 |
JP2013522912A (ja) | 2013-06-13 |
TW201145634A (en) | 2011-12-16 |
EP2548238B8 (en) | 2015-06-17 |
KR20130007571A (ko) | 2013-01-18 |
EP2548238A1 (en) | 2013-01-23 |
EP2548239A1 (en) | 2013-01-23 |
US20110227020A1 (en) | 2011-09-22 |
US20110227028A1 (en) | 2011-09-22 |
KR20130007572A (ko) | 2013-01-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW201145633A (en) | Bottom electrodes for use with metal oxide resistivity switching layers | |
US9105576B2 (en) | Multi-level memory arrays with memory cells that employ bipolar storage elements and methods of forming the same | |
US8969845B2 (en) | Memory cells having storage elements that share material layers with steering elements and methods of forming the same | |
US8803119B2 (en) | Semiconductor memory device and manufacturing method of the same | |
US8680503B2 (en) | Carbon nano-film reversible resistance-switchable elements and methods of forming the same | |
TW201027744A (en) | Carbon-based memory elements exhibiting reduced delamination and methods of forming the same | |
US8422268B2 (en) | Current control element, memory element, and fabrication method thereof | |
JP4982098B2 (ja) | 電気抵抗変化素子およびこの電気抵抗変化素子を備えた半導体装置ならびにその製造方法 | |
TW201125078A (en) | A memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same | |
TW200810086A (en) | Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride | |
TW201138173A (en) | A memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same | |
TW200913171A (en) | Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same | |
TW201203641A (en) | A memory cell that includes a carbon-based memory element and methods of forming the same | |
US20120091418A1 (en) | Bipolar storage elements for use in memory cells and methods of forming the same | |
TW201017946A (en) | Methods for increasing carbon nano-tube (CNT) yield in memory devices | |
JP5464148B2 (ja) | 抵抗変化素子 | |
WO2010115924A1 (en) | METHOD FOR MANUFACTURING A MEMORY ELEMENT COMPRISING A RESISTIVITY-SWITCHING NiO LAYER AND DEVICES OBTAINED THEREOF | |
EP2239795A1 (en) | Method for manufacturing a memory element comprising a resistivity-switching NiO layer and devices obtained thereof | |
CN115101666A (zh) | 相变材料、相变存储芯片、存储设备及电子设备 |