KR20130007571A - 금속 산화물 저항률 전환층과 함께 사용하기 위한 하부 전극 - Google Patents

금속 산화물 저항률 전환층과 함께 사용하기 위한 하부 전극 Download PDF

Info

Publication number
KR20130007571A
KR20130007571A KR1020127024030A KR20127024030A KR20130007571A KR 20130007571 A KR20130007571 A KR 20130007571A KR 1020127024030 A KR1020127024030 A KR 1020127024030A KR 20127024030 A KR20127024030 A KR 20127024030A KR 20130007571 A KR20130007571 A KR 20130007571A
Authority
KR
South Korea
Prior art keywords
layer
metal
stack
mim
conductive layer
Prior art date
Application number
KR1020127024030A
Other languages
English (en)
Inventor
디파크 찬드라 세카르
프란쯔 크로이플
라구비어 에스. 마칼라
Original Assignee
쌘디스크 3디 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 쌘디스크 3디 엘엘씨 filed Critical 쌘디스크 3디 엘엘씨
Publication of KR20130007571A publication Critical patent/KR20130007571A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

(1) 실리콘-게르마늄(SiGe) 합금을 포함하는 제 1 도전층과, (2) 상기 제 1 도전층 위에 형성된 금속 산화물층을 포함하는 저항률 전환층과, (3) 상기 저항률 전환층 위에 형성된 제 2 도전층을 포함하는, 금속-절연체-금속(MIM: metal-insulator-metal) 스택이 제공된다. 메모리 셀은 MIM 스택으로 형성될 수 있다.

Description

금속 산화물 저항률 전환층과 함께 사용하기 위한 하부 전극{BOTTOM ELECTRODES FOR USE WITH METAL OXIDE RESISTIVITY SWITCHING LAYERS}
관련 출원
본 출원은, 전체 기재 내용이 각각 본 명세서에 완전히 참조로 포함되어 있고 발명의 명칭이 "ELECTRODES FOR USE WITH RESISTIVITY SWITCHING MATERIALS"이며 2010년 3월 16일자로 출원된 미국 특허 가출원 제 61/314,577호와 2011년 3월 14일자로 출원된 미국 출원 제 13/047,020호의 우선권을 주장한다.
본 발명은 메모리 어레이에 관한 것이고, 보다 구체적으로는 금속 산화물 저항률 전환층과 함께 사용하기 위한 하부 전극에 관한 것이다.
금속 산화물과 같은 가역 저항률 전환(RRS: Reversible resistivity-switching) 재료는 메모리 어레이에서의 저장 요소로 사용될 수 있다. 예를 들어, 전체 기재 내용이 본 명세서에 참조로 포함되고 발명의 명칭이 "NON-VOLATILE MEMORY CELL COMPRISING A DIODE AND A RESISTANCE-SWITCHING MATERIAL"이며 2005년 5월 9일자로 출원된 미국 특허 제 7,812,404호는, 금속 산화물 또는 금속 질화물과 같은 RRS 재료와 직렬로 연결된 다이오드를 포함하는 재기록 가능 비휘발성 메모리 셀을 개시한다.
하지만, 바람직한 전환 특성을 갖는 금속 산화물 재기록 가능 저항률 전환 재료로 이루어진 메모리 디바이스를 제조하는 것은 곤란하고; 금속 산화물 저항률 전환 재료를 채용하는 향상된 메모리 디바이스가 바람직하다.
본 발명의 제 1 양상에서, 금속-절연체-금속(MIM: metal-insulator-metal) 스택으로서, (1) 실리콘-게르마늄(SiGe) 합금을 포함하는 제 1 도전층; (2) 상기 제 1 도전층 위에 형성된 금속 산화물층을 포함하는 저항률 전환층; 및 (3) 상기 저항률 전환층 위에 형성된 제 2 도전층을 포함하는, MIM 스택이 제공된다. 메모리 셀이 MIM 스택으로부터 형성될 수 있다.
본 발명의 제 2 양상에서, MIM 스택을 형성하는 방법으로서, (1) SiGe 합금을 포함하는 제 1 도전층을 형성하는 단계; (2) 상기 제 1 도전층 위에 금속 산화물층을 포함하는 저항률 전환층을 형성하는 단계; 및 (3) 상기 저항률 전환층 위에 제 2 도전층을 형성하는 단계를 포함하는, MIM 스택 형성 방법이 제공된다.
본 발명의 제 3 양상에서, 메모리 셀을 형성하는 방법으로서, (a) SiGe 합금을 포함하는 제 1 도전층; (b) 상기 제 1 도전층 위에 형성된 금속 산화물층을 포함하는 저항률 전환층; 및 (c) 상기 저항률 전환층 위에 형성된 제 2 도전층을 포함하는 (1) MIM 스택을 형성하는 단계; 및 (2) 상기 MIM 스택에 연결된 스티어링 소자를 형성하는 단계를 포함하는, 메모리 셀 형성 방법이 제공된다.
본 발명의 제 4 양상에서, MIM 스택으로서, (1) 제 1 금속-실리사이드층 및 제 2 금속-실리사이드층을 포함하는 제 1 도전층; (2) 상기 제 1 도전층 위에 형성된 금속 산화물층을 포함하는 저항률 전환층; 및 (3) 상기 저항률 전환층 위에 형성된 제 2 도전층을 포함하는, MIM 스택이 제공된다. 메모리 셀이 MIM 스택으로부터 형성될 수 있다.
본 발명의 제 5 양상에서, MIM 스택을 형성하는 방법으로서, (1) 제 1 금속-실리사이드층 및 제 2 금속-실리사이드층을 포함하는 제 1 도전층을 형성하는 단계; (2) 상기 제 1 도전층 위에 금속 산화물층을 포함하는 저항률 전환층을 형성하는 단계; 및 (3) 상기 저항률 전환층 위에 제 2 도전층을 형성하는 단계를 포함하는, MIM 스택 형성 방법이 제공된다. 메모리 셀이 상기 방법을 이용해서 형성될 수 있다.
본 발명의 제 6 양상에서, (1) 제 1 온도에서 형성되는 제 1 금속-실리사이드층; (2) 상기 제 1 온도보다 높은 제 2 온도에서 상기 제 1 금속-실리사이드층 위에 형성된 제 2 금속-실리사이드층; (3) 상기 제 2 금속-실리사이드층 위에 형성된 n+ 실리콘 또는 SiGe 층; (4) 상기 n+ 실리콘 또는 SiGe 층 위에 형성된 금속 산화물층을 포함하는 저항률 전환층; 및 (5) 상기 저항률 전환층 위에 형성된 제 2 도전층을 포함하는 MIM이 제공된다. 메모리 셀이 MIM 스택으로부터 형성될 수 있다.
본 발명의 제 7 양상에서, MIM 스택을 형성하는 방법은, (1) 제 1 온도에서 제 1 금속-실리사이드층을 형성하는 단계; (2) 상기 제 1 온도보다 높은 제 2 온도에서 상기 제 1 금속-실리사이드층 위에 제 2 금속-실리사이드층을 형성하는 단계; (3) 상기 제 2 금속-실리사이드층 위에 n+ 실리콘 또는 SiGe 층을 형성하는 단계; (4) 상기 n+ 실리콘 또는 SiGe 층 위에 금속 산화물층을 포함하는 저항률 전환층을 형성하는 단계; 및 (5) 상기 저항률 전환층 위에 제 2 도전층을 형성하는 단계를 포함한다. 메모리 셀이 본 방법을 이용해서 형성될 수 있다.
본 발명의 제 8 양상에서, MIM 스택으로서, (1) 크롬, 크롬 질화물, 하프늄, 하프늄 질화물, 니오븀, 니오븀 질화물, 바나듐, 바나듐 질화물, 지르코늄 또는 지르코늄 질화물 중 하나 이상을 포함하는 제 1 도전층; (2) 상기 제 1 도전층 위에 형성된 금속 산화물층을 포함하는 저항률 전환층; 및 (3) 상기 저항률 전환층 위에 형성된 제 2 도전층을 포함하는, MIM 스택이 제공된다. 메모리 셀이 MIM 스택으로부터 형성될 수 있다.
본 발명의 제 9 양상에서, MIM 스택을 형성하는 방법으로서, (1) 크롬, 크롬 질화물, 하프늄, 하프늄 질화물, 니오븀, 니오븀 질화물, 바나듐, 바나듐 질화물, 지르코늄 또는 지르코늄 질화물 중 하나 이상을 포함하는 제 1 도전층을 형성하는 단계; (2) 상기 제 1 도전층 위에 금속 산화물층을 포함하는 저항률 전환층을 형성하는 단계; 및 (3) 상기 저항률 전환층 위에 제 2 도전층을 형성하는 단계를 포함하는 MIM 스택 형성 방법이 제공된다. 메모리 셀이 본 방법을 이용해서 형성될 수 있다. 다수의 다른 양상이 제공된다.
본 발명의 다른 특징 및 양상은 이하의 상세한 설명, 첨부된 청구항 및 첨부 도면으로부터 보다 완전하게 명백해질 것이다.
본 발명은, 금속 산화물 저항률 전환층과 함께 사용하기 위한 하부 전극을 제공하는 효과를 갖는다.
도 1a 내지 1d는, 본 발명에 따라 제공되는 예시적인 제 1 MIM(metal-insulator-metal) 스택의 단면도.
도 2a 내지 2h는, 본 발명에 따라 제공되는 예시적인 제 2 MIM 스택의 단면도.
도 3a 내지 3d는, 본 발명에 따라 제공되는 예시적인 제 3 MIM 스택의 단면도.
도 4a는, 본 발명에 따른 예시적인 메모리 셀의 개략도.
도 4b는, 본 발명에 따른 메모리 셀의 다른 실시예의 단순화된 사시도.
도 4c는, 본 발명에 따른 메모리 셀의 또 다른 실시예의 단순화된 사시도.
도 4d는, 본 발명에 따른 복수의 메모리 셀로 형성된 제 1 메모리 레벨의 일부의 단순화된 사시도.
도 4e는, 본 발명에 따른 제 2 메모리 레벨 아래에 위치된 제 1 메모리 레벨을 포함하는 제 1 모놀리틱 3차원 메모리 어레이의 일부의 단순화된 사시도.
도 4f는, 본 발명에 따른 제 2 메모리 레벨 아래에 위치된 제 1 메모리 레벨을 포함하는 제 2 모놀리틱 3차원 메모리 어레이의 일부의 단순화된 사시도.
도 5a 내지 5h는, 본 발명에 따라 제공되는 예시적인 메모리 셀 스택의 단면도.
2개의 금속, 또는 그렇지 않으면 도전층 사이에 개재된 RRS(reversible resistivity switching)로 형성된 MIM(metal-insulator-metal) 스택은 메모리 셀에 대한 저항률 전환 소자로서의 역할을 할 수 있다. 2개의 도전층은 저항률 전환 소자의 상부 및 하부 전극으로서의 역할을 할 수 있고, RRS 재료의 저항률을 높은 값에서 낮은 값으로, 그리고 그 역으로 변화시키는 RRS 재료 양단에 전계를 인가하는데 사용될 수 있다.
본 발명의 실시예에 따르면, 금속 산화물 저항률 전환층을 채용하는 새로운 MIM 스택이 제공된다. 3차원(3D) 메모리 어레이에서 이러한 MIM 스택을 채용하는 방법뿐만 아니라, 이러한 MIM 스택을 형성하는 방법도 제공된다.
본 발명의 이러한 실시예 및 다른 실시예가 도 1a 내지 5h를 참조하여 후술된다. 예를 들어, 도 1a 내지 1d는 SiGe 하부 전극을 채용하는 MIM 스택을 도시한다. 도 2a 내지 2h는 복수-층 금속-실리사이드 하부 전극을 채용하는 MIM 스택을 도시한다. 도 3a 내지 3d는 금속, 금속 질화물 또는 금속 산화물 전환층에서 산소 결핍 형성을 촉진하기 위해 특히 선택된 금속 산화물을 갖는 하부 전극을 채용하는 MIM 스택을 도시한다. 도 4a 내지 5h는 상술한 MIM 스택 및/또는 하부 전극 중 임의의 것을 사용할 수 있는 메모리 셀 및 메모리 어레이의 실시예를 도시한다.
SiGe 하부 전극을 갖는 MIM 스택
본 발명의 몇몇 실시예에 따르면, 실리콘 게르마늄 합금으로 이루어진 하부 전극을 채용하는 MIM 스택이 제공된다. 예를 들어, 도 1a는 상부 전극(106)과 SiGe 하부 전극(108) 사이에 개재된 RRS(reversible resistivity switching) 재료(104)를 포함하는 MIM 스택(100a)을 도시한다. 금속층, 금속 산화물층, 금속/금속 산화물층 스택 등과 같은 하나 이상의 추가적인 층(110)이 아래에서 추가적으로 설명하는 바와 같이 MIM 스택(100a) 내에 채용될 수 있다.
RRS 재료(104)는, 예를 들어, HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX, AlXOY, 다른 금속 산화물(MOX) 층, 이러한 금속 산화물의 임의의 조합, 또는 다른 적절한 전환 재료를 포함할 수 있다. 일부 실시예에서, 상부 전극(106)은, 티타늄 질화물, 탄탈룸 질화물, 텅스텐 질화물, 동일한 것의 조합, Ti/TiN, Ta/TaN, W/WN과 같은 금속/금속 질화물 스택 또는 다른 유사한 층을 포함할 수 있다. 다른 실시예에서, 상부 전극(106)은 n+ 실리콘 또는 p+ 실리콘과 같은 고농도로 도핑된 반도체, 고농도로 도핑된 게르마늄, 또는 고농도로 도핑된 실리콘-게르마늄을 포함할 수 있다. 다른 재료 및/또는 구성이 상부 전극(106)으로 사용될 수 있다.
n+ Si 하부 전극의 사용은 금속 산화물 내의 산소 결핍을 형성하기 위한 자유 에너지를 효과적으로 낮춤으로써 HfO2와 같은 금속 산화물의 전환 특성을 향상시킬 수 있다. 통상적으로, 이러한 n+ Si 전극은 비정질 또는 폴리실리콘 n+ Si 층을 증착하고, n+ Si 층 위에 금속 산화물층의 형성 전에 그 결정 및 표면 특성을 향상시키기 위해 n+ Si 층을 어닐링함으로써 형성된다. 이러한 어닐링은 일반적으로 약 750℃의 온도에서 RTA(rapid thermal anneal)를 통해 달성된다. 하지만, 복수의 층, 3차원 메모리 어레이를 형성할 때, 각 메모리 층에 대한 이렇게 높은 RTA 온도의 사용은 메모리 어레이의 열 예산을 초과할 수 있다.
본 발명의 일부 실시예에 따르면, MIM 스택의 하부 전극은 약 5 내지 약 35 atm% Ge 및 약 5×1019 - 5×1021 원자/cm3, 일부 실시예에서는 약 2×1020 원자/cm3의 n+ 도핑 농도를 갖는 SiGe 합금의 층을 포함할 수 있다. 이러한 SiGe 합금층은, Ge의 존재가 결정화 온도를 낮추므로, 다결정 n+ Si 하부 전극층보다 실질적으로 더 낮은 온도에서 형성될 수 있다. 예를 들어, 일부 실시예에서, 다결정 SiGe 합금층은 약 600℃ 이하의 온도에서 증착될 수 있고, 일부 실시예에서, (채용되는 Ge의 atm%에 의존하여) SiGe 층의 결정성을 향상시키기 위해 추가적인 고온 어닐링을 필요로 하지 않고도 약 550℃ 이하에서 증착될 수 있다. 대안적으로, 비정질 SiGe 합금층은 보다 낮은 증착 온도에서 증착되고, (채용되는 Ge의 atm%에 의존하여) 약 600℃ 이하, 일부 실시예에서는 약 550℃ 이하의 RTA를 이용하여 결정화된다.
특정 실시예에서, 약 5 - 35 atm%를 갖는 SiGe 하부 전극은 금속 산화물 전환층 내의 산소 결핍 형성을 위한 풍부한 Si를 여전히 제공하면서, 증착 및/또는 결정화 어닐링 온도를 상당히 감소시킨다. SiGe 하부 전극(108)에 대한 예시적인 두께는 약 2 내지 100 나노미터의 범위에 있다. 다른 Ge의 atm%, 도핑 유형, 도핑 레벨, 어닐링 온도 및/또는 층 두께가 사용될 수 있다.
일부 실시예에서, 추가적인 층(들)(110)은 예를 들어, 티타늄, 티타늄 산화물, 탄탈룸, 탄탈룸 산화물, 텅스텐, 텅스텐 산화물 등을 포함할 수 있다. 또 다른 실시예에서, 추가적인 층(들)(110)은 Ti/TiOX, Zr/ZrOX, Ni/NiOX, Al/AlXOY, Ta/TaOX, Nb/NbOX, Hf/HfOX, 또는 임의의 적절한 층 스택과 같은 금속/금속 산화물층 스택을 포함할 수 있다.
도 1b는 도 1b에서 MIM 스택(100b)이라 불리는, 도 1a의 MIM 스택(100a)의 특정의 실시예를 도시한다. 도 1b의 MIM 스택(100b)에서, 하부 전극(108)은 SiGe 합금이고, RRS 재료(104)는 하프늄 산화물이고, 상부 전극(106)은 티타늄 질화물이고, 티타늄 또는 티타늄 산화물층은 하프늄 산화물 전환 재료(RRS 재료(104))와 TiN 상부 전극(106) 사이의 버퍼층으로 제공된다. 예를 들어, 하부 전극(108)(SiGe)은 약 2 내지 100 나노미터의 두께, 일부 실시예에서는 약 10 - 60 나노미터, 일부 실시예에서는 약 20 나노미터의 두께를 가질 수 있다. Ti 또는 TiOX 층(110)은 약 0.5 - 10 나노미터의 두께, 일부 실시예에서는 약 2 나노미터의 두께를 가질 수 있다. TiOX가 채용되는 경우, x는 약 1.2 - 2, 일부 실시예에서는 약 1.5일 수 있다. 하프늄 산화물층(104)은 약 3 - 12 나노미터의 두께, 일부 실시예에서는 약 5 나노미터의 두께를 가질 수 있고, x는 약 1.2 - 2.0, 일부 실시예에서는 약 1.7일 수 있다. TiN 상부 전극(106)은 약 2 내지 100 나노미터의 두께, 다른 실시예에서는 약 10 - 60 나노미터의 두께, 일부 실시예에서는 약 20 나노미터의 두께를 가질 수 있다. n+ SiGe 하부 전극(108)의 도핑 농도는 약 5 - 35 atm% Ge에 있어서, 약 5×1019 - 5×1021 원자/cm3, 일부 실시예에서는 2×1020 원자/cm3일 수 있다. 다른 막 두께, x 값, Ge의 atm% 및/또는 도핑 농도가 사용될 수 있다.
도 1c는 SiGe 하부 전극(108), SiGe 하부 전극(108) 위에 형성된 RRS 재료(104), 금속 산화물층(110a)과 RRS 재료(104) 위에 형성된 금속층(110b), 및 금속/금속 산화물층 스택(110) 위에 형성된 상부 금속 질화물 전극(106)을 갖는 다른 예시적인 MIM 스택(100c)의 단면도를 도시한다.
일반적으로, 예를 들어, 상부 전극(106)은 티타늄 질화물, 탄탈룸 질화물, 텅스텐 질화물, 동일한 것의 조합, Ti/TiN, Ta/TaN, W/WN과 같은 금속/금속 질화물 스택 또는 다른 유사한 격벽층을 포함할 수 있다. 금속/금속 산화물층 스택(110)은, 예를 들어, Ti/TiOX, Zr/ZrOX, Ni/NiOX, Al/AlXOY, Ta/TaOX, Nb/NbOX, Hf/HfOX 또는 다른 유사한 층 스택을 포함할 수 있다. 예를 들어, RRS 재료(104)는 HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX 또는 AlXOY, 이들 금속 산화물의 임의의 조합, 또는 다른 적절한 전환 재료를 포함할 수 있다.
일부 실시예에서, 금속/금속-산화물층 스택(110)은 RRS 재료(104)에 대해 채용된 것과 다른 재료로 형성될 수 있다. 예를 들어, Ti/TiOX 층 스택이 HfOX, ZrOX, NiOX, TaOX, NbOX 또는 AlXOY 전환 재료와 함께 채용될 수 있다. Zr/ZrOX 층 스택이 HfOX, NiOX, TiOX, TaOX, NbOX 또는 AlXOY 전환 재료와 함께 이용될 수 있다. Ni/NiOX 층 스택이 HfOX, ZrOX, TiOX, TaOX, NbOX 또는 AlXOY 전환 재료와 함께 이용될 수 있다. Al/AlXOY 층 스택이 HfOX, ZrOX, NiOX, TiOX, TaOX 또는 NbOX 전환 재료와 함께 채용될 수 있다. Ta/TaOX 층 스택이 HfOX, TiOX, ZrOX, NiOX, NbOX 또는 AlXOY 전환 재료와 함께 채용될 수 있다. Nb/NbOX 층 스택이 HfOX, TiOX, ZrOX, NiOX, TaOX, 또는 AlXOY 전환 재료와 함께 채용될 수 있다. Hf/HfOX 층 스택이 NbOX, TiOX, ZrOX, NiOX, TaOX, 또는 AlXOY 전환 재료와 함께 채용될 수 있다.
다른 실시예에서, 금속/금속 산화물층 스택(110)은 RRS 재료(104)에 대해 채용된 것과 유사한 재료로 형성될 수 있다. 예를 들어, Ti/TiOX 층 스택은 TiOX 전환층과 함께 채용될 수 있다. 하지만, 이러한 실시예에서, 층 스택의 금속 산화물은 전환 재료의 결정 구조 및 특성에 비해 다른 결정 구조 또는 다른 특성을 가질 수 있다(예를 들어, 비정질 구조 대 결정 구조). 금속/금속-산화물층 스택(110)의 금속 산화물층은 전환 재료 내의 산소 결핍의 형성/제거를 더욱 제어 가능하고/가능하거나 반복 가능하게 하는 "버퍼"층으로서 역할을 할 수 있으며, 이는 전환 재료(104)의 내구성/수명을 향상시킬 수 있다.
도 1d는 도 1d에서 MIM 스택(100d)이라 불리는, MIM 스택(100c)의 특정 실시예를 나타내며, 여기에서 상부 전극(106)은 티타늄 질화물이고, 금속/금속 산화물층 스택(110)은 티타늄 산화물 위의 티타늄이고, RRS 재료(104)는 하프늄 산화물이고, 하부 전극(108)은 n+ SiGe이다. 예를 들어, 상부 전극(106)(TiN)은 약 10 - 60 나노미터의 두께, 일부 실시예에서는 약 20 나노미터의 두께를 가질 수 있다. Ti 층(110b)은 약 0.5 - 10 나노미터의 두께, 일부 실시예에서는 약 2 나노미터의 두께를 가질 수 있다. TiOX 층(110a)은 약 0.5 - 6 나노미터의 두께, 일부 실시예에서는 약 1 나노미터의 두께를 가질 수 있으며; x는 약 1.2 - 2.0, 일부 실시예에서는 약 1.5일 수 있다. 하프늄 산화물층(104)은 약 3 - 12 나노미터의 두께, 일부 실시예에서는 약 5 나노미터의 두께를 가질 수 있고; x는 약 1.2 - 2, 일부 실시예에서는 약 1.7일 수 있다. n+ SiGe 층(108)은 약 10 - 100 나노미터의 두께, 일부 실시예에서는 약 20 나노미터의 두께를 가질 수 있다. n+ SiGe 층(106)의 도핑 농도는 약 5 - 35 atm% Ge에서, 약 5×1019 - 5×1021 원자/cm3, 일부 실시예에서는 약 2×1020 원자/cm3일 수 있다. 다른 막 두께, x 값, Ge의 atm% 및/또는 도핑 농도가 사용될 수 있다.
복수층 실리사이드 하부 전극을 갖는 MIM 스택
본 발명의 일부 실시예에 따르면 2개 이상의 실리사이드층으로 이루어지는 하부 전극을 채용하는 MIM 스택이 제공된다. 예를 들어, 도 2a는 제 1 금속-실리사이드층(108a)과 제 2 금속-실리사이드층(108b)을 포함하는 하부 전극(108)과 상부 전극(106) 사이에 개재된 RRS 재료(104)를 포함하는 MIM 스택(200a)을 도시한다. 금속층, 금속 산화물층, 금속/금속 산화물층 스택 등과 같은 하나 이상의 추가적인 층(110)이 추가적으로 후술하는 바와 같이 MIM 스택(200a) 내에서 채용될 수 있다.
RRS 재료(104)는, 예를 들어, HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX, AlXOY, 다른 금속 산화물(MOX) 층, 이러한 금속 산화물의 임의의 조합, 또는 다른 적절한 전환 재료를 포함할 수 있다. 일부 실시예에서, 상부 전극(106)은, 티타늄 질화물, 탄탈룸 질화물, 텅스텐 질화물, 동일한 것의 조합, Ti/TiN, Ta/TaN, W/WN과 같은 금속/금속 질화물 스택 또는 다른 유사한 층을 포함할 수 있다. 다른 실시예에서, 상부 전극(106)은 n+ 실리콘 또는 p+ 실리콘과 같은 고농도로 도핑된 반도체, 고농도로 도핑된 게르마늄, 또는 고농도로 도핑된 실리콘-게르마늄을 포함할 수 있다. 다른 재료 및/또는 구성이 상부 전극(106)으로 사용될 수 있다.
상술한 바와 같이, n+ Si 하부 전극의 사용은 금속 산화물 내의 산소 결핍을 형성하기 위한 자유 에너지를 효과적으로 낮춤으로써 HfO2와 같은 금속 산화물의 전환 특성을 향상시킬 수 있다. 하지만, n+ Si 전극의 사용은 n+ Si 층 위에 금속 산화물층의 형성 전에 결정성 및 표면 특성을 향상시키기 위해 비교적 높은 온도(예를 들어, 약 750℃)의 어닐링을 필요로 한다. 복수 층, 3차원 메모리 어레이를 형성할 때, 각 메모리층에 대한 이렇게 높은 온도의 어닐링의 사용은 메모리 어레이의 열 예산을 초과할 수 있다.
본 발명의 실시예에 따르면, 하부 전극(108)은 2개 이상의 금속 실리사이드층을 포함할 수 있다. 일부 실시예에서, 이러한 1개 이상의 금속 실리사이드층은 채용되는 실리사이드의 유형에 의존하여, 600℃ 이하와 같은 750℃ 미만의 온도, 일부 실시예에서는 약 500 - 550℃의 온도에서 형성될 수 있다. 예를 들어, Si 원자에 대한 Co 또는 Ni 원자의 비율에 같은 요인에 의존하여, 통상적으로 코발트 실리사이드는 약 300 - 800℃의 온도에서 형성될 수 있으며, 통상적으로 니켈 실리사이드는 400 - 800℃의 온도에서 형성될 수 있다. 통상적으로 티타늄 실리사이드 및 텅스텐 실리사이드는 티타늄 실리사이드에 대해 약 500 - 900℃, 텅스텐 실리사이드에 대해 약 1000℃ 이상의 범위에 드는 보다 높은 형성 온도를 필요로 한다.
다른 금속-실리사이드가 사용될 수도 있지만, 제 1 금속-실리사이드층(108a) 및/또는 제 2 금속-실리사이드층(108b)에 사용될 수 있는 예시적인 재료는, 티타늄 실리사이드, 탄탈룸 실리사이드, 텅스텐 실리사이드, 니켈 실리사이드, 코발트 실리사이드 또는 몰리브덴 실리사이드를 포함한다. 일부 실시예에서, 하부(제 1) 금속-실리사이드층(108a)은 상부(제 2) 금속-실리사이드층(108b)보다 더 낮은 온도에서 형성될 수 있다. 이러한 예에서, 하부 금속-실리사이드층(108a)은 상부 금속-실리사이드층(108b)에 대한 결정화 시드층 또는 "템플릿"으로서의 역할을 할 수 있어, 상부 금속-실리사이드층(108b)이 보다 낮은 온도에서 형성될 수 있게 한다. 예를 들어, 제 1 금속-실리사이드층(108a)은 니켈 실리사이드 또는 코발트 실리사이드를 포함할 수 있으며, 제 2 금속-실리사이드층(108b)은 티타늄 실리사이드를 포함할 수 있다. 대안적으로, 제 1 금속-실리사이드층(108a)은 티타늄 실리사이드를 포함할 수 있고, 제 2 금속-실리사이드층(108b)은 텅스텐 실리사이드를 포함할 수 있다. 실리사이드층의 다른 조합도 사용될 수 있다.
제 1 및/또는 제 2 금속-실리사이드층(108a, 108b)에 대한 예시적인 두께는 약 2 내지 약 50 나노미터의 범위에 있다. 다른 두께가 양 층 또는 둘 중의 하나의 층에 사용될 수 있다. 2개의 금속-실리사이드층이 도 2a에 도시되었지만, 2개 초과의 금속-실리사이드층이 채용될 수도 있다는 것이 이해될 것이다(예를 들어, 3, 4, 5 등).
일부 실시예에서, 추가적인 층(들)(110)은, 예를 들어, 티타늄, 티타늄 산화물, 탄탈룸, 탄탈룸 산화물, 텅스텐, 텅스텐 산화물 등을 포함할 수 있다. 또 다른 실시예에서, 추가적인 층(들)(110)은 Ti/TiOX, Zr/ZrOX, Ni/NiOX, Al/AlXOY, Ta/TaOX, Nb/NbOX, Hf/HfOX 또는 임의의 적합한 층 스택과 같은 금속/금속 산화물층 스택을 포함할 수 있다.
도 2b는 도 2b에서 MIM 스택(200b)으로 불리는, 도 2a의 MIM 스택(200a)의 특정 실시예를 도시한다. 도 2b의 MIM 스택(200b)에서, 하부 전극(108)은 복수-층 복수-실리사이드 스택이고, RRS 재료(104)는 하프늄 산화물이고, 상부 전극(106)은 티타늄 질화물이고, 티타늄 또는 티타늄 산화물층이 하프늄 산화물 전환 재료(RRS 재료(104))와 TiN 상부 전극(106) 사이에서 버퍼층으로서 제공된다. 예를 들어, 하부 전극(108)의 각각의 금속-실리사이드층(108a 또는 108b)은 약 2 내지 50 나노미터의 두께를 가질 수 있고, 다른 실시예에서는 약 5 - 25 나노미터, 그리고 일부 실시예에서는 약 20 나노미터의 두께를 가질 수 있다. Ti 또는 TiOX 층(110)은 약 0.5 - 10 나노미터의 두께, 일부 실시예에서는 약 2 나노미터의 두께를 가질 수 있다. TiOX가 채용되는 경우, x는 약 1.2 - 2, 일부 실시예에서는 약 1.5일 수 있다. 하프늄 산화물층(104)은 약 3 - 12 나노미터의 두께, 일부 실시예에서는 약 5 나노미터의 두께를 가질 수 있고, x는 약 1.2 - 2.0, 일부 실시예에서는 약 1.7이다. TiN 상부 전극(106)은 약 2 내지 100 나노미터의 두께, 다른 실시예에서는 약 10 - 60 나노미터의 두께, 일부 실시예에서는 약 20 나노미터의 두께를 가질 수 있다. 다른 막 두께, x 값 및/또는 도핑 농도가 사용될 수 있다.
도 2c는 복수-층 실리사이드 하부 전극(108), 하부 전극(108) 위에 형성된 RRS 재료(104), RRS 재료(104) 위에 형성된 금속 산화물층(110a)과 금속층(110b)을 포함하는 금속/금속 산화물층 스택(110), 및 금속/금속 산화물층 스택(110) 위에 형성된 상부 전극 질화물 전극(106)을 갖는 또 다른 예시적인 MIM 스택(200c)의 단면도를 도시한다.
일반적으로, 상부 전극(106)은, 예를 들어, 티타늄 질화물, 탄탈룸 질화물, 텅스텐 질화물, 동일한 것의 조합, Ti/TiN, Ta/TaN, W/WN과 같은 금속/금속 질화물 스택 또는 다른 유사한 격벽층을 포함할 수 있다. 금속/금속 산화물층 스택(110)은, 예를 들어, Ti/TiOX, Zr/ZrOX, Ni/NiOX, Al/AlXOY, Ta/TaOX, Nb/NbOX, Hf/HfOX 또는 다른 유사한 층 스택을 포함할 수 있다. RRS 재료(104)는, 예를 들어, HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX, AlXOY, 또는 다른 적절한 전환 재료를 포함할 수 있다.
일부 실시예에서, 금속/금속-산화물층 스택(110)은 RRS 재료(104)에 대해 채용된 것과 상이한 재료로 형성될 수 있다. 예를 들어, Ti/TiOX 층 스택이 HfOX, ZrOX, NiOX, TaOX, NbOX 또는 AlXOY 전환 재료와 함께 채용될 수 있다. Zr/ZrOX 층 스택이 HfOX, NiOX, TiOX, TaOX, NbOX 또는 AlXOY 전환 재료와 함께 이용될 수 있다. Ni/NiOX 층 스택이 HfOX, ZrOX, TiOX, TaOX, NbOX 또는 AlXOY 전환 재료와 함께 이용될 수 있다. Al/AlXOY 층 스택이 HfOX, ZrOX, NiOX, TiOX, TaOX 또는 NbOX 전환 재료와 함께 채용될 수 있다. Ta/TaOX 층 스택이 HfOX, TiOX, ZrOX, NiOX, NbOX 또는 AlXOY 전환 재료와 함께 채용될 수 있다. Nb/NbOX 층 스택이 HfOX, TiOX, ZrOX, NiOX, TaOX, 또는 AlXOY 전환 재료와 함께 채용될 수 있다. Hf/HfOX 층 스택이 NbOX, TiOX, ZrOX, NiOX, TaOX, 또는 AlXOY 전환 재료와 함께 채용될 수 있다.
다른 실시예에서, 금속/금속 산화물층 스택(110)은 RRS 재료(104)에 대해 채용된 것과 유사한 재료로 형성될 수 있다. 예를 들어, Ti/TiOX 층 스택은 TiOX 전환층과 함께 채용될 수 있다. 하지만, 이러한 실시예에서, 층 스택의 금속 산화물은 전환 재료의 결정 구조 및 특성에 비해 다른 결정 구조 또는 다른 특성을 가질 수 있다(예를 들어, 비정질 구조 대 결정 구조).
도 2d는, 도 2d에서 MIM 스택(200d)이라 불리는, MIM 스택(200c)의 특정 실시예를 나타내며, 여기에서 상부 전극(106)은 티타늄 질화물이고, 금속/금속 산화물층 스택(110)은 티타늄 산화물 위의 티타늄이고, RRS 재료(104)는 하프늄 산화물이고, 하부 전극(108)은 복수-층 금속-실리사이드 스택이다. 예를 들어, 상부 전극(106)(TiN)은 약 10 - 60 나노미터의 두께, 일부 실시예에서는 약 20 나노미터의 두께를 가질 수 있다. Ti 층(110b)은 약 0.5 - 10 나노미터의 두께, 일부 실시예에서는 약 2 나노미터의 두께를 가질 수 있다. TiOX 층(110a)은 약 0.5 - 6 나노미터의 두께, 일부 실시예에서는 약 1 나노미터의 두께를 가질 수 있으며; x는 약 1.2 - 2.0, 일부 실시예에서는 약 1.5일 수 있다. 하프늄 산화물층(104)은 약 3 - 12 나노미터의 두께, 일부 실시예에서는 약 5 나노미터의 두께를 가질 수 있고; x는 약 1.2 - 2, 일부 실시예에서는 약 1.7일 수 있다. 각 금속-실리사이드층(108a 또는 108b)은 약 2 - 50 나노미터의 두께, 일부 실시예에서는 약 25 나노미터의 두께를 가질 수 있다. 다른 막 두께, x 값 및/또는 도핑 농도가 사용될 수 있다.
도 2e는, 도 2e에서 MIM 스택(200e)이라 불리는, 도 2a의 MIM 스택(200a)의 대안적인 실시예를 도시한다. 도 2e의 MIM 스택(200e)은 도 2a의 MIM 스택(200a)과 유사하지만, 추가적인 금속(M), 금속 질화물(MNX) 또는 RRS 재료(104)와 복수-층 금속-실리사이드층 스택(108) 사이에 위치된 금속 산화물(MOX) 층(212)을 포함한다(이는 하부 전극(108)의 일부를 형성할 수도 있음). 일부 실시예에서, 금속, 금속 질화물 또는 금속 산화물층(212)은 하나 이상의 실리콘, 실리콘 질화물 또는 산화물, 알루미늄, 알루미늄 질화물 또는 산화물, 란탄, 란탄 질화물 또는 산화물, 몰리브덴, 몰리브덴 질화물 또는 산화물, 탄탈룸, 탄탈룸 질화물 또는 산화물, 크롬, 크롬 질화물 또는 산화물, 하프늄, 하프늄 질화물 또는 산화물, 니오븀, 니오븀 질화물 또는 산화물, 바나듐, 바나듐 질화물 또는 산화물, 지르코늄, 또는 지르코늄 질화물 또는 산화물을 포함할 수 있다. 다른 실시예에서, n+ SiGe와 같은 합금이 금속, 금속 질화물 또는 금속 산화물층(212)으로서 사용될 수 있다. 다른 재료도 채용될 수 있다.
특정 금속이 산소 결핍 형성을 보다 강력하게 유리하게 하고, 금속 산화물 내의 산소 결핍의 형성의 깁스(Gibbs) 자유 에너지를 유효하게 감소시킴으로써 금속 산화물 내의 산소 결핍 형성을 촉진시킬 수 있다. 예를 들어, HfO2 게이트 산화물/Si 채널 시스템에서의 산소 결핍 형성을 개시하는 Roberston 등의 "Fermi level pinning by defects in HfO2-metal gate stacks," Appl. Phys. Letters 91, 132912(2007)을 참조한다.
본 발명의 일부 실시예에서, 추가적인 금속, 금속 질화물 또는 금속 산화물층(212)이 금속 산화물 RRS 재료(104) 내의 산소 결핍 형성을 촉진하기 위하여 약 -3 내지 약 -6 eV의 O에 대한 형성의 깁스 자유 에너지를 갖도록 선택될 수 있다. 적절할 수 있는 예시적인 금속은, 예를 들어, Yb, Tb, Y, So, La, Hf, Mg, Zr, Ta, Nb, V, Zn, W, Mo, Ti, Al, Cr, Si, Ni, Re, Co, Cu, Ru, Rh, Pd 및 Ir을 포함한다. 이러한 금속의 금속 질화물(또는 금속 산화물)은 저항률 전환 금속 산화물 내에서 산소 결핍 형성을 보다 바람직하게 강력하게 할 수 있게 한다. 따라서, 일부 실시예에서, 추가적인 금속, 금속 질화물 또는 금속 산화물층(212)은 상술한 재료의 하나 이상의 금속, 금속 질화물 또는 금속 산화물, 또는 약 -3 내지 약 -6 eV의 O에 대한 형성의 깁스 자유 에너지를 갖는 임의의 다른 재료로 형성될 수 있다.
금속, 금속 질화물 또는 금속 산화물층(212)에 대한 예시적인 두께는 약 10 내지 약 100 옹스트롬의 범위에 있을 수 있다. 다른 두께가 사용될 수 있다.
도 2f 내지 2h는 도 2b 내지 2d의 MIM 스택(200b-200d)과 유사한 MIM 스택(200f-200h)을 도시하지만, 금속, 금속 질화물 또는 금속 산화물층(212)을 포함한다.
추가 MIM 스택 실시예
도 3a 내지 3d는, 도 3a 내지 3d에서 MIM 스택(300a-d)이라 불리는, 도 2e 내지 2h의 MIM 스택(200e-200h)의 대안적인 실시예를 도시하며, 여기에서, 금속, 금속 질화물 또는 금속 산화물층(212)과 같은 금속, 금속 질화물 또는 금속 산화물층 제 1 및 제 2 금속-실리사이드층(108a, 108b) 없이 하부 전극(108)으로서 사용된다. 일부 실시예에서, 금속, 금속 질화물 또는 금속 산화물 하부 전극(108)은 하나 이상의 실리콘, 실리콘 질화물 또는 산화물, 알루미늄, 알루미늄 질화물 또는 산화물, 란탄, 란탄 질화물 또는 산화물, 몰리브덴, 몰리브덴 질화물 또는 산화물, 탄탈룸, 탄탈룸 질화물 또는 산화물, 크롬, 크롬 질화물 또는 산화물, 하프늄, 하프늄 질화물 또는 산화물, 니오븀, 니오븀 질화물 또는 산화물, 바나듐, 바나듐 질화물 또는 산화물, 지르코늄, 또는 지르코늄 질화물 또는 산화물을 포함할 수 있다. 다른 재료도 채용될 수 있다.
상술한 바와 같이, 금속, 금속 질화물 또는 금속 산화물 하부 전극(108)이 금속 산화물 전환층(104)에서의 산소 결핍 형성을 촉진하기 위하여 선택될 수 있다. 예를 들어, 금속, 금속 질화물 또는 금속 산화물 하부 전극(108)이 약 -3 내지 약 -6 eV의 O에 대한 형성의 깁스 자유 에너지를 갖도록 선택될 수 있다. 이러한 범위 내에 들 수 있는 예시적인 금속은, 예를 들어, Yb, Tb, Y, So, La, Hf, Mg, Zr, Ta, Nb, V, Zn, W, Mo, Ti, Al, Cr, Si, Ni, Re, Co, Cu, Ru, Rh, Pd 및 Ir을 포함한다. 이러한 금속의 금속 질화물(또는 금속 산화물)은 마찬가지로 저항률 전환 금속 산화물 내에서 산소 결핍 형성을 보다 바람직하게 강력하게 할 수 있게 한다. 따라서, 일부 실시예에서, 금속, 금속 질화물 또는 금속 산화물 하부 전극(108)은 상술한 재료의 하나 이상의 금속, 금속 질화물 또는 금속 산화물, 또는 약 -3 내지 약 -6 eV의 O에 대한 형성의 깁스 자유 에너지를 갖는 임의의 다른 재료로 형성될 수 있다.
금속, 금속 질화물 또는 금속 산화물 하부 전극(108)에 대한 예시적인 두께는 약 10 내지 약 100 옹스트롬의 범위에 있을 수 있다. 다른 두께가 사용될 수 있다. 특정의 일 실시예에서, 금속, 금속 질화물 또는 금속 산화물 하부 전극(108)은 크롬, 크롬 질화물, 하프늄, 하프늄 질화물, 니오븀, 니오븀 질화물, 바나듐, 바나듐 질화물, 지르코늄 또는 지르코늄 질화물을 포함할 수 있다.
예시적인 진보적인 메모리 셀
도 4a는 본 발명에 따른 예시적인 메모리 셀(400)의 개략도이다. 메모리 셀(400)은 스티어링 소자(404)에 연결된 MIM 스택(402)을 포함한다. MIM 스택(402)은 2개 이상의 상태 사이에서 가역적으로 전환될 수 있고, 도 1a 내지 3d를 참조하여 상술한 MIM 스택 중 임의의 것과 유사할 수 있는 저항률을 갖는 RRS 재료(104)(별도로 도시하지 않음)를 포함한다.
스티어링 소자(404)는 박막 트랜지스터, 다이오드, 금속-절연체-금속 터널링 전류 디바이스, 펀치-스루 다이오드, 쇼트키-다이오드 또는 MIM 스택(402) 양단의 전압 및/또는 이를 통해 흐르는 전류를 선택적으로 제한함으로써 비저항(non-ohmic) 도전성을 나타내는 또 다른 유사한 스티어링 소자를 포함할 수 있다. 이러한 방식으로, 메모리 셀(400)은 2차원 또는 3차원 메모리 어레이의 일부로서 사용될 수 있고, 데이터가 어레이의 다른 메모리 셀의 상태에 영향을 주지 않고 메모리 셀(400)로부터 기록 및/또는 판독될 수 있다. 일부 실시예에서, 스티어링 소자(404)는 생략될 수 있고, 메모리 셀(400)은 떨어져 위치된 스티어링 소자와 함께 사용될 수 있다.
메모리 셀과 메모리 어레이의 실시예
도 4b는 스티어링 소자(404)가 다이오드인 본 발명에 따른 메모리 셀(400)의 실시예의 단순화된 사시도이다. 메모리 셀(400)은 제 1 도전체(406a)와 제 2 도전체(406b) 사이에서 다이오드(404)와 직렬로 연결된 MIM 스택(402)(RRS 재료(104)를 가짐)을 포함한다.
도 1a 내지 3d를 참조하여 상술한 바와 같이, MIM 스택(402)은 메모리 셀(400)에 대한 가역 저항률 전환 소자로서의 역할을 할 수 있다. MIM 스택(402)은 도 1a 내지 3d의 MIM 스택 중 임의의 것, 또는 임의의 다른 적절한 MIM 스택과 유사할 수 있으며, MIM 스택(402)에 대하여 상부 및 하부 전극으로서의 역할을 하고 RRS 재료(104)를 둘러싸는 상부 도전층(106)과 하부 도전층(108)을 포함할 수 있다. 금속층, 금속 산화물층, 금속/금속 산화물층 스택 등과 같은 하나 이상의 추가적인 층(110)이 상술한 바와 같이 MIM 스택(402) 내에 채용될 수 있다.
일부 실시예에서, 격벽층(408)이 MIM 스택(402)과 다이오드(404) 사이에 형성될 수 있으며, 격벽층(410)이 MIM 스택(402)과 제 2 도전체(406b) 사이에 형성될 수 있다. 추가적인 격벽층(412)은 다이오드(404)와 제 1 도전체(406a) 사이에 형성될 수 있다. 격벽층(408, 410, 412)은 티타늄, 티타늄 질화물, 탄탈룸, 탄탈룸 질화물, 텅스텐, 텅스텐 질화물, 몰리브덴, 동일한 것의 조합, 또는 다른 유사한 격벽층을 포함할 수 있다. 격벽층(210)은 제 2 도전체(406b)로부터 분리될 수 있거나 이의 일부일 수 있으며, 격벽층(412)은 제 1 도전체(406a)와 분리될 수 있거나 이의 일부일 수 있다.
다이오드(404)는 상방을 가리키는 것이 다이오드의 p 영역 위에 n 영역이 있는 것을 나타내고 하방을 가리키는 것이 다이오드의 n 영역 위에 p 영역이 있는 것을 나타내는 수직 다결정 p-n 또는 p-i-n 다이오드, p-n-p 또는 n-p-n 펀치 스루 다이오드, 쇼트키 다이오드 등과 같은 임의의 적절한 다이오드를 포함할 수 있다. 다이오드(204)의 실시예에 대해 도 5a 내지 5h를 참조하여 후술한다.
도 4b의 실시예에서, MIM 스택(402)은 다이오드(404) 위에 위치된다. 하지만, 도 4c에 도시된 바와 같이, MIM 스택(402)은 대안적으로 다이오드(404)의 아래에 위치될 수도 있다.
제 1 도전체(406a) 및/또는 제 2 도전체(406b)는 텅스텐, 임의의 적절한 금속, 고농도로 도핑된 반도체 재료, 도전성 실리사이드, 도전성 실리사이드-게르마나이드, 도전성 게르마나이드, 높은 도전성의 카본 등과 같은 임의의 적절한 도전성 재료를 포함할 수 있다. 도 4b의 실시예에서, 제 1 및 제 2 도전체(406a, 406b)는 각각 선형 또는 레일형이며 상이한 방향으로 연장된다(예를 들어, 서로 실질적으로 수직이다). 다른 도전체 형상 및/또는 구성이 사용될 수 있다. 일부 실시예에서는, 격벽층, 접착층, 반사방지 코팅 등(미도시)이 디바이스 성능을 향상시키고/향상시키거나 디바이스 제조를 돕기 위해서 제 1 도전체(406a) 및/또는 제 2 도전체(406b)와 함께 사용될 수 있다.
도 4d는 도 4a 또는 4b의 메모리 셀(400)과 같은 복수의 메모리 셀(400)로 형성된 제 1 메모리 레벨(414)의 일부의 단순화된 사시도이다. 단순화를 위해, RRS 재료(104), 도전층(106, 108), 추가적인 층(들)(110), 다이오드(404) 및 격벽층(408, 410, 412)이 별도로 도시되지 않았다. 메모리 어레이(414)는 복수의 메모리 셀이 연결되는(미도시) 복수의 비트 라인{제 2 도전체(406b)}과 워드 라인{제 1 도전체(406a)}을 포함하는 "교차점" 어레이이다. 복수의 메모리 레벨과 같이 다른 메모리 어레이 구성이 사용될 수 있다.
도 4e는 제 2 메모리 레벨(420) 아래에 위치된 제 1 메모리 레벨(418)을 포함하는 모놀리틱 3차원 메모리 어레이(416a)의 일부의 단순화된 사시도이다. 각각의 메모리 레벨(418, 420)은 교차점 어레이에서 복수의 메모리 셀(400)을 포함한다. 본 기술분야의 당업자라면 단순화를 위해 도 4e에 도시하지는 않았지만, 추가적인 층(예를 들어, 레벨간 유전층)이 제 1 및 제 2 메모리 레벨(418, 420) 사이에 존재할 수 있다는 것을 이해할 것이다. 추가적인 메모리 레벨과 같이, 다른 메모리 어레이 구성이 사용될 수 있다.
도 4e의 실시예에서, p-i-n 다이오드와 같은 양극 스티어링 소자가 각 메모리 셀(400) 내에서 채용되는 경우, 다이오드의 하부 또는 상부 상의 p 도핑된 영역을 갖는 p-i-n 다이오드가 채용되는지 여부에 따라 상방 또는 하방인, 모든 다이오드가 동일한 방향으로 "가리킬" 수 있어(동일한 "스티어링 소자" 극성 배향을 가짐), 다이오드 제조를 단순화한다.
일부 실시예에서, 전체 기재 내용이 본 명세서에 완전히 참조로 포함되고, 발명의 명칭이 "High-Density Three-Dimensional Memory Cell"인 미국 특허 제 6,952,030호에 개시된 바와 같이 메모리 레벨이 형성될 수 있다. 예를 들어, 제 1 메모리 레벨의 제 2(상부) 도전체는 도 4f에 도시된 바와 같이 제 1 메모리 레벨 위에 위치된 제 2 메모리 레벨의 제 1(하부) 도전체로서 사용될 수 있다. 이러한 실시예에서, 전체 기재 내용이 본 명세서에 완전히 참조로 포함되고, 발명의 명칭이 "Large Array Of Upward Pointing P-I-N Diodes Having Large And Uniform Current"("'151 출원")이며, 2007년 3월 27일자로 출원된 미국 특허 출원 제 11/692,151호에 개시된 것과 반대 방향으로 인접 메모리 레벨 상의 다이오드가 가리키는 것이 바람직하다. 예를 들어, 도 4f의 메모리 어레이(416b)에 도시된 바와 같이, 제 1 메모리 레벨(418)의 다이오드는 화살표 D1로 나타낸 바와 같이 상방으로 가리키는 다이오드일 수 있으며(예를 들어, 다이오드의 하부에 p 영역을 가짐), 반면 제 2 메모리 레벨(420)의 다이오드는 화살표 D2에 의해 나타내어진 바와 같이 하방으로 가리키는 다이오드일 수 있으며(예를 들어, 다이오드의 하부에 n 영역을 가짐), 그 반대일 수도 있다.
MIM 스택(402)이 양극이면, 도 4f에서와 같이 도전체가 메모리 레벨 사이에서 공유되는 실시예에서, MIM 스택(402)은 메모리 레벨 내에서 동일한 전압 극성 배향이지만, 인접 메모리 레벨 사이에서는 반대의 전압 극성 배향을 갖도록 배열될 수 있다. 예를 들어, 제 1 메모리 레벨(418)의 MIM 스택(402)은 양으로 배향될 수 있는 반면, 제 2 메모리 레벨(420)의 MIM 스택(402)은 음으로 배향될 수 있고, 그 반대일 수도 있다. 일부 실시예에서, 다이오드(404)는 MIM 스택(402)의 설정된 동작 동안 역방향으로 바이어싱되도록 배향될 수 있다. 대안적으로, 다이오드(404)는 MIM 스택(402)의 설정된 동작 동안 순방향으로 바이어싱되도록 배향될 수 있다.
모놀리틱 3차원 메모리 어레이는, 개재되는 기판 없이, 웨이퍼와 같은 단일 기판 위에 복수의 메모리 레벨이 형성되는 메모리 어레이이다. 하나의 메모리 레벨을 형성하는 층은 기존의 레벨 또는 레벨들의 층 위에 직접 증착되거나 성장된다. 반대로, 발명의 명칭이 "Three Dimensional Structure Memory"인 Leedy의 미국 특허 제 5,915,167호에서와 같이, 별도의 기판 상에 메모리 레벨을 형성하고 서로 꼭대기에 메모리 레벨을 증착시킴으로써 스택형 메모리가 구성된다. 기판은 본딩 전에 메모리 레벨로부터 시닝되거나 제거될 수 있지만, 메모리 레벨이 별도의 기판 위에 초기에 형성되므로, 이러한 메모리는 모놀리틱 3차원 메모리 어레이에는 유효하지 않다.
예시적인 스택형 메모리 셀
도 5a는 본 발명에 따라 제공되는 제 1 메모리 셀(500a)의 단면도이다. 추가적인 메모리 셀(미도시)이 도 4d 내지 4f에 도시된 바와 같이 각 메모리 레벨에 제공될 수 있다.
도 5a를 참조하면, 메모리 셀(500a)은 비트 라인(506a)과 워드 라인(506b) 사이에서 다이오드(504a)와 직렬로 연결된 MIM 스택(502a)을 포함한다. MIM 스택(502a)은 양의 극성의 배향을 가져, 비트 라인(506a)에 대하여 워드 라인(506b)에 인가된 양의 전압이 MIM 스택(502a)을 설정하는데 채용될 수 있다. 다이오드(504a)는 이러한 설정 동작 동안 역방향으로 바이어싱되도록 배향된다. 다른 실시예에서, 다이오드(504a)는, MIM 스택(502a) 상에 설정 동작이 수행되는 동안 순방향으로 바이어싱되도록 배향될 수 있다.
MIM 스택(502a)은 상술한 MIM 스택 중 임의의 것, 또는 임의의 다른 적절한 MIM 스택을 포함할 수 있다. 도 5a에서, MIM 스택(502a)은 MIM 스택(100d)(도 1d)과 유사한 것으로 도시된다.
다이오드(504a)는 임의의 2개 단자의, p-n 또는 p-i-n 접합 다이오드와 같은 비선형 스티어링 소자, 펀치 스루 다이오드, 터널링 산화물 디바이스, 쇼트키 다이오드 등을 포함할 수 있다. 도 5a에서, 다이오드(504a)는 p-i-n 접합 다이오드인 것으로 도시된다.
도 5a를 참조하면, 메모리 셀(500a)은 비트 라인(506a)을 포함한다. 비트 라인(506a)은, 텅스텐 또는 다른 적절한 금속, 고농도로 도핑된 반도체 재료, 도전성 실리사이드, 도전성 실리사이드-게르마나이드, 도전성 게르마나이드 등과 같은 임의의 적절한 도전성 재료의 약 200 내지 약 2500 옹스트롬일 수 있다. 일부 실시예에서, 복수의 비트 라인(506a)(예를 들어, 도 4d 내지 4f 참조)이 실질적으로 평행하고 실질적으로 동일면의 비트 라인(506)으로서 형성될 수 있다. 예시적인 비트 라인(506a)에 대한 폭 및/또는 비트 라인(506a) 사이의 간격은, 다른 도전체 폭 및/또는 간격이 사용될 수도 있지만 약 200 내지 약 2500 옹스트롬의 범위에 있다. 비트 라인(506a)은 실리콘 이산화물, 실리콘 질화물, 실리콘 산화질화물, 낮은 K의 유전체 등과 같은 유전체 재료(미도시) 및/또는 다른 유전체 재료에 의해 서로 분리될 수 있다.
격벽층(512)은 비트 라인(506a) 위에 형성된다. 격벽층(512)은 티타늄 질화물 또는 탄탈룸 질화물, 텅스텐 질화물, 텅스텐, 몰리브덴, 하나 이상의 격벽층의 조합, 티타늄/티타늄 질화물, 탄탈룸/탄탈룸 질화물 또는 텅스텐/텅스텐 질화물 스택 등과 같은 다른 층과의 조합으로 된 격벽층과 같은 다른 적절한 격벽층의 약 20 내지 약 500 옹스트롬일 수 있으며, 일부 실시예에서는 약 100 옹스트롬일 수 있다. 다른 격벽층 재료 및/또는 두께가 채용될 수 있다.
다이오드(504a)를 형성하는데 사용되는 반도체 재료가 격벽층(512) 위에 형성된다. 도 5a의 실시예에서, 다이오드(504a)는 폴리실리콘, 다결정 실리콘-게르마늄 합금, 폴리게르마늄 또는 임의의 다른 적절한 재료와 같은 다결정 반도체 재료로 형성된다. 예를 들어, 고농도로 도핑된 비정질 또는 다결정 p+ 실리콘층(504a-1)이 격벽층(512) 상에 증착될 수 있다. CVD 또는 다른 적절한 프로세스가 p+ 실리콘층(504a-1)을 증착시키는데 채용될 수 있다. 적어도 일 실시예에서, p+ 실리콘층(504a-1)이 예를 들어, 약 1021cm-3의 도핑 농도를 갖는 p+ 실리콘에서 약 100 내지 약 1000 옹스트롬으로, 일부 실시예에서는 약 100 옹스트롬으로 형성될 수 있다. 다른 층 두께 및/또는 도핑 농도가 사용될 수 있다. P+ 실리콘층(504a-1)이, 예를 들어, 증착 동안 어셉터 가스를 흐르게 함으로써 원 위치에서 도핑될 수 있거나, 예를 들어, 주입을 통해 다른 위치에서 도핑될 수 있다.
p+ 실리콘층(504a-1)의 증착 후에, 저농도로 도핑된, 진성의 및/또는 비의도적으로 도핑된 비정질 또는 다결정 실리콘층(504a-2)이 p+ 실리콘층(504a-1) 위에 형성될 수 있다. CVD 또는 다른 적절한 증착 방법이 진성 실리콘층(504a-2)을 증착하는데 채용될 수 있다. 적어도 일 실시예에서, 진성 실리콘층(504a-2)은 그 두께가 약 500 내지 약 4800 옹스트롬일 수 있으며, 일부 실시예에서는 약 2500 옹스트롬일 수 있다. 다른 진성층의 두께가 사용될 수도 있다.
추가적인 실리콘이 이온 주입에 의해 증착 및 도핑될 수 있거나 증착 동안 원 위치에서 도핑되어 n+ 실리콘층(504a-3)을 형성한다. 또한, 일부 실시예에서는, 확산 프로세스가 채용될 수 있다. 적어도 일 실시예에서, 결과적인 n+ 실리콘층(504a-3)은 약 1021cm-3의 도핑 농도에서, 약 100 내지 약 1000 옹스트롬의 두께, 일부 실시예에서는 약 100 옹스트롬의 두께를 가질 수 있다. 다른 층 두께 및/또는 도핑 농도가 사용될 수 있다.
n+ 실리콘층(504a-3)이 형성 후에, 실리사이드-형성 금속층 스택(508)이 n+ 실리콘층(504a-3) 위에 증착될 수 있다. 예시적인 실리사이드-형성 금속은 스퍼터링되거나 그렇지 않으면 증착된 티타늄 또는 코발트를 포함한다. 일부 실시예에서, 실리사이드-형성 금속층 스택(508)은 티타늄의 약 1 - 4 나노미터, 티타늄 질화물의 약 15 - 25 나노미터로 형성된다. 다른 실리사이드-형성 금속층 재료 및/또는 두께가 사용될 수 있다.
"RTA(rapid thermal anneal)" 단계가 n+ 영역(504-3)을 갖는 Ti와 같은 실리사이드-형성 금속의 반응에 의해 실리사이드 영역을 형성하기 위해 수행될 수 있다. 일부 실시예에서, RTA는 약 540℃에서 약 1분 동안 수행될 수 있어 실리사이드-형성 금속 및 증착된 다이오드(504a)의 실리콘이 상호작용하게 하여 실리사이드층을 형성하고, 실리사이드-형성 금속의 전부 또는 일부를 소비한다.
다른 실시예에서, 실리사이드층은 실리사이드 타겟을 스퍼터링하거나 금속 및 실리콘을 형성하는 실리사이드를 코-스퍼터링(co-sputtering)함으로써 형성될 수 있다.
본 명세서에 전체 기재 내용이 완전히 참조로 포함되고, 발명의 명칭이 "Memory Cell Comprising A Semiconductor Junction Diode Crystallized Adjacent To A Silicide"인 미국 특허 제 7,176,064호에 개시된 바와 같이, 티타늄 및/또는 코발트와 같은 실리사이드-형성 재료가 어닐링 동안 증착된 실리콘과 반응하여 실리사이드층을 형성한다. 티타늄 실리사이드와 코발트 실리사이드의 격자 간격은 실리콘의 간격과 근접하며, 이러한 실리사이드층은 증착된 실리콘이 결정화될 때 인접한 증착 실리콘에 대한 "결정화 템플릿" 또는 "시드"로서의 역할을 할 수 있다(예를 들어, 실리사이드층은 어닐링 동안 실리콘 다이오드(504a)의 결정화 구조를 향상시킬 수 있다). 이에 의해 보다 낮은 저항률의 실리콘이 제공된다. 유사한 결과가 실리콘-게르마늄 합금 및/또는 게르마늄 다이오드에 대해 달성될 수 있다.
금속층 스택(508)의 형성 후에, MIM 스택(502a)의 하부 전극(108)이 형성될 수 있다. 일부 실시예에서, 하부 전극(108)은 약 5 내지 약 35 atm%에서 약 5×1019 - 5×1021 원자/cm3의 n+ 도핑 농도, 일부 실시예에서는 약 2×1020 원자/cm3의 도핑 농도를 갖는 SiGe 합금의 층을 포함할 수 있다. 상술한 바와 같이, 약 5 - 35 atm%의 Ge를 갖는 SiGe 하부 전극은 산소 결핍 형성을 위해 여전히 풍부한 Si를 공급하면서 결정화 어닐링 온도를 현저하게 감소시킨다. 일부 실시예에서, LPCVD(low pressure chemical vapor deposition) 또는 PECVD(plasma enhanced chemical vapor deposition)와 같은 저온 프로세스가 SiGe 하부 전극(108)을 형성하는데 채용될 수 있다. SiGe 하부 전극(108)이 형성(결정화)될 수 있는 예시적인 온도 범위는 600℃ 이하이며, 일부 실시예에서는 550℃ 이하이다. SiGe 하부 전극(108)에 대한 예시적인 두께는 약 2 내지 100 나노미터의 범위에 있다. 다른 Ge의 atm%, 도핑 유형, 도핑 레벨, 형성 온도 및/또는 층 두께가 다른 실시예에서 사용될 수 있다.
하부 전극(108)의 형성 후에, RRS 재료(104)가 ALD(atomic layer deposition) 또는 다른 적절한 방법에 의해 형성될 수 있다. 예를 들어, RRS 재료(104)는, HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX, AlXOY, 이러한 금속 산화물의 하나 이상의 조합 또는 다른 적절한 전환 재료를 포함할 수 있다. 도 5a의 실시예에서, RRS 재료(104)는 약 3 - 12 나노미터의 두께를 갖는 HfOX를 포함할 수 있고, 일부 실시예에서는 약 5 나노미터일 수 있고, x는 약 1.2 - 2.0일 수 있고, 일부 실시예에서는 약 1.7일 수 있다. 다른 두께 범위 및/또는 x 값이 사용될 수 있다.
RRS 재료(104)의 형성 후에, 금속/금속 산화물층 스택(110)이 형성될 수 있다. 금속/금속 산화물층 스택(110)은, 예를 들어, Ti/TiOX, Zr/ZrOX, Ni/NiOX, Al/AlXOY, Ta/TaOX, Nb/NbOX, Hf/HfOX 또는 다른 유사한 층 스택을 포함할 수 있다. 나타낸 실시예에서, 금속/금속 산화물층 스택(110)은 약 0.5 - 10 나노미터의 두께를 갖는 Ti층(110b)을 포함할 수 있으며, 일부 실시예에서는 약 2 나노미터의 두께를 가질 수 있고, TiOX 층(110a)은 약 0.5 - 6 나노미터의 두께를 갖고 일부 실시예에서는 약 1 나노미터의 두께를 갖고; x는 약 1.2 - 2.0일 수 있고, 일부 실시예에서는 약 1.5일 수 있다. 다른 두께 및/또는 x 값이 사용될 수 있다.
예를 들어, TiOX 층(110a)이 형성하기 위해, HfOX 층(104) 위에 Ti의 층을 증착하고 그 후에 Ti를 산화시킴으로써 TiOX 층(110a)이 형성될 수 있다. 예를 들어, Ti의 층이 PVD를 통해 증착될 수 있고, (예를 들어, Hf 전구체를 흐르게 하지 않음으로써) 그 후에 HfOX 층(104)을 형성하는데 사용되는 동일한 ALD 챔버에서 산화될 수 있다. Ti 층(110b)은 그 후에 TiOX 층(110a) 위에 형성될 수 있다.
상부 전극(106)은 Ti 층(110b) 위에 형성된다. 예를 들어, 상부 전극(106)은 티타늄 질화물, 탄탈룸 질화물, 텅스텐 질화물, 동일한 것의 조합, Ti/TiN, Ta/TaN, W/WN과 같은 금속/금속 질화물 스택 또는 다른 유사한 격벽층을 포함할 수 있다. 나타낸 실시예에서, 상부 전극(106)은 TiN의 약 10 - 60 나노미터를 포함할 수 있고, 일부 실시예에서는 약 20 나노미터를 포함할 수 있다. 다른 층 두께가 사용될 수 있다. 일부 실시예에서는, n+ SiGe층(108), HfOX층(104), TiOX층(110a), Ti층(110b) 및/또는 TiN층(106)이 (예를 들어, 진공을 파괴시키지 않고) 단일 클러스터 툴에서 형성될 수 있어 다양한 층 사이의 계면을 향상시킨다.
상술한 MIM 스택 및 다이오드 층을 (도 4b 내지 4f에 도시된 바와 같이) 기둥형 구조(514)로 에칭하기 위해, 임의의 적절한 에칭 프로세스가 사용될 수 있다. 일부 실시예에서, 하드 마스크 프로세스가 아래와 같이 채용될 수 있다.
(1) W의 약 500 - 1000 옹스트롬과 같이, 상부 TiN 전극(106) 위에 금속 하드 마스크를 증착시킴;
(2) SiXOY의 약 1000 - 2000 옹스트롬과 같이, 금속 하드 마스크 위에 산화물 하드 마스크를 증착시킴;
(3) 폴리실리콘의 약 500 - 2000 옹스트롬과 같이, 산화물 하드 마스크 위에 폴리실리콘 하드 마스크를 증착시킴; 및
(4) 포토레지스트의 약 1000 - 3000 옹스트롬과 같이, 폴리실리콘 하드 마스크 위에 포토레지스트를 증착시킴.
그 후에, 포토레지스트층이 노광 및 현상될 수 있으며, 폴리실리콘 하드 마스크층이 적절한 고농도 플라즈마 에칭 챔버 내에서, 예를 들어, HBr, Cl2, O2 및/또는 He를 이용하여 에칭될 수 있다. 포토레지스트의 박리(애싱(ashing)) 후에, 산화물 하드 마스크가 적절한 매질-농도 플라즈마 에칭 챔버 내에서 예를 들어, C4F6, O2 및 Ar을 이용하여 패터닝 및 에칭된 폴리실리콘 하드 마스크를 통해 에칭될 수 있다.
그 후에, TiN 상부 전극(106)이 예를 들어, HBr, Cl2 및/또는 He를 이용하여 에칭될 수 있고; Ti/TiOX 금속층 스택(110)이 예를 들어, CF4, Cl2, He 및/또는 N2를 이용하여 에칭될 수 있고; HfOX RRS 재료(104)가 예를 들어, HBr, Cl2, He 및/또는 N2를 이용하여 에칭될 수 있고; n+ SiGe 하부 전극(108)이 예를 들어, HBr, Cl2, He, O2 및/또는 N2를 이용하여 에칭될 수 있고; Ti/TiN층 스택(508)이 예를 들어, HBr, Cl2 및/또는 He를 이용하여 에칭될 수 있고; 폴리실리콘 다이오드(504a)가 예를 들어, HBr, Cl2, He, O2 및/또는 N2를 이용해서 에칭될 수 있고; TiN층(512)이 예를 들어, HBr, Cl2 및/또는 He를 이용해서 에칭될 수 있다. 이러한 에칭 프로세스의 모두는 예를 들어, 적절한 고농도 플라즈마 에칭 챔버 내에서 수행될 수 있다. 다른 에칭 챔버 및/또는 프로세스가 채용될 수 있다.
결과적인 기둥형 구조(514)는 적절한 유전체에 의해 둘러싸여져 동일한 메모리 레벨 상의 다른 유사한 기둥형 구조(미도시)로부터 이를 격리할 수 있다. 예를 들어, 약 200 - 7000 옹스트롬의 실리콘 이산화물이 화학적 기계적 연마 또는 에치백 프로세스를 이용해서 증착 및 평탄화될 수 있어 과잉 유전체 재료를 제거하고 수신 워드 라인(506b)에 대한 평탄면을 형성한다. 추가적으로, 약 50 내지 200 옹스트롬과 같은 얇은 실리콘 질화물 라이너가 실리콘 이산화물 증착 전에 증착될 수 있다.
워드 라인(506b)은 임의의 적절한 방법(예를 들어, CVD, PVD 등)에 의해 증착된 텅스텐, 다른 적절한 금속, 고농도로 도핑된 반도체 재료, 도전성 실리사이드, 도전성 실리사이드-게르마나이드, 도전성 게르마나이드 등과 같은 임의의 적절한 도전성 재료로 형성될 수 있다. 다른 도전층 재료가 사용될 수도 있다. 예를 들어, 도전성 재료가 증착 및 에칭되어 워드 라인(506b)(그리고 별도로 도시하지 않은 다른 워드 라인)을 형성할 수 있다. 적어도 일 실시예에서, 이러한 워드 라인은 비트 라인(들)(506a)과 상이한 방향으로 연장하는 실질적으로 평행하고 실질적으로 동일 평면의 도전체이다(예를 들어, 도 4f에 도시됨).
워드 라인(506b)은 적절한 유전체 충진 및 에치백 프로세스를 통해 다른 워드 라인과 격리될 수 있다. 그 후에, 추가적인 메모리 셀(미도시)이 메모리 셀(500a)을 형성하는데 사용되는 것과 유사한 방식으로 워드 라인(506b) 위에 형성될 수 있다.
메모리 셀(500a)(및/또는 메모리 셀 스택(500a) 위에 형성되는 임의의 추가적인 메모리 셀 층/레벨)의 형성 후에, 결과적인 구조가 어닐링되어 증착된 다이오드(504a)의 반도체 재료를 결정화할 수 있다(및/또는 다이오드(504a)의 실리콘 영역(들)을 갖는 층(508)으로부터의 실리사이드-형성 금속의 반응에 의해 실리사이드 영역을 형성한다). 상술한 바와 같이, 티타늄 실리사이드와 코발트 실리사이드의 격자 간격은 실리콘의 격자 간격과 근접하며, 실리사이드층은 증착된 실리콘이 결정화할 때 인접한 증착 실리콘에 대한 "결정화 템플릿" 또는 "시드"로서의 역할을 할 수 있는 것으로 보인다(예를 들어, 실리사이드층은 약 600 - 800℃의 온도에서의 어닐링 동안 실리콘 다이오드의 결정 구조를 향상시킬 수 있다). 이에 의해 보다 낮은 저항률의 다이오드 재료가 제공된다. 유사한 결과가 실리콘-게르마늄 합금 및/또는 게르마늄 다이오드에 대해 달성될 수 있다.
따라서, 적어도 일 실시예에서, 결정화 어닐링이 약 600 내지 800℃, 일부 실시예에서는 약 650 내지 약 750℃의 온도의 질소에서 약 10초 내지 약 2분 동안 수행될 수 있다. 다른 어닐링 시간, 온도 및/또는 환경이 사용될 수도 있다.
도 5b는 본 발명에 따라 제공된 제 2 메모리 셀(500b)의 단면도이다. 도 5b의 제 2 메모리 셀(500b)은 도 5a의 제 1 메모리 셀(500a)과 유사하지만, 제 1 메모리 셀(500a)에 의해 채용된 양극 스티어링 소자 대신 단극 스티어링 소자를 채용한다. 예를 들어, 도 5b의 다이오드(604b)는 도 5a의 메모리 셀(500a)에서 사용된 p-i-n 접합 다이오드가 아니라 펀치 스루 다이오드이다. 상술한 바와 같이, 터널링 디바이스, 쇼트키 다이오드 등과 같은 다른 스티어링 소자가 사용될 수도 있다.
도 5c는 본 발명에 따라 제공된 제 3 메모리 셀(500c)의 단면도이다. 도 5c의 제 3 메모리 셀(500c)은 도 5a의 제 1 메모리 셀(500a)과 유사하지만(유사하게 형성될 수 있음), (도 2a 내지 2d를 참조하여 상술한 바와 같이) 이중층 금속-실리사이드 하부 전극(108)을 채용한다.
도 5d는 본 발명에 따라 제공된 제 4 메모리 셀(500d)의 단면도이다. 도 5d의 제 4 메모리 셀(500b)은 도 5c의 제 3 메모리 셀(500c)과 유사하지만, 제 3 메모리 셀(500c)에 의해 채용된 양극 스티어링 소자 대신 단극 스티어링 소자를 채용한다. 예를 들어, 도 5d의 다이오드(504d)는 도 5c의 메모리 셀(500c)에서 사용된 p-i-n 접합 다이오드가 아니라 펀치 스루 다이오드이다. 상술한 바와 같이, 터널링 디바이스, 쇼트키 다이오드 등과 같은 다른 스티어링 소자가 사용될 수도 있다.
도 5e는 본 발명에 따라 제공된 제 5 메모리 셀(500e)의 단면도이다. 도 5e의 제 5 메모리 셀(500e)은 도 5a의 제 1 메모리 셀(500a)과 유사하지만(유사하게 형성될 수 있음), (도 2e 내지 2h를 참조하여 상술한 바와 같이) 하부 전극에 대해 추가적인 금속, 금속 질화물 또는 금속 산화물층(112)을 갖는 금속-실리사이드 이중층을 채용한다.
도 5f는 본 발명에 따라 제공된 제 6 메모리 셀(500f)의 단면도이다. 도 5f의 제 6 메모리 셀(500f)은 도 5e의 제 5 메모리 셀(500e)과 유사하지만, 제 5 메모리 셀(500e)에 의해 채용된 양극 스티어링 소자 대신 단극 스티어링 소자를 채용한다. 예를 들어, 도 5f의 다이오드(504f)는 도 5e의 메모리 셀(500e)에서 사용된 p-i-n 접합 다이오드가 아니라 펀치 스루 다이오드이다. 상술한 바와 같이, 터널링 디바이스, 쇼트키 다이오드 등과 같은 다른 스티어링 소자가 사용될 수도 있다.
도 5g는 본 발명에 따라 제공된 제 7 메모리 셀(500g)의 단면도이다. 도 5g의 제 7 메모리 셀(500g)은 도 5a의 제 1 메모리 셀(500a)과 유사하지만(유사하게 형성될 수 있음), (도 3a 내지 3d를 참조하여 상술한 바와 같이) 하부 전극(108)에 대해 금속, 금속 질화물 또는 금속 산화물층을 채용한다.
도 5h는 본 발명에 따라 제공된 제 8 메모리 셀(500h)의 단면도이다. 도 5h의 제 8 메모리 셀(500h)은 도 5g의 제 7 메모리 셀(500g)과 유사하지만, 제 7 메모리 셀(500g)에 의해 채용된 양극 스티어링 소자 대신 단극 스티어링 소자를 채용한다. 예를 들어, 도 5h의 다이오드(504h)는 도 5g의 메모리 셀(500g)에서 사용된 p-i-n 접합 다이오드가 아니라 펀치 스루 다이오드이다. 상술한 바와 같이, 터널링 디바이스, 쇼트키 다이오드 등과 같은 다른 스티어링 소자가 사용될 수도 있다.
상술한 설명은 단지 본 발명의 예시적인 실시예를 개시한다. 본 발명의 범위 내에 드는 상술한 장치 및 방법의 변형이 본 기술 분야의 당업자에게 용이하게 자명할 것이다. 예를 들어, MIM 스택은 임의의 메모리 셀 내에서 스티어링 소자 위에 또는 아래에 위치될 수 있다.
따라서, 본 발명이 예시적인 실시예와 연계하여 개시되었지만, 이하의 청구항에 의해 규정되는, 본 발명의 사상 및 범위 내에 다른 실시예도 속할 수 있다는 것이 이해되어야 한다.

Claims (25)

  1. 금속-절연체-금속(MIM: metal-insulator-metal) 스택으로서,
    실리콘-게르마늄(SiGe) 합금을 포함하는 제 1 도전층과,
    상기 제 1 도전층 위에 형성된 금속 산화물층을 포함하는 저항률 전환층(resistivity switching layer)과,
    상기 저항률 전환층 위에 형성된 제 2 도전층을
    포함하는, 금속-절연체-금속(MIM) 스택.
  2. 제 1항에 있어서, 상기 SiGe 합금은 약 5 atm% 내지 약 35 atm%의 Ge를 포함하는, MIM 스택.
  3. 제 1항에 있어서, 상기 제 1 도전층은 약 2 나노미터 내지 약 100 나노미터의 두께를 갖는, 금속-절연체-금속(MIM) 스택.
  4. 제 1항에 있어서, 상기 금속 산화물층은, HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX 또는 AlXOY 중 하나 이상을 포함하는, 금속-절연체-금속(MIM) 스택.
  5. 제 1항에 있어서, 상기 제 2 도전층은 티타늄 질화물을 포함하는, 금속-절연체-금속(MIM) 스택.
  6. 제 5항에 있어서, 상기 제 2 도전층은, 상기 저항률 전환층 위에 형성된 티타늄층과 티타늄 산화물층 중 적어도 하나와 그 위에 형성된 티타늄 질화물층을 갖는 층 스택(layer stack)을 포함하는, 금속-절연체-금속(MIM) 스택.
  7. 메모리 셀로서,
    청구항 제 1항의 MIM 스택과,
    상기 MIM 스택에 연결된 스티어링 소자(steering element)를
    포함하는, 메모리 셀.
  8. 제 7항에 있어서, 상기 스티어링 소자는 상기 MIM 스택에 직렬로 연결된 수직 폴리실리콘 다이오드(vertical polysilicon diode)를 포함하는, 메모리 셀.
  9. 제 7항에 있어서, 상기 SiGe 합금은 약 5 atm% 내지 약 35 atm%의 Ge를 포함하는, 메모리 셀.
  10. 제 7항에 있어서, 상기 제 1 도전층은 약 2 나노미터 내지 약 100 나노미터의 두께를 갖는, 메모리 셀.
  11. 제 7항에 있어서, 상기 금속 산화물층은, HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX 또는 AlXOY 중 하나 이상을 포함하는, 메모리 셀.
  12. 제 7항에 있어서, 상기 제 2 도전층은, 상기 저항률 전환층 위에 형성된 티타늄층과 티타늄 산화물층 중 적어도 하나와 그 위에 형성된 티타늄 질화물층을 갖는 층 스택을 포함하는, 메모리 셀.
  13. 금속-절연체-금속(MIM) 스택을 형성하는 방법으로서,
    실리콘-게르마늄(SiGe) 합금을 포함하는 제 1 도전층을 형성하는 단계와,
    상기 제 1 도전층 위에 금속 산화물층을 포함하는 저항률 전환층을 형성하는 단계와,
    상기 저항률 전환층 위에 제 2 도전층을 형성하는 단계를
    포함하는, 금속-절연체-금속(MIM) 스택 형성 방법.
  14. 제 13항에 있어서, 상기 제 1 도전층은 약 600℃ 이하의 온도에서 형성되는, 금속-절연체-금속(MIM) 스택 형성 방법.
  15. 제 13항에 있어서, 상기 제 1 도전층은 약 550℃ 이하의 온도에서 형성되는, 금속-절연체-금속(MIM) 스택 형성 방법.
  16. 제 13항에 있어서, 상기 제 1 도전층은 저압 화학 증기 증착 또는 플라즈마 강화 화학 증기 증착(plasma enhanced chemical vapor deposition)을 사용하여 형성되는, 금속-절연체-금속(MIM) 스택 형성 방법.
  17. 제 13항에 있어서, 상기 SiGe 합금은 약 5 atm% 내지 약 35 atm%의 Ge를 포함하는, 금속-절연체-금속(MIM) 스택 형성 방법.
  18. 제 13항에 있어서, 상기 제 2 도전층은, 상기 저항률 전환층 위에 형성된 티타늄층과 티타늄 산화물층 중 적어도 하나와 그 위에 형성된 티타늄 질화물층을 갖는 층 스택을 포함하는, 금속-절연체-금속(MIM) 스택 형성 방법.
  19. 제 13항에 있어서, 상기 금속 산화물층은, HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX 또는 AlXOY 중 하나 이상을 포함하는, 금속-절연체-금속(MIM) 스택 형성 방법.
  20. 메모리 셀을 형성하는 방법으로서,
    실리콘-게르마늄(SiGe) 합금을 포함하는 제 1 도전층과,
    상기 제 1 도전층 위에 형성된 금속 산화물층을 포함하는 저항률 전환층과,
    상기 저항률 전환층 위에 형성된 제 2 도전층을
    갖는, 금속-절연체-금속(MIM) 스택을 형성하는 단계와,
    상기 MIM 스택에 연결된 스티어링 소자를 형성하는 단계를
    포함하는, 메모리 셀 형성 방법.
  21. 제 20항에 있어서, 상기 제 1 도전층은 약 600℃ 이하의 온도에서 형성되는, 메모리 셀 형성 방법.
  22. 제 20항에 있어서, 상기 제 1 도전층은 약 550℃ 이하의 온도에서 형성되는, 메모리 셀 형성 방법.
  23. 제 20항에 있어서, 상기 제 1 도전층은 저압 화학 증기 증착 또는 플라즈마 강화 화학 증기 증착을 사용하여 형성되는, 메모리 셀 형성 방법.
  24. 제 20항에 있어서, 상기 SiGe 합금은 약 5 atm% 내지 약 35 atm%의 Ge를 포함하는, 메모리 셀 형성 방법.
  25. 제 20항에 있어서, 상기 금속 산화물층은, HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX 또는 AlXOY 중 하나 이상을 포함하는, 메모리 셀 형성 방법.
KR1020127024030A 2010-03-16 2011-03-16 금속 산화물 저항률 전환층과 함께 사용하기 위한 하부 전극 KR20130007571A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US31457710P 2010-03-16 2010-03-16
US61/314,577 2010-03-16
US13/047,020 US8772749B2 (en) 2010-03-16 2011-03-14 Bottom electrodes for use with metal oxide resistivity switching layers
US13/047,020 2011-03-14
PCT/US2011/028394 WO2011115924A1 (en) 2010-03-16 2011-03-16 Bottom electrodes for use with metal oxide resistivity switching layers

Publications (1)

Publication Number Publication Date
KR20130007571A true KR20130007571A (ko) 2013-01-18

Family

ID=44646512

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020127024032A KR20130007572A (ko) 2010-03-16 2011-03-14 금속 산화물 저항률 전환층과 함께 사용하기 위한 하부 전극
KR1020127024030A KR20130007571A (ko) 2010-03-16 2011-03-16 금속 산화물 저항률 전환층과 함께 사용하기 위한 하부 전극

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020127024032A KR20130007572A (ko) 2010-03-16 2011-03-14 금속 산화물 저항률 전환층과 함께 사용하기 위한 하부 전극

Country Status (6)

Country Link
US (3) US8354660B2 (ko)
EP (2) EP2548238B8 (ko)
JP (2) JP2013522912A (ko)
KR (2) KR20130007572A (ko)
TW (2) TW201145633A (ko)
WO (2) WO2011115926A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170068559A (ko) * 2014-10-16 2017-06-19 마이크론 테크놀로지, 인크. 메모리 셀 애플리케이션들을 위한 선택 디바이스

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011115926A1 (en) * 2010-03-16 2011-09-22 Sandisk 3D, Llc Bottom electrodes for use with metal oxide resistivity switching layers
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
US8441835B2 (en) 2010-06-11 2013-05-14 Crossbar, Inc. Interface control for improved switching in RRAM
US8198144B2 (en) * 2010-06-11 2012-06-12 Crossbar, Inc. Pillar structure for memory device and method
US8374018B2 (en) 2010-07-09 2013-02-12 Crossbar, Inc. Resistive memory using SiGe material
US8569172B1 (en) 2012-08-14 2013-10-29 Crossbar, Inc. Noble metal/non-noble metal electrode for RRAM applications
US8947908B2 (en) 2010-11-04 2015-02-03 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US8467227B1 (en) 2010-11-04 2013-06-18 Crossbar, Inc. Hetero resistive switching material layer in RRAM device and method
US8168506B2 (en) 2010-07-13 2012-05-01 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US8404553B2 (en) 2010-08-23 2013-03-26 Crossbar, Inc. Disturb-resistant non-volatile memory device and method
US8492195B2 (en) 2010-08-23 2013-07-23 Crossbar, Inc. Method for forming stackable non-volatile resistive switching memory devices
US9401475B1 (en) 2010-08-23 2016-07-26 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8889521B1 (en) 2012-09-14 2014-11-18 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8558212B2 (en) 2010-09-29 2013-10-15 Crossbar, Inc. Conductive path in switching material in a resistive random access memory device and control
US8391049B2 (en) 2010-09-29 2013-03-05 Crossbar, Inc. Resistor structure for a non-volatile memory device and method
US8389971B2 (en) 2010-10-14 2013-03-05 Sandisk 3D Llc Memory cells having storage elements that share material layers with steering elements and methods of forming the same
US8841648B2 (en) 2010-10-14 2014-09-23 Sandisk 3D Llc Multi-level memory arrays with memory cells that employ bipolar storage elements and methods of forming the same
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
US8502185B2 (en) 2011-05-31 2013-08-06 Crossbar, Inc. Switching device having a non-linear element
US8088688B1 (en) 2010-11-05 2012-01-03 Crossbar, Inc. p+ polysilicon material on aluminum for non-volatile memory device and method
US8618614B2 (en) 2010-12-14 2013-12-31 Sandisk 3D Llc Continuous mesh three dimensional non-volatile storage with vertical select devices
US8930174B2 (en) 2010-12-28 2015-01-06 Crossbar, Inc. Modeling technique for resistive random access memory (RRAM) cells
US8791010B1 (en) 2010-12-31 2014-07-29 Crossbar, Inc. Silver interconnects for stacked non-volatile memory device and method
US8815696B1 (en) 2010-12-31 2014-08-26 Crossbar, Inc. Disturb-resistant non-volatile memory device using via-fill and etchback technique
US9153623B1 (en) 2010-12-31 2015-10-06 Crossbar, Inc. Thin film transistor steering element for a non-volatile memory device
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8450710B2 (en) 2011-05-27 2013-05-28 Crossbar, Inc. Low temperature p+ silicon junction material for a non-volatile memory device
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US8394670B2 (en) 2011-05-31 2013-03-12 Crossbar, Inc. Vertical diodes for non-volatile memory device
US8619459B1 (en) 2011-06-23 2013-12-31 Crossbar, Inc. High operating speed resistive random access memory
US9166163B2 (en) 2011-06-30 2015-10-20 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
US8659929B2 (en) 2011-06-30 2014-02-25 Crossbar, Inc. Amorphous silicon RRAM with non-linear device and operation
US8946669B1 (en) 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
JP2013026289A (ja) * 2011-07-15 2013-02-04 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
CN103828047A (zh) 2011-07-22 2014-05-28 科洛斯巴股份有限公司 用于非易失性存储器装置的p+硅锗材料的种子层及方法
US9729155B2 (en) 2011-07-29 2017-08-08 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US8674724B2 (en) 2011-07-29 2014-03-18 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US10056907B1 (en) 2011-07-29 2018-08-21 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US8546275B2 (en) * 2011-09-19 2013-10-01 Intermolecular, Inc. Atomic layer deposition of hafnium and zirconium oxides for memory applications
JP2013069933A (ja) * 2011-09-22 2013-04-18 Toshiba Corp 不揮発性半導体記憶装置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP2013122985A (ja) * 2011-12-12 2013-06-20 Toshiba Corp 半導体記憶装置
US9269425B2 (en) * 2011-12-30 2016-02-23 Sandisk 3D Llc Low forming voltage non-volatile storage device
US8846484B2 (en) * 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US8741772B2 (en) * 2012-02-16 2014-06-03 Intermolecular, Inc. In-situ nitride initiation layer for RRAM metal oxide switching material
US8716098B1 (en) 2012-03-09 2014-05-06 Crossbar, Inc. Selective removal method and structure of silver in resistive switching device for a non-volatile memory device
US9087576B1 (en) 2012-03-29 2015-07-21 Crossbar, Inc. Low temperature fabrication method for a three-dimensional memory device and structure
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
US8796658B1 (en) 2012-05-07 2014-08-05 Crossbar, Inc. Filamentary based non-volatile resistive memory device and method
JP2013235956A (ja) * 2012-05-09 2013-11-21 Toshiba Corp 半導体記憶装置
US8765566B2 (en) 2012-05-10 2014-07-01 Crossbar, Inc. Line and space architecture for a non-volatile memory device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
EP2695966B1 (en) 2012-08-06 2018-10-03 IMEC vzw ALD method
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
US10096653B2 (en) 2012-08-14 2018-10-09 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US20140054531A1 (en) * 2012-08-24 2014-02-27 Intermolecular, Inc. Defect enhancement of a switching layer in a nonvolatile resistive memory element
US8946673B1 (en) 2012-08-24 2015-02-03 Crossbar, Inc. Resistive switching device structure with improved data retention for non-volatile memory device and method
US9129894B2 (en) 2012-09-17 2015-09-08 Intermolecular, Inc. Embedded nonvolatile memory elements having resistive switching characteristics
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9312483B2 (en) 2012-09-24 2016-04-12 Crossbar, Inc. Electrode structure for a non-volatile memory device and method
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
US11068620B2 (en) 2012-11-09 2021-07-20 Crossbar, Inc. Secure circuit integrated with memory layer
US8982647B2 (en) 2012-11-14 2015-03-17 Crossbar, Inc. Resistive random access memory equalization and sensing
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9412790B1 (en) 2012-12-04 2016-08-09 Crossbar, Inc. Scalable RRAM device architecture for a non-volatile memory device and method
US8872152B2 (en) 2012-12-13 2014-10-28 Intermolecular, Inc. IL-free MIM stack for clean RRAM devices
TWI484679B (zh) * 2012-12-17 2015-05-11 Winbond Electronics Corp 非揮發性記憶體
US8796103B2 (en) 2012-12-20 2014-08-05 Intermolecular, Inc. Forming nonvolatile memory elements by diffusing oxygen into electrodes
US8860002B2 (en) 2012-12-20 2014-10-14 Intermolecular, Inc. Limited maximum fields of electrode-switching layer interfaces in Re-RAM cells
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9406379B2 (en) 2013-01-03 2016-08-02 Crossbar, Inc. Resistive random access memory with non-linear current-voltage relationship
US9047940B2 (en) 2013-01-10 2015-06-02 Intermolecular, Inc. Resistive random access memory cells having variable switching characteristics
US9112145B1 (en) 2013-01-31 2015-08-18 Crossbar, Inc. Rectified switching of two-terminal memory via real time filament formation
US9324942B1 (en) 2013-01-31 2016-04-26 Crossbar, Inc. Resistive memory cell with solid state diode
US8934280B1 (en) 2013-02-06 2015-01-13 Crossbar, Inc. Capacitive discharge programming for two-terminal memory cells
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014138124A1 (en) 2013-03-04 2014-09-12 Sandisk 3D Llc Vertical bit line non-volatile memory systems and methods of fabrication
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9165933B2 (en) 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
EP2793279A1 (en) * 2013-04-19 2014-10-22 ETH Zurich Strained multilayer resistive-switching memory elements
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device
US9269898B2 (en) * 2014-02-07 2016-02-23 Crossbar, Inc. Low temperature deposition for silicon-based conductive film
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9362338B2 (en) 2014-03-03 2016-06-07 Sandisk Technologies Inc. Vertical thin film transistors in non-volatile storage systems
US9379246B2 (en) 2014-03-05 2016-06-28 Sandisk Technologies Inc. Vertical thin film transistor selection devices and methods of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9246087B1 (en) * 2014-11-24 2016-01-26 Intermolecular, Inc. Electron barrier height controlled interfaces of resistive switching layers in resistive random access memory cells
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) * 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9450023B1 (en) 2015-04-08 2016-09-20 Sandisk Technologies Llc Vertical bit line non-volatile memory with recessed word lines
US9305974B1 (en) 2015-04-16 2016-04-05 Stmicroelectronics, Inc. High density resistive random access memory (RRAM)
KR101735187B1 (ko) * 2015-06-30 2017-05-15 서울대학교산학협력단 가변 저항체, 이를 이용한 비휘발성 메모리 소자 및 이들의 제조 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10332957B2 (en) * 2016-06-30 2019-06-25 International Business Machines Corporation Stacked capacitor with symmetric leakage and break-down behaviors
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10672604B2 (en) 2016-09-20 2020-06-02 The Board Of Trustees Of The Leland Stanford Junior University Metal oxide-resistive memory using two-dimensional edge electrodes
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10762940B2 (en) 2016-12-09 2020-09-01 Integrated Magnetoelectronics Corporation Narrow etched gaps or features in multi-period thin-film structures
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10510547B2 (en) 2017-09-13 2019-12-17 Applied Materials, Inc. Metal and metal-derived films
JP2019057544A (ja) 2017-09-19 2019-04-11 東芝メモリ株式会社 記憶素子
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR102397393B1 (ko) 2017-11-28 2022-05-12 삼성전자주식회사 반도체 장치
EP3721486A4 (en) * 2017-12-06 2021-08-18 Integrated Magnetoelectronics Corporation NARROW ETCHED GAPS OR FEATURES IN MULTI-PERIOD THIN-LAYER STRUCTURES
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
FR3090180A1 (fr) * 2018-12-14 2020-06-19 Commissariat A L'energie Atomique Et Aux Energies Alternatives Cellule memoire resistive a base d’oxyde oxram et procede de fabrication associe
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200122175A (ko) * 2019-04-17 2020-10-27 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
CN110854267B (zh) * 2019-12-09 2023-09-22 上海华力微电子有限公司 阻变存储器及其制造方法
WO2022240426A1 (en) * 2021-05-12 2022-11-17 Tetramem Inc. Resistive random-access memory devices with multi-component electrodes
US20240074162A1 (en) * 2022-08-30 2024-02-29 Applied Materials, Inc. Lanthanum nitride as a dram molybdenum liner

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4954455A (en) 1984-12-18 1990-09-04 Advanced Micro Devices Semiconductor memory device having protection against alpha strike induced errors
JPS62501799A (ja) 1985-01-16 1987-07-16 クレイビユ− プロプライエタリイ リミテツド 航法装置の改良
JPH0239983Y2 (ko) 1985-09-03 1990-10-25
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6509601B1 (en) * 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
US7157314B2 (en) * 1998-11-16 2007-01-02 Sandisk Corporation Vertically stacked field programmable nonvolatile memory and method of fabrication
DE50000924D1 (de) 1999-03-19 2003-01-23 Infineon Technologies Ag Speicherzellenanordnung und verfahren zu deren herstellung
US6343032B1 (en) 1999-07-07 2002-01-29 Iowa State University Research Foundation, Inc. Non-volatile spin dependent tunnel junction circuit
US6542000B1 (en) 1999-07-30 2003-04-01 Iowa State University Research Foundation, Inc. Nonvolatile programmable logic devices
JP4880867B2 (ja) 2002-04-10 2012-02-22 セイコーインスツル株式会社 薄膜メモリ、アレイとその動作方法および製造方法
US7176064B2 (en) 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
WO2004061851A2 (en) 2002-12-19 2004-07-22 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7767499B2 (en) 2002-12-19 2010-08-03 Sandisk 3D Llc Method to form upward pointing p-i-n diodes having large and uniform current
KR100533958B1 (ko) 2004-01-05 2005-12-06 삼성전자주식회사 상변화 메모리 장치 및 그 제조 방법
CN101010793B (zh) 2004-06-30 2011-09-28 Nxp股份有限公司 制造具有通过纳米线接触的导电材料层的电子器件的方法
US20080237696A1 (en) 2004-07-01 2008-10-02 Chih-Hsin Wang Alignment protection in non-volatile memory and array
DE102004041330B3 (de) 2004-08-26 2006-03-16 Infineon Technologies Ag Speicherschaltung mit ein Widerstandsspeicherelement aufweisenden Speicherzellen
US7026689B2 (en) 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
US7405465B2 (en) 2004-09-29 2008-07-29 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
US8179711B2 (en) 2004-10-26 2012-05-15 Samsung Electronics Co., Ltd. Semiconductor memory device with stacked memory cell and method of manufacturing the stacked memory cell
US7812404B2 (en) 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US20060250836A1 (en) 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
KR100634241B1 (ko) * 2005-05-30 2006-10-13 삼성전자주식회사 반도체 커패시터 및 그 제조 방법
KR100682946B1 (ko) * 2005-05-31 2007-02-15 삼성전자주식회사 상전이 램 및 그 동작 방법
JP4662990B2 (ja) 2005-06-20 2011-03-30 富士通株式会社 不揮発性半導体記憶装置及びその書き込み方法
US20070069241A1 (en) 2005-07-01 2007-03-29 Matrix Semiconductor, Inc. Memory with high dielectric constant antifuses and method for using at low voltage
KR100960208B1 (ko) 2005-07-29 2010-05-27 후지쯔 가부시끼가이샤 저항 기억 소자 및 불휘발성 반도체 기억 장치
JPWO2007023569A1 (ja) 2005-08-26 2009-03-26 富士通株式会社 不揮発性半導体記憶装置及びその書き込み方法
JP4778765B2 (ja) * 2005-10-07 2011-09-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US20070132049A1 (en) 2005-12-12 2007-06-14 Stipe Barry C Unipolar resistance random access memory (RRAM) device and vertically stacked architecture
KR101186293B1 (ko) 2006-01-19 2012-09-27 삼성전자주식회사 배리스터를 포함하는 저항성 메모리 소자 및 그 동작 방법
US7875871B2 (en) * 2006-03-31 2011-01-25 Sandisk 3D Llc Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride
US7501331B2 (en) * 2006-03-31 2009-03-10 Sandisk 3D Llc Low-temperature metal-induced crystallization of silicon-germanium films
US7808810B2 (en) 2006-03-31 2010-10-05 Sandisk 3D Llc Multilevel nonvolatile memory cell comprising a resistivity-switching oxide or nitride and an antifuse
US7754605B2 (en) * 2006-06-30 2010-07-13 Sandisk 3D Llc Ultrashallow semiconductor contact by outdiffusion from a solid source
US8030637B2 (en) 2006-08-25 2011-10-04 Qimonda Ag Memory element using reversible switching between SP2 and SP3 hybridized carbon
JP4973666B2 (ja) 2006-11-30 2012-07-11 富士通株式会社 抵抗記憶素子及びその製造方法、並びに不揮発性半導体記憶装置
WO2008075412A1 (ja) 2006-12-19 2008-06-26 Fujitsu Limited 抵抗変化素子及びその製造方法
KR100896180B1 (ko) 2007-01-23 2009-05-12 삼성전자주식회사 선택적으로 성장된 상변화층을 구비하는 상변화 메모리소자 및 그 제조방법
US7678607B2 (en) 2007-02-05 2010-03-16 Intermolecular, Inc. Methods for forming resistive switching memory elements
KR100809724B1 (ko) 2007-03-02 2008-03-06 삼성전자주식회사 터널링층을 구비한 바이폴라 스위칭 타입의 비휘발성메모리소자
US7629198B2 (en) 2007-03-05 2009-12-08 Intermolecular, Inc. Methods for forming nonvolatile memory elements with resistive-switching metal oxides
JP5422552B2 (ja) 2007-05-09 2014-02-19 インターモレキュラー, インコーポレイテッド 抵抗性スイッチング不揮発性メモリ要素
US8294219B2 (en) 2007-07-25 2012-10-23 Intermolecular, Inc. Nonvolatile memory element including resistive switching metal oxide layers
US20090095985A1 (en) 2007-10-10 2009-04-16 Samsung Electronics Co., Ltd. Multi-layer electrode, cross point memory array and method of manufacturing the same
JP2010287582A (ja) 2007-10-15 2010-12-24 Panasonic Corp 不揮発性記憶素子およびその製造方法、並びにその不揮発性記憶素子を用いた不揮発性半導体装置
US7718990B2 (en) * 2007-12-04 2010-05-18 Ovonyx, Inc. Active material devices with containment layer
WO2009072201A1 (ja) 2007-12-06 2009-06-11 Fujitsu Limited 抵抗変化素子とその製造方法、及び抵抗変化素子を用いた半導体記憶装置
US8284596B2 (en) 2008-06-09 2012-10-09 Qimonda Ag Integrated circuit including an array of diodes coupled to a layer of resistance changing material
JP4469023B2 (ja) 2008-07-11 2010-05-26 パナソニック株式会社 不揮発性記憶素子およびその製造方法、並びにその不揮発性記憶素子を用いた不揮発性半導体装置
US8362454B2 (en) 2008-08-12 2013-01-29 Industrial Technology Research Institute Resistive random access memory having metal oxide layer with oxygen vacancies and method for fabricating the same
US7974117B2 (en) 2008-10-30 2011-07-05 Seagate Technology Llc Non-volatile memory cell with programmable unipolar switching element
US7835173B2 (en) 2008-10-31 2010-11-16 Micron Technology, Inc. Resistive memory
US8120941B2 (en) 2008-11-07 2012-02-21 Seagate Technology Llc Bidirectional non-volatile memory array architecture
KR20100060323A (ko) 2008-11-27 2010-06-07 삼성전자주식회사 가변저항 메모리 장치 및 그 형성 방법
US8420478B2 (en) 2009-03-31 2013-04-16 Intermolecular, Inc. Controlled localized defect paths for resistive memories
JP5388710B2 (ja) 2009-06-12 2014-01-15 株式会社東芝 抵抗変化メモリ
US8207064B2 (en) * 2009-09-17 2012-06-26 Sandisk 3D Llc 3D polysilicon diode with low contact resistance and method for forming same
WO2011115926A1 (en) * 2010-03-16 2011-09-22 Sandisk 3D, Llc Bottom electrodes for use with metal oxide resistivity switching layers

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170068559A (ko) * 2014-10-16 2017-06-19 마이크론 테크놀로지, 인크. 메모리 셀 애플리케이션들을 위한 선택 디바이스
US10109677B2 (en) 2014-10-16 2018-10-23 Micron Technology, Inc. Select device for memory cell applications
US10608048B2 (en) 2014-10-16 2020-03-31 Micron Technology, Inc. Select device for memory cell applications

Also Published As

Publication number Publication date
WO2011115926A1 (en) 2011-09-22
JP2013522911A (ja) 2013-06-13
KR20130007572A (ko) 2013-01-18
US8772749B2 (en) 2014-07-08
US8354660B2 (en) 2013-01-15
WO2011115924A1 (en) 2011-09-22
JP2013522912A (ja) 2013-06-13
TW201145634A (en) 2011-12-16
US20110227028A1 (en) 2011-09-22
EP2548238B1 (en) 2015-04-22
US20130126821A1 (en) 2013-05-23
EP2548238B8 (en) 2015-06-17
EP2548239A1 (en) 2013-01-23
TW201145633A (en) 2011-12-16
EP2548238A1 (en) 2013-01-23
US20110227020A1 (en) 2011-09-22

Similar Documents

Publication Publication Date Title
KR20130007571A (ko) 금속 산화물 저항률 전환층과 함께 사용하기 위한 하부 전극
US9105576B2 (en) Multi-level memory arrays with memory cells that employ bipolar storage elements and methods of forming the same
US8969845B2 (en) Memory cells having storage elements that share material layers with steering elements and methods of forming the same
US8803119B2 (en) Semiconductor memory device and manufacturing method of the same
US7507674B2 (en) Memory device including resistance change layer as storage node and method(s) for making the same
US20130234104A1 (en) Memory cell that includes a sidewall collar for pillar isolation and methods of forming the same
KR20110080166A (ko) 감소된 박리를 나타내는 탄소계 메모리 소자와 상기 소자를 형성하는 방법
WO2017044166A1 (en) Three-dimensional resistive random access memory containing self-aligned memory elements
CN103460384A (zh) 包含二极管结构的半导体结构及半导体装置及其形成方法
WO2014163994A1 (en) Methods and apparatus for metal oxide reversible resistance-switching memory devices
TW200913171A (en) Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US20120091418A1 (en) Bipolar storage elements for use in memory cells and methods of forming the same
US20130292634A1 (en) Resistance-switching memory cells having reduced metal migration and low current operation and methods of forming the same
US20110210306A1 (en) Memory cell that includes a carbon-based memory element and methods of forming the same
WO2012118791A1 (en) Methods for increasing bottom electrode performance in carbon- based memory devices, using titanium - rich titanium nitride
US20230413580A1 (en) Selector device and semiconductor device including the same

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid