TW201001599A - Semiconductor manufacturing system, interface system, carrier, semiconductor wafer container, adsorptive device - Google Patents

Semiconductor manufacturing system, interface system, carrier, semiconductor wafer container, adsorptive device Download PDF

Info

Publication number
TW201001599A
TW201001599A TW98120972A TW98120972A TW201001599A TW 201001599 A TW201001599 A TW 201001599A TW 98120972 A TW98120972 A TW 98120972A TW 98120972 A TW98120972 A TW 98120972A TW 201001599 A TW201001599 A TW 201001599A
Authority
TW
Taiwan
Prior art keywords
semiconductor
carrier
chamber
adsorption
environmental control
Prior art date
Application number
TW98120972A
Other languages
English (en)
Other versions
TWI413202B (zh
Inventor
Yi-Li Hsiao
Chen-Hua Yu
Jean Wang
Ming-Che Ho
Chien-Ling Hwang
Jui-Pin Hung
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201001599A publication Critical patent/TW201001599A/zh
Application granted granted Critical
Publication of TWI413202B publication Critical patent/TWI413202B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

201001599 六、發明說明: 【發明所屬之技術領域】 本發明係有關於半導體製造系統領域,尤其是有關 於提供受控制環境的半導體製造系統。 【先前技術】 積體電路技術持續地演進著,而這些演進使得裝置 越縮越小,藉此成就了低製造成本,高裝置整合密度, 高速度以及高效能。隨著體積縮小帶來的優點,製造生 產積體電路的機構也隨著進化。 半導體積體電路係經由積體電路製造廠中的多個處 理程序製成。這些製程牽涉到的製造工具,包含熱氧化, 滲雜,離子植入,快速熱製程(RTP ),化學氣相沉積 (C VD ),物理氣相沉積(P VD ),磊晶,蝕刻,以及 光學蝕刻技術。在製造過程中,產品(例如半導體基板) 會在製造設施中和製造工具間傳送。舉例來說,一般在 一製造步驟完成後,該基板會被從一處理室中移出,並 轉送至一承載器中暫時安放,以等待後續製程。在傳送 過程中,該基板可能曝露於充滿各種不理想變數的環境 中,以致於受到溼度,氧氣濃度以及空氣分子污染影響。 環境曝露所造成的影響通常需要一些淨化程序來修復。 因此,一種可提供受控制的保護性環境的系統和方法是 有必要的。 【發明内容】 0503-A34224TWF/yeatsluo 3 201001599 本發明包含一半導體製造系統,一種界面系統,一 種承載器,以及實作於上述裝置的方法。在一界面系統 的實施例中,一封裝室以及至少一閘門覆蓋該封裝室的 開口。一機械系統置於該封裝室中,包含至少一支架用 以支撐及傳送至少一基板。至少一第一管路耦接該封裝 室,注入氣體於該封裝室中。一環境控制槽以及空氣循 環系統耦接著該封裝室。 另一實施例為一種承載器,用以提供受控制的保護 環境。其中包含一封裝室以及至少一閘門用以覆蓋該封 裝室的開口。一機械系統置於該封裝室中,包含至少一 支架用以支撐至少一基板。至少一周邊控制元件放置於 該封裝室中。 另一實施例是一種半導體製造系統,包含多個處理 室。至少一界面系統包含一第一環境控制元件,至少一 承載器包含一第二環境控制元件。一控制模組耦接該些 處理室,該至少一界面系統,以及該至少一承載器。 進一步的實施例是一種半導體晶圓容器,用以提供 受控制的保護環境,該半導體晶圓容器包含一特定結 構,包含一吸附裝置,用以減低該半導體裸晶圓容器中 的溼度、氧氣或其他殘渣;以及一或多個元件,用以接 收該特定結構中的半導體晶圓。 本發明另提出一種吸附裝置,包含在一晶圓容器 中,提供並維護該晶圓容器中之一受控制的保護環境, 包含與一晶圓相似的形狀,以及一或多個催化劑,用以 降低該晶圓容器中之溼度及氧氣濃度。 0503-A34224TWF/yeatsluo 4 201001599 本發明另—實施㈣—種半導體處理系統,提供一
受控制的保護環境。並φ勺人$ I 衣兄其中包含至少一承載器,具有一或 夕個半導體晶圓,至少一處 ΜύΒ 處理至,以及至少一設備前端 總_,裝载一周邊偵測器,用以監測該設備前 半二其中該一或多個半導體晶圓在該 t處线的處理過程中,被傳送至該至少-承載 益該至少一處理宮,芬/ ~V、π ϊ 处主至及/或該至少一設備前端模組。 【實施方式】 下列說明提供許多不同實施例,以實作本發明的不 同特徵。並將以元件和安排方式的特例來簡化說明本發 ,。這些都只是範例而並非限制。此外,本揭露書會在 =固例子巾錢❹參考標號和料。這些重複是為了 間單/月楚的說明,而並不表示多個實施例間有關聯。在 此所謂的連接或㈣’意指直接接觸,也可以是間接接 觸。另外,空間上的相對描述用詞,例如「下」「上」 水平」垂直」「向」「低」「頂端」「底層」等都 只是為了解釋元件之間的相對關係,所以其他不同面向 的裝置也包含在其範例中。 參考第1圖到第6圖,-半導體製造系統1〇〇,一界 面系統300, -承載1 400,以及一程序5〇〇各別描述如 下’用於提供-種受控制的保護環境。該半導體製造系 統100 ’界面系統300和承載器彻可能加入額外的特 徵’而在進實施例中某些特徵可被取代或被移除。 在程序500中可新增額外步驟於前段、中段、後段,且 〇503-A34224TWF/yeatsluo 5 201001599 其中部份步驟可被取代或 半導體製造系统100,農而 成進一步貫施例。這些 5〇〇 ^ 、、 界面系統300,承载器400和程序 50〇的實施例控管環境停件 ^ 培,佑制、皮士 兄悚件而獒供了一種保護性的環 例如澄氧:基體免於曝露在不適當的環境條件下, 參照第1圖’該半導體製造系統· 制器110,包含一咸庫哭】”v 徑 α應态122的端口 120, 一處理室2〇〇, 而該界面系統3〇〇包含一第 匕3弟%境控制兀件,該承載器 I 3 —第—裱境控制元件。該半導體製造系統〗⑼也 :包含任何數量的控制器110,端口 12〇,界面系統300 和承載器400。 該半導體製造系統1 〇〇可製造並運送至少一基板 105。該基板1〇5可以是基板本體,裸晶圓,半導體基板 或晶圓,遮罩,光罩,電衆過遽盤,積體電路,吸附ς, 任何製程中用來製造新膜體或基層的基材,任何半導體 製造系統100適用的基體,以及/或上述組合。基板1〇5 進一步地可包含各種適合的形狀或大小。在:實施例 中,該基板105的直徑可以是300mm或450mm。 控制器110可與處理室200,界面系統3〇〇以及承載 器400溝通。該控制器110可進一步與端口 12〇溝通。 該控制器110包含軟體’用以實作控制功能,可同時且 動態地進行多工處理。該控制器110也可包含硬體,例 如電腦,網路,及/或溝通界面,橋接於處理室200,界 面系統300 ’承載器400,製造執行系統(MES),電腦 整合製造系統(CIM),自動材料處理系統(AMHS), 0503-A34224TWF/yeatsluo 6 201001599 虛擬工廠或其他適當的系統,及/或上述之組合。 該控制器110與界面系統300和承載器400溝通, 使環境條件在受到控制的前提之下進行基板105的製造 與運送。該控制器110可與耦接界面系統300和承載器 400的環境控制感應器(或偵測器)溝通,以監控並維護 各種環境條件。舉例來說,控制器110監控氧氣濃度和 渔度,使之維持在可接受的範圍。 包含感應器122的端口 120,放置在接近界面系統 300的外表面之一閘門處。該端口 120為該承載器400提 供支撐。感應器122可感測承載器400是否放置於端口 120上,並確認其放置狀態是否穩固。另一例子中,承載 器400可直接連接至界面系統300的牆而獲得支撐。在 一些例子中,端口 120是一種負載埠,而基板105係放 置其上而藉此在承載器400和界面系統300之間來往搬 運。 該半導體製造系統100包含一或多個處理室200。該 處理室200中可進行任何處理程序,例如化學氣相沉積 (CVD),物理氣相沉積(PVD),蝕刻(例如電漿蝕 刻),熱氧化,滲雜,離子植入,化學機械研磨(CMP), 快速回火(RTA),磊晶,光學蝕刻,其他適當製程,及/ 或上述組合。該處理室200可以是一關鍵程序房室,例 如開口之乾餘刻室,銅晶種層形成室,銅化學機械研磨 室,低介電質材料形成室,退火室(例如鎳退火),多 晶石夕生成前的氧化層閘極預清洗室,鑛鎳室,電漿乾餘 刻後用的房室,或其他可形成或施加材料於該基板並與 0503-A34224TWF/yeatsluo 7 201001599 該基板產生反應的房室。處理室200進一步包含一火爐。 該處理室200也可以是一搬運室,支撐室,儲存室,且/ 及上述組合。 一般來說,在處理室200中完成一道程序後,該基 板105會被移出該處理室200,在半導體製造系統100中 通過界面系統300搬至承載器400暫存,以等待後續程 序的進行。當基板105在半導體製造系統100中移動時, 該基板105會曝露在周遭環境下,包含不良的環境條件, 例如渔度,氧氣濃度,及空氣分子污染。這些不良環境 條件會對基板105造成不良影響,致使該基板105必須 經過額外的清洗程序才能獲得修復。 該半導體製造系統100可包含一或多個具有特定結 構的容器,用以容納一環境控制元件,藉以顯著地降低 周圍環境的不良條件影響。更確切地說,該半導體製造 系統100中的該界面系統300包含第一環境控制元件, 而該承載器400包含第二環境控制元件,可提供該半導 體製造系統100的内部一個保護性的環境,其中的環境 條件獲得適當控制,有利於基板105的搬運、儲存以及 製造。該第一環境控制元件,第二環境控制元件,及/或 任何其他半導體製造系統100中的環境控制元件可包含 一或多個催化劑(或吸附劑),一或多個吸附劑(催化 劑)單元,一或多個環境控制槽,一或多個吸附晶圓, 一或多個吸附袋,以及/或上述組合,將於下詳述。第一 環境控制元件和第二環境控制元件控制半導體製造系統 100中的第一環境條件和第二環境條件。 0503-A34224TWF/yeatsluo 8 201001599 該半導體製造系統100包含該界面系統300,該界面 系統300包含該第一環境控制元件。該界面系統300在 處理室200和承載器400之間搬運(或輸送)該基板105 的過程中提供條件控制的環境。第2圖係為該界面系統 300的實施例。該界面系統300包含多個牆壁305,一封 裝室310,一組閘門315和320,一機械系統325,一氣 體供應系統330,一抽取系統335,一加熱元件340,產 生空氣對流352的一空氣循環系統350,包含吸附元件 361的一環境控制槽360,一再生元件362,一冷卻元件 364,一排放系統365,一粒子過濾器370,以及一環境 控制感應器375 (或偵測器)。在實施例中,第一環境控 制元件包括該空氣循環系統350,提供空氣對流352,該 環境控制槽360,再生元件362,冷卻元件364,該排放 系統365,以及該粒子過濾器370。第一環境控制元件中 可包含任何單獨元件或多個元件之排列組合。該界面系 統300可以是一種設施界面,也可以包含一設備前端模 組。 該些牆壁305形成一封裝室310,可提供保護性的環 境,使環境條件受到控制,以便於運輸該基板105。該組 閘門315和320可以是在該些牆壁305的其中一片上(或 在其中)。該閘門315用來使基板105在界面系統300 和處理室200之間輸送,而該閘門320使基板105在界 面系統300和承載器400之間輸送。 至少一機械系統325放置於封.裝室310中。該機械 系統325係用來使基板105透過閘門315和320,在處理 0503-A34224TWF/yeatsluo 9 201001599 至200和承載器400之間或在承載器400和界面系統之 間輸送。該機械系統325在界面系統3〇〇中,從承載器 4〇〇將該基板1〇5輸送至各種處理室2〇〇 系統料的各種處理室鳩中傳輸至該承載^^界: 他實施例可能包含多個機械系統325。更進一步地說,機 械系統3 2 5所輸送到的位置並不在本發明的限制中。 該界面系統300中包含氣體供應系統33〇,抽取系統 3—35,以及加熱元件34〇。該氣體供應系統33〇耦接封裝 至310。在本發明實施例中,該氣體供應系統3如係放置 於該封裝室310的底部。然而該氣體供應系、統330也可 以放置在封裝室310的頂部或側邊。該氣體供應系統⑽ ^過管路332將氣體注入封裝室31〇。所提供的氣體可以 疋任何適當的氣體,例如氮⑽,氫(h2),氦(He),氨, 惰性氣體’其他適當氣體或上述組合。在某些實施例中, 該乳體供應系、统330也可包含一氣體櫃,其他任何可提 供氣體給封裝室310的裝置,或上述之組合。 抽取系統335輕接該封裝室31〇。在本口實施例中,該 =紐335係放置在該封裝室31Q的底部。然而其他 百施例中’該抽取系統335也可放置在封裝室的 頂部或/及側邊。抽取系絲u » 裝室31〇中排除(抽t ϋΐΓ 337將氣體從封 #出)。被抽出的氣體可能包含氣體 :…糸統330注入封裝冑310的氣體,空氣,澄氣,氧 二空,或任何其他適當氣體。該抽取系統 =幫真空系、统,引擎,馬達,其他可將氣體.自 封裝至310中移除的適當裝置,或上述組合。 0503- A34224Τ WF/yeatsluo 201001599 氣體供應系統330和抽取系統335可單獨運作或同 時運作。在基板105儲存於封裝室310的期間,氣體供 應系統330和抽取系統335可有效的從封裝室310中移 除不良環境條件,尤其是渔氣和氧氣。 加熱元件340進一步提供不良條件的移除機制,例 如將溼氣和氧氣從封裝室310中移除。一或多個加熱元 件340可放置在封裝室310上或牆壁305之内。在本發 明實施例中,兩個加熱元件340放在封裝室310中的兩 / 側牆上。然而,加熱元件340的相對位置並不限定於本 實施例所述。加熱元件340可增加界面系統300移除不 條環境條件的效率。 一般來說,氣體供應系統330和抽取系統335可有 效地保護基板105不被曝露於不良環境下。然而氣體供 應系統330和抽取系統335原本沒辦法使溼度和氧氣濃 度維護在可接受的範圍。本實施例可將溼度和氧氣濃度 降至1%以下,達到只有幾ppm的水準。空氣循環系統 〇 350提供空氣對流352,該環境控制槽360包含吸附元件 361,再生元件362,以及冷卻元件364。該排放系統365 和粒子過濾器370,可獨立或搭配運作以提供第一環境控 制元件,藉以有效的從封裝室310中移除溼氣和氧氣, 並使其效果維持在只有幾ppm的水準。 空氣循環系統350包含一風扇或任何可在封裝室310 中產生空氣對流352的裝置。在本實施例中,空氣循環 . 系統350在大部份的氧氣和溼氣被移除後就被開啟。舉 例來說,空氣循環系統350可在溼氣和氧氣濃度降至1% 0503-A34224TWF/yeatsluo 11 201001599 的時候開啟。线循環系統35G在封裳室31G中提供* 氣對流352並吹至環境控制槽360。該空氣對流352有ς 於將剩餘的氧氣濃度和溼度降至只有幾ppm的水準。 該環境控制槽360耦接至封裝室31〇,放置在封裝室 310的上部。在另一實施例中,該環境控制槽36〇也放 置在封裝室310的底部或/及側邊。該環境控制槽36〇包 含吸附元件361。該吸附元件361包含一或多個催化 吸附劑),可大量的減低封裝室31G中的氧氣和漫氣·; 並進一步縮減移除氧氣和溼氣的時間。催化劑或吸附劑 可以是任何適當的用料,例如除溼可使用
Na86[(A1〇2)86(Si〇2)]〇6]'*H2〇,而消除氧氣可使用鋼或石 墨催化劑。在某實施例中,催化劑包含除溼劑,除氧劑, 以及/或上述組合。 環境控制槽360可運作於再生模式或吸附模式。再 生元件362和冷卻元件364可促進這些模式的運作。冷 卻元件364輔助吸附模式的運作,開啟之後可增強環境 控制槽360中吸附元件361的吸附能力。再生元件$ = 輔助再生模式的運作,在吸附元件361飽和之時開啟。 再生元件362提供熱力使催化劑再生。舉例來說,再生 元件362可將催化劑加熱至250 。進一步地,再生元 件362可釋出再生氣體’例如高溫氮氣(Ns)及/或氫氣(仏) 以使催化劑再生。環境控制槽36〇進一步的耦接至排放 系統365和粒子過濾器370,藉其機制進一步的淨化封裝 室310中的氧氣、溼氣,,空氣分子污染和其他會影響基 板(或晶圓)的不良粒子 0503-A34224TWF/yeatsluo 12 201001599 …般而Γ在某些例子中,界面系統_俜預先淨 化過的,溼度和氧氣濃度已降 糸預先乎 供應系統330)。接著該界面备Μ以下(例如藉由氣體 1面糸統300被封閉起决 ± ,制元件(例如環境控制槽_繼續將 度維以下(基本上可繼續下降至幾二 „在本㈣财提供了-個魏&·36〇。然而多個 %境控制槽360也可同時在力认 ^ H 存在於界面系統300中。舉例 該界面糸統则包含兩個環境控制槽鳩,使㈣ 生吴式和吸附模式可得同進行(例如,當—個吸附元件 時,另—吸附元件361處於再生模式)。 化項功犯有效的減少了保養時間。 該界面系統3 G G進-步包含環境控制感應器3 7 $。該 控制感應器375也可稱為條件控制偵測器,放置於 封裝室3Η)中的多個牆壁3〇5其中之一上或其中产 控制感應器375可辨識封裝室31〇中的狀況,監控澄度兄 氧氣濃度以及空氣分子污染位準。在某實施例中,該又環 境控制感應器375可監控其他環境條件,以利於維持 保護基板105的環境。該環境控制感應器375可監看封 裝室310中的氣壓’並與控制器UG溝通。該環境控制 感應器375將環境條件的值告訴控制器110,而該控制器 no監看封裝室310中的氧氣濃度,澄度,空氣分子污染 f其他條件,及/或上述組合是否超出允許範圍,以決定 是否觸發再生模式及/或吸附模式,藉此使環境條件維持 在允許範圍。舉例來說,在某些實施例中,根據由環境 控制感應器375接收到的訊息,該控制器11〇判斷何時 0503-A34224TWF/yeatslu〇 -13 201001599 該啟動再生模式或吸附模式。藉亩與環境控制感應器3 7 5 溝通,該控制器110有效地控制界面系統300中的不良 環境條件,例如溼度和氧氣濃度,使之維持在允許範圍。 在某些實施例中,該界面系統300可包含一顯示裝 置。該顯示裝置可包含一液晶顯示器及/或一發光二極體 顯示器。該界面系統300可包含一抗環境材料。舉例來 說,該界面系統300的一或多個外表面及/或内表面可包 含抗環境材料的鍍膜。抗環境材料可包含抗溼,抗氧, 抗空氣分子污染,其他適當材料,或上述之組合。 該半導體製造系統100進一步包含承載器400,該承 載器400中包含第二環境控制元件,在儲存、運輸或拿 取該基板105的時候提供受控制的保護環境。第3圖顯 示該承載器400包含第二環境控制元件的實施例。該承 載器400包含基板105,多個牆壁405,一封裝室410, 一閘門415, 一框架420, 一封閉裝置425,基板支架430, 一環境控制感應器440,一氣體供應系統445,一吸附墊 450。在本實施例中,該第二環境控制元件包含吸附墊 450。 該承載器400可包含一卡医,前開口式通用容器, 標準機械界面(SMIF),光罩承載器,或任何其他可承 載一或多個基板105的承載器。多個牆壁405組成封裝 室410,提供受控制的保護環境,用以保存並搬運該基板 105。在本實施例中,承載器400係為一前開口式通用容 器,而閘門415係位於承載器400的一側。該閘門415 亦包含一框架420,藉此該閘門415可移動進出該框架 0503-A34224TWF/yeatsluo 14 201001599 二^面一實施Γ中’該承载器4〇0可以是一卡医或標準 機械界面,而其問門415置於該承載器400的底部。 =多個基板支架43G放置於封裝室4Η)中,支撐 者該基板105。該基板支架430無垃s 少豆中之 么* 430耗接至該些牆壁405之至 G包含盤子,在牆壁405上 的小犬出物或小溝槽,其他可 及/或上述組合。 牙土板105的結構, 产产St彻進—步包含該環境控制感應器440。該 感應器440也可稱為環境控測器,放置於 =封裝至4H)中該些牆壁他的其中之一上或1中。該 二境=感應器楊監測封裝室41Q中的狀況,渔度, 虱氣濃又,以及空氣分子污染位準。 :::感應器44。監控其他為了保護基板1〇5而有: = 舉例來說,環境控制感應器梢 •ΠΠ·控封裝至410中的氣壓。 =控制感應器440可與控制器ιι〇溝通 二==境控制感應器440將不良環境條件的 ^準傳送至該控㈣㈣。當該封裝室4H)中的氧氣濃 J,座度,空氣分子污染’其他條件 :允:範圍,該控制器㈣觸發淨化模式或/ΐ吸3 ΐ i環境條件位準㈣允許範圍。舉例㈣,根據由 料控制感應器440所提供的訊號和訊息,該控制器⑽ 判斷淨化模式或吸附模式觸發的時 ° 藉由與環麵編^—有 效的控制承載器4。。中的環境條件範圍,使潘度,2 0503-A34224TWF/yeatsluo 201001599 濃度維持在適當範園 /器400進—步包含一氣體供應系統445。該氣體 ^應系統445耦接該封裝室410,置於該封裝室410的底 、,其他只施例中,該氣體供應系統445也可放置 m至4ig的頂部或/且側邊。該氣體供應系統445透 t氣‘路二入乳體至封裝室410。注入的氣體包含任何適 (I),产性Γ盈氮氣(N2),氯氣(N2),氣氣(He),氬氣 供” :4:5 :壬何適當氣體,且/或上述組合。氣體 封裝室4H)的裝置,且/或 體,,,口 -步包含-抽出系統,叙接該封/室二承Γ 400可進 410中移降山、々接裝至410,用以從封裝室 系統445注入封裝室41〇的氣體,_ ^乳體供應 空氣分子污染,其他可能_ 二軋,滢氣,氧氣, -直… 2 抽出系統可包含-幫浦, 具工糸統,一引擎,— ° 吊/甩 抽出氣體的裝置,及/或上述組合,、。他可從封裝室_中 該氣體供應系統445 (及該抽 存於封裝室410期間,有效的從糸板⑻儲 裱境條件,尤其是澄氣和氧氣。、至41〇中移除不良 該承载器400可包含—顯示 —液晶顯示器且/或一發光二 χ罝。該顯不裝置包含 包含一抗環境材料(屏柵)。舉。該承载器400 的—或多個内表面及/或外表面上」二說二該承裁器400 抗環境材料可包含抗,堊,抗氧抗環境材料鑛膜。 適當抗環境材料,及/或上述^合二空氣分子污染,其他 〇5〇3-A34224TWF/yeatsiuo - 201001599 基本上,該氣體供應系統445可有效地保護基板1〇5 不致於曝路於不良環境巾。該氣體供應系統445原本沒 辦法使,度和H農度賴在可接受的範圍。本實施例 的承载400包含第二環境控制元件,吸附墊“ο,可將 座度,氧氣濃度降至1%至2%以下,韻墊45()有效地 將氧氣和不良條件從封裝室41()中移除,並保持其效果 於,達只有幾Ppm的水準。舉例來說承載器彻事先已 被淨。化,渥度和氧氣濃度已(透過氣體供應系統445)降 至1%下。_接著承載器400被蓋在系統中,由吸附墊45〇 進一步將澄度和氧氣濃度保持在1%以下(基本上可維持 在幾ppm的水準)。 該第二環境控制元件可包含一或多個吸附墊45〇,放 置在承載器400的封裝室41〇中。該吸附墊45〇可以是 任何形狀。在本實施例中,該吸附墊45〇包含一半導體 晶圓的形狀’使基板支帛43G可㈣的支撐該吸附塾 450,並輕易的在承載器4〇〇中置換該吸附墊45〇。吸附 墊450可包含一直徑3〇〇麵的裸晶圓形狀及/或彻咖 的课晶圓形狀。吸附塾45〇可包含網孔結構,網狀結構, 多孔結構,任何其他結構材料,及/或上述組合。該吸附 ㈣-步包含催化劑(吸附劑),可顯著地加速降低封 裝室410中氧氣濃度和溼度。吸附墊45〇可吸收溼氣, 氧氣,线分子污染,其他不良條件,及㉖上述组合。 該催化劑或吸附劑包含任何適當材料。舉例來說,除溼 劑可以是Na86[(A1〇2)86(Si〇2)刚].*h2〇。除氧劑可公=銅 或石墨催化劑。催化劑可包含除溼劑,除氧劑,且/或上 〇503‘A34224TWF/yeatsluo 17 201001599 述組合。 當吸附墊450飽和時,吸附墊可在封裝室410中再 生,且/或被置換為新的。如果要再生封裝室410中的吸 附墊450,需注入再生氣體至封裝室410中(例如透過氣 體供應系統445 )。再生氣體可包含高溫氮氣,氫氣,氦 氣,氬氣,惰性氣體,任何適當氣質,及/或上述組合。 再生氣體可將催化劑再生,藉此催化劑可繼續執行吸附 功能,移除不良條件如溼度和氧氣。如果要置換吸附墊 450,飽和的吸附墊450會被輸送至一回收室以進行回 充,而一新的不飽和的吸附墊會被輸送至該承載器400 中。 第4圖係為一再生室220的實施例。該再生室220 的運作基本上和半導體製造系統100中的處理室200相 同。該再生室220包含多個吸附墊450和吸附墊架455。 在該承載器400中,當吸附墊450飽和時,會被機械系 統325透過界面系統300輸送再生室220,並放置在再生 室220中的吸附墊架455上以進行回充。接著,不飽和 的吸附墊450從再生室220中移出,被機械系統325透 過界面系統300輸送至承載器400。該半導體製造系統包 含兩個再生室220,一個用來接收飽和的吸附墊450,一 個用來提供回充完成的吸附墊450。 該再生室220可協助再生,回充,以及回收飽和的 吸附墊450。該再生室220包含一氣體供應系統,一抽取 系統.,一加熱單元,任何其他適當的催化劑再生元件, 以及/或上述組合。該氣體供應系統耦接該再生室220, 0503-A34224TWF/yeatsluo 18 201001599 將氣體例如高溫氮氣,氫氣,氦氣,氬氣,惰性氣體, 任何其他適當氣體,及/或上述組合注入其中。該氣體供 應系統可包含一氣體櫃,任何其他可提供氣體給再生室 220的適當裝置,以及/或上述組合。該抽取系統耦接該 再生室220,用以將氣體從該再生室220中抽出。該抽出 系統也同時將不良條件從該再生室220中移除,例如空 氣,溼氣,氧氣,空氣分子污染,其他因子,以及/或上 述組合。該抽取系統包含一幫浦,一真空系統,一引擎, f 一馬達,其他可將氣體從再生室220中出的適當裝置, 以及/或上述組合。一或多個加熱單元可置於再生室220 中。在一實施例中,該加熱單元可將回收室加熱至2 5 0 °C,使吸附墊450再生。 第3B圖係為第3A圖中包含第二環境控制元件之承 載器400的實施例。然而除了包含吸附墊450之外,該 承載器400也可包含環境控制袋460和提供空氣循環的 空氣循環元件462。在本實施例中,第二環境控制元件包 t 含環境控制袋460和空氣循環元件462。在另一實施例 中,第二環境控制元件只包含環境控制袋460或空氣循 環元件462。另一方面,該承載器400可包含吸附墊450, 環境控制袋460,及/或空氣循環元件462的組合。 環境控制袋460係耦接至封裝室410。在本發明中, 該環境控制袋460係置於多個牆壁405及/或該閘門415 其中之一上或其中。然而另一實施例中,該環境控制袋 460也可放置於封裝室410的底部,頂部,及/或其他側 邊。該環境控制袋460包含催化劑(或吸付劑)。該催 0503-A34224TWF/yeatsluo 19 201001599 化劑大量且快速地減低封裝t 41 度。舉例來說,為了除渥,和獲 Na86[(AlO2)86(SiO2)106].*H 〇。 , X 疋 墨催化劑。在某實施例中,催彳卜 ^次石
催化劑包含除溼劑,除氧劑, 以及/或上述組合。 W 工乱循壤兀件462中可包含— 室410中產生空氣循環並吹 」在封菜 .扣产乂人至裱境控制袋460的裝置。 在本W例中,空氣循環^件咐在大部份 氣被移除後就被開啟。舉例來說,空氣循環元件啦^ 在座氣和氧氣濃度降至1%的時候開啟,有助於將剩餘# 乳氣濃度和渔度降至只有幾ppm的水準。 、 少-夾具321,-第-封閉裝置322,至少一管路仏 以及一第二封閉裝置324。 半導體製造系統100進一步在界面系統_ 器彻之間的界面提供受控制的環境,使基板ig = 面糸統300的問門320和承載器彻的閘門415 搬運過程中不被曝露在不良環境下。如第5圖所示,, =糸統300麵接至承載器伽日寺,界面系統3〇〇和: 載為400之_不良環境條件被淨化了。在本實施例中 承载器4〇0連接至界面系、统300中的多個牆壁3〇5ι中 之一。在本實施例中,該些牆壁3G5包含 ,—七曰 〇。^ 4 2面糸統300和承載器_相連結之後,閘門32〇 的閘門減在-1該至少—夾具321將承 f綁上界面系統·。該夾具3以含夾鉗,旋紐 夾’甘’夾扣’或其他可將承載器彻綁上界面系统· 0503-A34224TWF/yeatsIu〇 ,n 201001599 的裝置。該至少一夾具321可水平地及/或垂直地轉旋或 移動。進一步地,本實施可採用任何數量的夾具321。 在界面系統300和承載器400連接上之後,該第一 封閉裝置322將牆壁305 (含閘門320)和閘門415之間 的區域封閉。第二封閉裝置324放置在牆壁305和閘門 320之間,牢固地封閉界面系統300的牆壁305。該第一 封閉裝置322和第二封閉裝置324可包含橡皮帶,Ο形 環,膠體,任何可封閉該區域的裝置,以及/或上述組合。 f 該管路323包含用以抽取和注入氣體的管路。在本 實施例中,至少一管路323綁在界面區域之内,用以移 除不良環境條件,例如空氣,溼氣,氧氣。而另外至少 一管路323提供氣體或混合氣體至該界面區域。將該界 面系統300的閘門320和承載器400的閘門415之間的 區域淨化,可增強對半導體製造系統100的環境控制, 使基板105在從界面系統300搬運至承載器400的過程 中不曝露在不良條件下。 1; 半導體製造系統100在運輸和製造基板105的時候 可利用程序程序500。如第1圖到第6圖所示,該程序程 序500啟始於步驟502。在步驟502中,提供一處理室 200,界面系統300,以及或承載器400。在步驟504中, 由控制器110觸發一淨化模式。該淨化模式包含注入任 何適當氣體至界面系統300和承載器400中,例如高溫 氮氣,氫氣,氦氣,氬氣,惰性氣體,任何其他適當氣 體,.以及/或上述組合。淨化模式將界面系統300或承載 器400中的不良環境條件移除,包含氧氣,溼氣,空氣 0503-A34224TWF/yeatsluo 21 201001599 分卞万染,以及/或上述組合。淨化模式 牛 取程序,界面系統300或承载器400 =3-抽 任何其他可降低不良環境條件的適二::程序, 組合。 彳以及/或上述 當淨化模式減低界面系統3〇〇或承 條件至-既定位準,則切換至吸附模式;::的環境 表一預設的氧氣濃度,溼度,空氣 :既疋位準代 條件,以及/或上述組合。_^ ^ ’其他不良 件降低至第-濃度。在步驟5 °,者到化模式將環境條 如第一濃度)時,該控制器11〇啟動^達既定位準(例 系統3GG巾觸發吸賴式時 j附模式。在界面 352的空氣循環系統35〇,包現者啟動提供空氣對流 说的環境控制#36〇,該 =地和冷卻元件 370,以及上述各單 且a 糸、、先祕’粒子過濾器 模式時,即啟動吸附塾45(;,卢t承载器400中啟動吸附 元件462,以及上述各單項控制袋460,空氣循環 條件降至一第二濃度,其中2該且合。該吸附模式可將環境 進一步地,該吸附模式將严=第二濃度低於第一濃度。 達到的第一濃度。 、衣兄條件維持在該淨化模式所 當環境條件到達—允許 ± 界面系統300和承載器4〇〇 日守’於步驟508中,該 境條件被監控著。舉例來★兒,以及/或處理室200中的環 面系統3〇〇,該承戴器4〇〇,、控制态110持續監控該界 境條件維持在允許範圍(透^及/或該處理室200以使環 —一。 條件的位準’控制器no可再次 201001599 觸發淨化模式或是吸附模式。當環境條件在可允許範圍 内,於步驟510, 一基板(例如基板105)可被運送至界 面系統300,承載器400,以及/或處理室200中。在該基 板位於該界面系統300,承載器400,以及/或處理室200 中時,環境條件仍持續地被監控著。本方法在基板105 在半導體製造系統100中被製程與運送時,有效地維持 一受控制的保護性環境。 雖然本發明以較佳實施例說明如上,但可以理解的 , 是本發明的範圍未必如此限定。相對的,任何基於相同 % 精神或對本發明所屬技術領域中具有通常知識者為顯而 易見的改良皆在本發明涵蓋範圍内。因此專利要求範圍 必須以隶廣義的方式解Ί買。 (. 0503-A34224TWF/yeatsluo 23 201001599 , 【圖式簡單說明】 本發明的詳細實施例可搭配下列圖示而獲得最佳理解 效果。 第1圖係為本發明實施例之環境控制系統之切面圖; 第2圖係為本發明實施例之環境控制系統中之界面系 統之切面圖; 第3A圖係為本發明實施例之環境控制系統中之承載 器之切面圖; 第3B圖係為本發明實施例之環境控制系統中之承載 器之切面圖; 第4圖係為本發明實施例之環境控制系統中之回收室 之切面圖; 第5圖係為本發明實施例之環境控制系統中之界面系 統和承載器之間的界面之切面圖;以及 第6圖係為本發明實施例中進行環境控制方法之流程圖。 【主要元件符號說明】 100半導體製造系統 110控制器; 122感應器; 300界面系統; 305牆壁; 315,320 閘門; 322第一封閉裝置; 324第二封閉裝置; ; 105基板; 120 端口; 200處理室; 400承載器; 310封裝室; 321夾具; 323管路; 325機械系統; 0503-A34224TWF/yeatsluo 24 201001599 330氣體供應系統; 332,337 管路; 350空氣循環系統; 360環境控制槽; 362再生元件; 365排放系統; 375環境控制感應器; 405牆壁; 415閘門; 425封閉裝置; 440環境控制感應器; 450吸附墊; 460環境控制袋; 335抽取系統; 340加熱元件; 352空氣對流; 361吸附元件; 364冷卻元件; 370粒子過濾器; 400承載器; 410封裝室; 420框架; 430基板支架; 445氣體供應系統; 455吸附墊架; 462空氣循環元件。
0503-A34224TWF/yeatsluo 25

Claims (1)

  1. 201001599 七 申請專利範圍: 1. 一種界面系統,用以担乂 界面系統包含: 用叫供$控制的保護環境,該 σ 封裝至,以及至少1門用以覆蓋該封裳室的開 一機械系統,置於該封裝室中, 含至少一支架用以支撐及傳送至少-基板Γ序、統包 :夕帛冑路’耦接該封裝室,用以注入氣體於 該封裝室中;以及 八礼體於 =竟控制槽以及空氣循環系統,耗接 2,如申請專利範圍第1項 包含至少一加熱元件麵接該封裝室。,…進一步 包含3:.如巾請專利範㈣1項所述之界面线,進-步 粒子過渡斋’搞接該環境控制槽;以及 槽。至乂帛―官路’崎該粒子和該環境控制 =申請專利範圍第1項所述之界面系統,進一步 匕由、一周邊控制感應器耦接 裝封室中㈣,氧氣濃度= 卞/可木社度’或上述之組合。 ^5·如申請專利範圍第i項所述之界面系統,盆中该 =控:槽包含多個催化劑,其中該些催化劑包含一除 屋劑除氧劑’ 一空氣清淨劑,或上述之組合。 6.如申請專利範圍第5項所述之界面系統,其中該 〇503-A34224TWF/yeatsluo % 201001599 環境控制槽進一步包含. 一再生置分 m 化劑;以及 ,用以加熱該環境控制槽以再生該些催 一冷卻單元, 催化劑的吸附能力 用以冷卻該環境控制槽以加強對該些 =载器’用以提供受控制的保護環境,包含: 、、至以及至少一閘門用以覆蓋該封裝室的開 / 僻顿糸統 於該封裝王Τ, 含至少1架用以支撐至少__基板;以及 至)—周邊控制元件放置於該封裝室中。 8 ”請專利_第7項所述之承载器,進 含至少一第一管 乂匕 裝室中。 接以封裝至,用以注入氣體於該封 再Τ該機械系統包
    9.如申請專利範圍第7 少一環境控制元件包含至少 述之組合。 項所述之承載器,其中該至 一吸附墊,一吸附袋,或上 瓜如申請翻範㈣7項所述之承载器, 少一環境控制元件包含多個催化劑禮二 含--除氧劑,,清淨劑:::::::包 附塾包第9項所述之承_,其中該吸 ::二。構,一網狀結構’-多孔結構或是上 :匕申請專利範圍第7項所述之承載器,進一步包 s至^轅境控制感應器耦接該封裝室,其中誃至小 0503-A34224TWF/yeatsluo „ 201001599 %境控制感應n偵測該裝封室中的溼度,氧氣濃度,空 氡分子污染程度,或上述之組合。 13.種半導體製造系統,提供受控制的保護環境, 包含: 多個處理室; 至少-界面系統’其中該界面系統包含—第一環境 控制元件; 至少-承載器,其中該承載器包含一第二環境控制 元件;以及 一控制模>組,麵接該些處理室,該至少―界面系統, 以及該至少一承載器。 1 甘4·如U利範圍第13項所述之半導體製造系 統,其中: 該第-環境控制元件和該第二環独制元件包含多 個催化劑;以及 劑 統 統 統 袋 該些催化劑包含—除_,-除氧劑,-空氣清淨 或上述之組合。 1甘5 .=申μ專利圍帛13項所述之半導體製造系 八該第—%境控制元件包含一環境控制槽。 甘:申:專利範圍$ 15項所述之半導體製造系 ^中該環境控制槽包含—再生元件和—冷卻元件。 17^申請專利範圍帛^項所述之半導體製造系 ,:中该第二環境控制元件包含一吸附晶圓,一吸附 或上述組.合。 18·如申明專利範圍第13項所述之半導體製造系 〇503-A34224TWF/yeatsluo 28 201001599 統,其中該界面系統和該承載器包含至少一環境控制感 應器,用以偵測溼度,氧氣濃度,空氣分子污染程度, 或上述之組合。 19. 如申請專利範圍第14項所述之半導體製造系 統,其中該控制模組與該至少一環境控制感應器通訊。 20. —種半導體晶圓容器,提供受控制的保護環境, 該半導體晶圓容器包含: 一特定結構,包含一吸附裝置,用以減低該半導體 , 裸晶圓容器中的溼度、氧氣或其他殘渣;以及 一或多個元件,用以接收該特定結構中的半導體晶 圓。 21. 如申請專利範圍第20項所述之半導體晶圓容 器,進一步包含一前開口式通用容器。 22. 如申請專利範圍第20項所述之半導體裸晶圓容 器,其中該吸附裝置包含一吸附(催化劑)晶圓和/或一 吸附(催化劑)袋。 t; 23.如申請專利範圍第20項所述之半導體裸晶圓容 器,進一步包含一抗溼材質鍍膜以及/或一抗氧材質鍍 膜。 24. 如申請專利範圍第20項所述之半導體裸晶圓容 器,進一步包含一顯示裝置。 25. 如申請專利範圍第20項所述之半導體裸晶圓容 器,進一步包含一周邊7[貞測器。 . 26.如申請專利範圍第25項所述之半導體裸晶圓容 器,其中該周邊偵測器包含一溼度計,一氧氣濃度計, 0503-A34224TWF/yeatsluo 29 201001599 及/或一空氣分子污染感測器。 27. 如申請專利範圍第25項所述之半導體裸晶圓容 器,其中该周邊偵測器與一控制器通訊。 28. —種吸附裝置,包含在一晶圓容器中,提供並維 護該晶圓容器中之一受控制的保護環境,包含: 與一晶圓相似的形狀;以及 或夕個催化劑,其中該一或多個催化劑降低該晶 圓容器中之溼度及氧氣濃度。 29. 如申請專利範圍第28項所述之吸附裝置,苴中 該-或多個催化劑包含:Na86[(A1〇2)86(Si〇2).冗〇。 30. 如申明專利範圍第28項所述之吸附裝置,進一 步包含-網孔結構,—網狀結構,—多孔結構或是上述 之組合。 .種半導體處理系、統,提供一受控帝】的保護環 境,包含: 至少一承載器可承載一或多個半導體晶圓; 至少一處理室;以及 至少一設備前端模組(EFEM),裝載一周邊偵測器, 用以監測該設備前端模組中的環境參數; 其中該-或多個半導體晶圓在該半導體處理系統的 處理過程中,被傳送至該至少—承载器該至少一處理 至,及/或該至少一設備前端模組。 32.如申請專利範圍第3]項所述之 :’其中該設備前端模組包含一周邊抵抗材料二二 抵抗材料包含—抗㈣f,—抗氧材質,或上述組合。 30 0503-A34224TWF/yeatsIuo 201001599 33. 如申請專利範圍第μ項所述之半導體處理系 統,其中該設備前端模組包含一吸收裝置可用之一特定 結構。 34. 如申請專利範圍第31項所述之半導體處理系 統,其中該吸附裝置包含一吸附(催化劑)袋。 35. 如申請專利範圍第31項所述之半導體處理系 統,其中該設備前端模組進一步包含一再生元件,用以 再生該吸附裝置。 ( 36·如申請專利範圍帛31項所述之半導體處理系 統’其中該周邊偵測器包含1度計,_氧氣濃度計, 或上述組合。 37.如申請專利範圍第31項所述之半導體處理系 統’其中該周邊偵測係與一控制器通訊。 31 〇503-A34224TWF/yeatsluo
TW98120972A 2008-06-23 2009-06-23 半導體製造系統,界面系統,承載器,半導體晶圓容器,吸附裝置 TWI413202B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US7483108P 2008-06-23 2008-06-23
US12/435,861 US8827695B2 (en) 2008-06-23 2009-05-05 Wafer's ambiance control

Publications (2)

Publication Number Publication Date
TW201001599A true TW201001599A (en) 2010-01-01
TWI413202B TWI413202B (zh) 2013-10-21

Family

ID=41431471

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98120972A TWI413202B (zh) 2008-06-23 2009-06-23 半導體製造系統,界面系統,承載器,半導體晶圓容器,吸附裝置

Country Status (3)

Country Link
US (1) US8827695B2 (zh)
CN (2) CN102176409B (zh)
TW (1) TWI413202B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9607873B2 (en) 2014-02-07 2017-03-28 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and operation method thereof
TWI604554B (zh) * 2014-01-21 2017-11-01 禹範濟 排氣裝置
TWI611469B (zh) * 2012-12-27 2018-01-11 三星顯示器有限公司 用於測試及蝕刻基板之多功能設備及包含其之基板處理設備
TWI759488B (zh) * 2017-06-08 2022-04-01 日商東京威力科創股份有限公司 控制裝置及基板處理裝置

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5410794B2 (ja) * 2009-03-17 2014-02-05 東京エレクトロン株式会社 基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9711324B2 (en) * 2012-05-31 2017-07-18 Axcelis Technologies, Inc. Inert atmospheric pressure pre-chill and post-heat
US9958424B2 (en) * 2012-10-01 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of identifying airborne molecular contamination source
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102186620B1 (ko) * 2013-05-06 2020-12-03 삼성전자주식회사 로드 포트 모듈 및 이를 이용한 기판 로딩 방법
JP6060822B2 (ja) * 2013-06-13 2017-01-18 トヨタ自動車株式会社 移載装置及び生産システム
CN111508871A (zh) 2013-08-12 2020-08-07 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
US9368378B2 (en) * 2013-12-31 2016-06-14 Sophia Wen Semiconductor wafer cleaning system
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN111696895A (zh) * 2014-11-25 2020-09-22 应用材料公司 具有基板载体和净化腔室环境控制的基板处理系统、设备和方法
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
JP6500498B2 (ja) * 2015-02-27 2019-04-17 シンフォニアテクノロジー株式会社 搬送室及び搬送室のケミカルフィルタの湿度管理方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI788061B (zh) 2015-08-04 2022-12-21 日商昕芙旎雅股份有限公司 門開閉系統及具備門開閉系統之載入埠
US10515834B2 (en) 2015-10-12 2019-12-24 Lam Research Corporation Multi-station tool with wafer transfer microclimate systems
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10192762B2 (en) * 2016-01-26 2019-01-29 Applied Materials, Inc. Systems and methods for detecting the existence of one or more environmental conditions within a substrate processing system
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107284856A (zh) * 2016-04-11 2017-10-24 深圳市辰中科技有限公司 用于精密生产的环境保持系统及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
WO2018102055A1 (en) * 2016-12-02 2018-06-07 Applied Materials, Inc. Advanced in-situ particle detection system for semiconductor substrate processing systems
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10566216B2 (en) 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107433103B (zh) * 2017-08-15 2019-11-26 武汉华星光电半导体显示技术有限公司 除雾装置、湿式处理设备及除雾方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10861692B2 (en) 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11194259B2 (en) * 2018-08-30 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Equipment module with enhanced protection from airborne contaminants, and method of operation
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
CN110160279B (zh) * 2019-05-24 2021-03-16 广东美的暖通设备有限公司 变频制冷系统和具有其的冷水机组
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN115428138A (zh) * 2020-03-31 2022-12-02 未来儿股份有限公司 基板收纳容器
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7277840B2 (ja) * 2020-06-16 2023-05-19 シンフォニアテクノロジー株式会社 ロードポート及びロードポートの駆動方法
JP7025670B2 (ja) * 2020-06-16 2022-02-25 シンフォニアテクノロジー株式会社 ロードポート及びefem
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022130124A (ja) * 2021-02-25 2022-09-06 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022116637A1 (de) 2022-07-04 2024-01-04 Technische Universität Dresden, Körperschaft des öffentlichen Rechts Waschbarer adsorptionsfilter zur adsorption von kontaminationen aus der luft bei der waferproduktion

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1026549B1 (en) * 1994-04-08 2007-02-28 Canon Kabushiki Kaisha Processing system adapted for semiconductor device manufacture
JP3034765B2 (ja) 1994-07-28 2000-04-17 株式会社半導体エネルギー研究所 レーザー処理方法
JP3916380B2 (ja) * 1999-07-06 2007-05-16 株式会社荏原製作所 基板搬送容器待機ステーション
US6591162B1 (en) 2000-08-15 2003-07-08 Asyst Technologies, Inc. Smart load port with integrated carrier monitoring and fab-wide carrier management system
JP3939101B2 (ja) 2000-12-04 2007-07-04 株式会社荏原製作所 基板搬送方法および基板搬送容器
JP2003332402A (ja) * 2002-05-10 2003-11-21 Kondo Kogyo Kk ミニエンバライメント方式の半導体製造装置
KR100505061B1 (ko) * 2003-02-12 2005-08-01 삼성전자주식회사 기판 이송 모듈
JP4614416B2 (ja) * 2003-05-29 2011-01-19 日東電工株式会社 半導体チップの製造方法およびダイシング用シート貼付け装置
US6993405B2 (en) 2003-11-05 2006-01-31 International Business Machines Corporation Manufacturing product carrier environment and event monitoring system
WO2005094971A1 (ja) * 2004-03-31 2005-10-13 Daikin Industries, Ltd. 気体浄化装置
TWI275451B (en) * 2005-01-11 2007-03-11 Asia Ic Mic Process Inc Measurement of thickness profile and elastic modulus profile of polishing pad
JP4518986B2 (ja) * 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
JP4744175B2 (ja) * 2005-03-31 2011-08-10 東京エレクトロン株式会社 基板処理装置
US8322299B2 (en) 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
US7418982B2 (en) * 2006-05-17 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier and facility interface and apparatus including same
US7758338B2 (en) 2007-05-29 2010-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier, port apparatus and facility interface and apparatus including same
US8109407B2 (en) 2007-05-30 2012-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for storing substrates
JP5032269B2 (ja) * 2007-11-02 2012-09-26 東京エレクトロン株式会社 被処理基板の温度調節装置及び温度調節方法、並びにこれを備えたプラズマ処理装置

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI611469B (zh) * 2012-12-27 2018-01-11 三星顯示器有限公司 用於測試及蝕刻基板之多功能設備及包含其之基板處理設備
US10137533B2 (en) 2012-12-27 2018-11-27 Samsung Display Co., Ltd. Multi-functional apparatus for testing and etching substrate and substrate processing apparatus including the same
TWI604554B (zh) * 2014-01-21 2017-11-01 禹範濟 排氣裝置
US10714367B2 (en) 2014-01-21 2020-07-14 Bum Je WOO Fume-removing device
US11114325B2 (en) 2014-01-21 2021-09-07 Bum Je WOO Fume-removing device
US11152239B2 (en) 2014-01-21 2021-10-19 Bum Je WOO Fume-removing device
US11201071B2 (en) 2014-01-21 2021-12-14 Bum Je Woo Fume-removing device
US9607873B2 (en) 2014-02-07 2017-03-28 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and operation method thereof
TWI759488B (zh) * 2017-06-08 2022-04-01 日商東京威力科創股份有限公司 控制裝置及基板處理裝置

Also Published As

Publication number Publication date
US20090317214A1 (en) 2009-12-24
CN101656199B (zh) 2011-07-20
CN102176409A (zh) 2011-09-07
US8827695B2 (en) 2014-09-09
CN102176409B (zh) 2013-06-12
CN101656199A (zh) 2010-02-24
TWI413202B (zh) 2013-10-21

Similar Documents

Publication Publication Date Title
TW201001599A (en) Semiconductor manufacturing system, interface system, carrier, semiconductor wafer container, adsorptive device
TWI228260B (en) Sorbent-based gas storage and delivery system for dispensing of high-purity gas, and apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing same
TWI617368B (zh) 循環基板容器清洗系統及其方法
KR100336917B1 (ko) 진공챔버를정화시키기위한방법
US20080298933A1 (en) Substrate carrier, port apparatus and facility interface and apparatus including same
TW201250815A (en) Substrate treatment method and substrate treatment apparatus
JP2013179287A (ja) 基板収容容器のパージ方法
JP2013038437A (ja) 移送容器
JP2010206050A (ja) 半導体装置の製造方法及び基板処理装置
JP3334929B2 (ja) 熱処理装置
JP2004119888A (ja) 半導体製造装置
EP1209249A2 (en) Semiconductor manufacturing system and method for cleaning the same
TW200814168A (en) Apparatus and method for treating substrate
JP3058909B2 (ja) クリーニング方法
JP2003115519A (ja) 半導体装置の製造方法、半導体製造装置、ロードロック室、基板収納ケース、ストッカ
JP2004174659A (ja) グローブボックス装置
TWI669771B (zh) 用來處理用於基板的輸送及大氣儲存的塑膠搬運盒之方法及工作站
KR102300977B1 (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
JP4357821B2 (ja) 基板処理装置
JPH031554A (ja) 半導体ウエハー搬送用クリーンボックス
JP2005353978A (ja) シリル化処理装置およびシリル化処理方法
JPH0864666A (ja) 基板収納容器及び基板処理方法
JPH08335572A (ja) 半導体製造装置
JP5273936B2 (ja) 基板処理装置および半導体装置の製造方法
JP2020053691A (ja) 再循環型基板コンテナパージングシステム及び方法