TWI759488B - 控制裝置及基板處理裝置 - Google Patents

控制裝置及基板處理裝置 Download PDF

Info

Publication number
TWI759488B
TWI759488B TW107118107A TW107118107A TWI759488B TW I759488 B TWI759488 B TW I759488B TW 107118107 A TW107118107 A TW 107118107A TW 107118107 A TW107118107 A TW 107118107A TW I759488 B TWI759488 B TW I759488B
Authority
TW
Taiwan
Prior art keywords
substrate
foup
storage container
substrate processing
detected
Prior art date
Application number
TW107118107A
Other languages
English (en)
Other versions
TW201907504A (zh
Inventor
長池宏史
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201907504A publication Critical patent/TW201907504A/zh
Application granted granted Critical
Publication of TWI759488B publication Critical patent/TWI759488B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67383Closed carriers characterised by substrate supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Packaging Frangible Articles (AREA)

Abstract

本發明之目的在於偵測基板收納容器內部之污染狀態。
本發明提供一種基板收納容器,其係收納基板者,且於上述基板收納容器之內部具有能夠偵測污染狀態之監視器,而偵測上述基板收納容器內部之污染狀態。

Description

控制裝置及基板處理裝置
本發明係關於一種基板收納容器、控制裝置及異常檢測方法。
已知於半導體製造步驟中,將複數個晶圓呈多段收容於基板收納容器而予以搬送。基板收納容器係使用FOUP(Front Opening Unified Pod,前開式單元匣)。
FOUP係載置於裝載埠(LP:Load Port)。晶圓以特定之時序自FOUP搬出,在設置於基板處理裝置之基板處理室(PM:Process Module(處理模組))中被進行處理之後,返回至FOUP。因此,有時於FOUP內混合存在處理後之製品晶圓(以下,稱為「處理後晶圓」)及處理前之製品晶圓(以下,稱為「處理前晶圓」)。
於已進行過蝕刻處理之晶圓表面,會吸附處理氣體,或蝕刻反應未充分進行而於表面殘存氣體,或者附著蝕刻對象物。該等殘存物與大氣中 之水分發生反應,或成為釋氣而逐漸脫離,由此逐漸改變處理後晶圓之表面狀態。其結果,處理前晶圓變質,或於處理後晶圓上所形成之元件產生疵點(缺陷),或者元件特性產生變化。
於多數情形時,隨著時間經過會對晶圓產生不良影響,故進行晶圓處理後之時間管理(亦稱為「Q-Time」),將於規定時間內未進入下一步驟之晶圓廢棄。因此,為了延長規定時間,而將收容有處理後晶圓之FOUP內部以N2氣體等惰性氣體進行置換(例如,參照專利文獻1)。
[先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2013-179287號公報
[專利文獻2]日本專利特開2017-17154號公報
然而,於專利文獻1中,未偵測基板收納容器內部之污染狀態,以預先規定之流量,且以預先規定之淨化時間供給惰性氣體。因此,存在利用惰性氣體進行之淨化過度、或不充分之問題。
針對上述問題,於一態樣中,本發明之目的在於偵測基板收納容器內部之污染狀態。
為了解決上述問題,根據一態樣,提供一種基板收納容器,其係收納基板者,且於上述基板收納容器之內部具有能夠偵測污染狀態之監視器,而偵測上述基板收納容器內部之污染狀態。
根據一態樣,能夠偵測基板收納容器內部之污染狀態。
1:基板處理系統
10:基板處理裝置
11:間隔壁
12:載置台
13:支持構件
14:卡止構件
15:埠門
16:供氣管
17:排氣管
18:過濾器
19:止回閥
20:FOUP淨化裝置
30:FOUP保管庫
31:FOUP搬入搬出口
40:FOUP搬送裝置
50:主機
60:FOUP
61:蓋
62:氣體供給口
63:氣體排出口
64:多孔質體
70:QCM
71:石英板
72:電極
73:支持體
74:膜
80:發送機
100:控制裝置
101:CPU
102:ROM
103:RAM
103A:處理條件表
103B:測定結果表
104:HDD
200:控制裝置
300:控制裝置
400:控制裝置
AC:機械臂
AD:機械臂
C:拾取器
D:拾取器
GV:閘閥
LLM1:裝載互鎖室
LLM2:裝載互鎖室
LM:承載模組
LP1~LP3:裝載埠
PM:基板處理室
PM1~PM4:基板處理室
PS:淨化儲藏庫
S11~S21:步驟
S31~S42:步驟
S51~S52:步驟
S61~S63:步驟
VA:搬送裝置
VTM:搬送室
W:晶圓
圖1係表示一實施形態之基板處理系統之概略構成之一例的圖。
圖2係表示一實施形態之基板處理裝置之構成之一例的圖。
圖3(a)、(b)係表示一實施形態之裝載埠及FOUP之構成之一例的圖。
圖4係表示一實施形態之FOUP保管庫之構成之一例的圖。
圖5係表示一實施形態之QCM之構成之一例的圖。
圖6係表示一實施形態之QCM之頻率測定結果之一例的圖。
圖7係表示一實施形態之QCM之表面狀態之一例的圖。
圖8係表示一實施形態之QCM之重量測定結果之一例的圖。
圖9係表示一實施形態之恢復處理之一例的流程圖。
圖10係表示一實施形態之恢復處理之一例的流程圖。
圖11係表示一實施形態之處理條件表之一例的圖。
圖12係表示一實施形態之測定結果之儲存處理之一例的流程圖。
圖13係表示一實施形態之恢復處理之一例的流程圖。
以下,參照圖式對用以實施本發明之形態進行說明。再者,於本說明書及圖式中,對於實質上相同之構成,藉由標註相同符號而省略重複之說明。
[基板處理系統之整體構成]
首先,一面參照圖1一面對本發明之一實施形態之基板處理系統1之概略構成之一例進行說明。
於圖1中,基板處理系統1具備基板處理裝置10、FOUP淨化裝置20、FOUP保管庫30、FOUP搬送裝置40及主機50。再者,基板處理裝置10、FOUP淨化裝置20、FOUP保管庫30及FOUP搬送裝置40之數量並不限定於圖示者。
基板處理裝置10由控制裝置100控制,對作為基板之一例之半導體晶圓(以下,稱為「晶圓」)實施蝕刻處理、成膜處理、清洗處理、灰化處理等處理。關於基板處理裝置10之詳細構成及動作,將於下文敍述。
FOUP淨化裝置20由控制裝置200控制,利用例如N2氣體等惰性氣體對所載置之FOUP之內部進行淨化。FOUP淨化裝置20係與基板處理裝置10分開構成、能夠單獨對FOUP內進行淨化之專用之淨化裝置。FOUP淨化裝置20之詳細構成與下述設置於基板處理裝置10內部之裝載埠相同。
FOUP保管庫30係暫時保管FOUP之保管庫,保管收容有1或複數個晶圓之FOUP。FOUP保管庫30具有與FOUP淨化裝置20相同之對FOUP內部進行淨化之功能。FOUP保管庫30由控制裝置300控制,藉由FOUP之淨化功能,而利用例如N2氣體對所載置之FOUP之內部進行淨化。
FOUP搬送裝置40由控制裝置400控制,於基板處理裝置10、FOUP淨化裝置20及FOUP保管庫30之間搬送FOUP。
主機50與控制裝置100、200、300、400連接,與控制裝置100、200、300、400聯合地管理設置有基板處理系統1之工廠整體之製造步驟。亦可藉由模組控制器或設備控制器構成控制裝置100、200、300、400。
又,控制裝置100、200、300、400及主機50係控制基板處理系統1之控制裝置之一例,該基板處理系統1包含本實施形態中所示之於基板處理室中利用特定氣體對基板進行處理之基板處理裝置10。
[基板處理裝置之構成]
繼而,一面參照圖2一面對本發明之一實施形態之基板處理裝置10之構成之一例進行說明。圖2所示之基板處理裝置10係群集構造(多腔室型)之裝置。
圖2之基板處理裝置10具有基板處理室PM(Process Module)1~4、搬 送室VTM(Vacuum Transfer Module,真空傳輸模組)、裝載互鎖室LLM(Load Lock Module,裝載互鎖模組)1、2、承載模組LM(Loader Module)、裝載埠LP(Load Port)1~3及淨化儲藏庫PS(Purge Storage)。於基板處理室PM1~PM4中,對晶圓W實施所需之處理。
基板處理室PM1~PM4與搬送室VTM相鄰配置。將基板處理室PM1~PM4亦總稱為基板處理室PM。基板處理室PM1~PM4與搬送室VTM藉由閘閥GV之開閉而連通。基板處理室PM1~PM4被減壓至特定之真空氛圍,於其內部對晶圓W實施蝕刻處理、成膜處理、清洗處理、灰化處理等處理。
於搬送室VTM之內部,配置有搬送晶圓W之搬送裝置VA。搬送裝置VA具有屈伸及旋轉自如之2個機械臂AC、AD。於各機械臂AC、AD之前端部,分別安裝有拾取器C、D。搬送裝置VA能夠將晶圓W分別保持於拾取器C、D,根據閘閥GV之開閉進行基板處理室PM1~PM4及搬送室VTM之晶圓W之搬入及搬出。又,搬送裝置VA進行晶圓W向裝載互鎖室LLM1、2之搬入以及晶圓W自裝載互鎖室LLM1、2之搬出。
裝載互鎖室LLM1、2設置於搬送室VTM與承載模組LM之間。裝載互鎖室LLM1、2切換大氣氛圍與真空氛圍而將晶圓W自大氣側之承載模組LM向真空側之搬送室VTM搬送,或自真空側之搬送室VTM向大氣側之承載模組LM搬送。
於承載模組LM之長邊之側壁設置有裝載埠LP1~LP3。於裝載埠LP1~LP3載置例如收納有25片晶圓W之FOUP或空的FOUP。承載模組LM將自裝載埠LP1~LP3內之FOUP搬出之晶圓W搬入至裝載互鎖室LLM1、2中之任一者。又,承載模組LM將自裝載互鎖室LLM1、2中之任一者搬出之晶圓W搬入至FOUP。
又,於承載模組LM之長邊之側壁,與裝載埠LP1~LP3一併設置有淨化儲藏庫PS。於淨化儲藏庫PS,藉由承載模組LM搬入已處理過之晶圓W,於大氣氛圍中暫時保持,並藉由N2進行淨化。又,暫時被保持之已處理過之晶圓W由承載模組LM搬入至裝載埠LP1~LP3內之FOUP。
控制基板處理裝置10之控制裝置100具有作為控制部之一例之CPU(Central Processing Unit,中央處理單元)101、ROM(Read Only Memory,唯讀記憶體)102、RAM(Random Access Memory,隨機存取記憶體)103及HDD(Hard Disk Drive,硬碟驅動器)104。並不限於HDD104,控制裝置100亦可具有SSD(Solid State Drive,固態驅動器)等其他記憶區域。於HDD104、RAM103等記憶區域,儲存有製程配方,該製程配方設定有處理順序、處理條件、搬送條件等。再者,在此之後將CPU101亦稱為控制部101。
CPU101按照製程配方控制各基板處理室PM中之晶圓W之處理,且控制晶圓W之搬送。於HDD104或RAM103,亦可記憶用以執行下述基板搬送處理或清洗處理之程式。用以執行基板搬送處理或清洗處理之程式既 可儲存於記憶媒體而提供,亦可通過網路自外部裝置提供。
又,於RAM103,記憶有表示基板處理之條件之處理條件表103A、及儲存QCM(Quartz Crystal Microbalance,石英石英微量天平)之測定結果之測定結果表103B。關於處理條件表103A及測定結果表103B,將於下文敍述。
又,控制裝置200、300、400及主機50亦可分別具有相同之構成。
基板處理室PM、搬送室VTM、裝載互鎖室LLM、承載模組LM及裝載埠LP以及淨化儲藏庫PS之數量並不限於本實施形態中所示之個數,可為任意數量。如下所述,於裝載埠LP載置FOUP,於FOUP內設置監視器。監視器於FOUP內設置1個以上。
[裝載埠及FOUP之構成]
以下,一面參照圖3一面對本發明之一實施形態之裝載埠LP及FOUP60之構成之一例進行說明。再者,於圖3中,表示於裝載埠LP載置有FOUP60之狀態。又,裝載埠LP根據來自控制裝置100之指令,執行FOUP60內之淨化。
於圖3(a)中,FOUP60具有前方開口之箱狀之形狀,且具備將該開口密閉之蓋61。FOUP60之下表面由設置於形成承載模組LM之間隔壁11之外側的裝載埠LP之載置台12支持。FOUP60藉由FOUP搬送裝置40自基板 處理裝置10之外部搬送,並載置於載置台12之上表面。再者,於承載模組LM內,形成有自上方朝向下方之氣體流、所謂之降流。
載置台12由設置於間隔壁11之外表面之支持構件13支持。於載置台12之後方,設置有卡止構件14,將載置台12與FOUP60於特定位置卡止(夾緊)。
於間隔壁11之與蓋61對向之位置,設置有埠門15。
埠門15構成為開閉自如。因此,藉由在使埠門15與蓋61抵接之狀態下對埠門15進行開閉操作,而能夠將蓋61打開或關閉。
又,於FOUP60之內部,水平且等間隔地呈多段配置有例如25片晶圓W。
於FOUP60之內部,設置有QCM(Quartz Crystal Microbalance)70。QCM70係能夠偵測FOUP60內部之污染狀態之監視器之一例。
QCM70既可設置於FOUP60之上表面,亦可設置於FOUP60之下表面,還可設置於側面。此處,較佳為根據於基板處理室PM中晶圓W之處理所使用之特定氣體之種類(重量),於氣體滯留部位安裝QCM70。藉此,能夠高精度地偵測污染狀態。又,QCM70亦可於FOUP60之內部設置複數個。
於FOUP60之內部,設置有將QCM70之測定結果發送至外部之發送機80。又,於發送機80內置有電源。再者,QCM70之驅動電力既可自設置於供載置FOUP60之載置台的未圖示之連接器供給,亦可自內置於QCM70之電源供給。
又,QCM70之測定結果係經由設置於供載置FOUP60之載置台12的未圖示之連接器輸出至控制裝置100,或經由發送機80發送至控制裝置100。藉此,控制裝置100能夠取得表示FOUP60所偵測出之FOUP60內部之污染狀態的資訊。
如圖3(b)所示,於FOUP60之底部之四角,設置有例如3個氣體供給口62、及1個氣體排出口63。於本實施形態中,分別為氣體供給口62中之2個配置於蓋61之相反側,1個配置於蓋61側。氣體排出口63配置於蓋61側。
於氣體供給口62,經由圖3(a)所示之供氣管16連接有供給作為淨化氣體之例如N2氣體之未圖示之氣體供給源。又,於氣體排出口63,經由排氣管17連接有未圖示之排氣機構,能夠將自各氣體供給口62供給之N2氣體排出。
於供氣管16設置有過濾器18,該過濾器18將微粒或水分去除。
又,於設置於蓋61之相反側之2個氣體供給口62,設置有於FOUP60內向鉛直上方延伸之多孔質體64。多孔質體64將自氣體供給口62供給之N2氣體均等地分配至各晶圓W間。
又,於排氣管17設置有止回閥19,防止氣體自外部逆流至FOUP60。
[FOUP淨化裝置之構成]
於本實施形態中,FOUP淨化裝置20具有與自圖3中省略了形成承載模組LM之間隔壁11後之裝載埠LP相同之構成。又,FOUP淨化裝置20根據來自控制裝置200之指令,執行FOUP60內之淨化。
[FOUP保管庫之構成]
繼而,一面參照圖4一面對本發明之一實施形態之FOUP保管庫30之構成之一例進行說明。FOUP保管庫30係具有FOUP搬入搬出口31且暫時保管FOUP60之保管庫。
FOUP保管庫30亦可於1或複數個FOUP之保管位置具有與FOUP淨化裝置20相同之FOUP淨化機構。又,FOUP保管庫30根據來自控制裝置300之指令,執行FOUP60內之淨化。
[晶圓W之搬送]
其次,參照圖2對晶圓W之搬送進行說明。首先,晶圓W係自載置於裝載埠LP1~LP3之FOUP60中之任一者被搬出,並搬入至基板處理室 PM1~PM4中之任一者。具體而言,晶圓W係自載置於裝載埠LP1~LP3中之任一者之FOUP60被搬出,且經由承載模組LM向裝載互鎖室LLM1、2中之任一者搬送。
於被搬入有晶圓W之裝載互鎖室LLM1、2中之任一者,進行排氣處理(抽真空),將室內自大氣氛圍切換為真空氛圍。於該狀態下,晶圓W由搬送裝置VA自裝載互鎖室LLM1、2中之任一者搬出,並搬入至基板處理室PM1~PM4中之任一者,於基板處理室PM1~PM4中之任一者開始進行晶圓W之處理。
例如,對將晶圓W供給至基板處理室PM1,執行電漿蝕刻處理之情形之一例進行說明。於基板處理室PM1中自特定氣體生成電漿,藉由該電漿之作用對載置於基板處理室PM1之載置台之晶圓W進行電漿處理。於處理後,基板處理室PM1內部係以N2氣體予以淨化。
其後,打開閘閥GV,將已處理過之晶圓W搬出,搬入至搬送室VTM。又,已處理過之晶圓W由搬送裝置VA搬入至裝載互鎖室LLM1、2中之任一者。已處理過之晶圓W被搬出後之裝載互鎖室LLM1、2中之任一者之內部自真空氛圍切換為大氣氛圍。繼而,已處理過之晶圓W經由承載模組LM被搬入至載置於裝載埠LP1~LP3中之任一者之FOUP60。
此時,於FOUP60內,因來自已處理過之晶圓W之釋氣或自基板處理室PM1~PM4擴散來之氣體成分,而有如下擔憂:處理前晶圓W變質,或 於形成於晶圓W上之元件產生疵點(缺陷),或者元件特性產生變化。
因此,於本實施形態中,在FOUP60內,可藉由QCM70對來自已處理過之晶圓W之釋氣或自基板處理室PM1~PM4擴散來之氣體成分進行測定,根據測定結果檢測FOUP60內之異常,於必要之情形時執行恢復處理。藉此,能夠降低來自已處理過之晶圓W之釋氣所產生之影響。
[QCM]
以下,一面參照圖5,一面對QCM70簡單地進行說明。QCM70具有藉由支持體73支持石英振子之構成,該石英振子係利用2片電極72夾持石英板71而得。若於QCM70之石英振子之表面附著異物,則下式所示之QCM70之共振頻率f會根據其重量而變動。
f=1/2t(√C/ρ)t:石英板之厚度C:彈性常數ρ:密度
可利用該現象,根據共振頻率f之變化量定量地測定微量之附著物。共振頻率f之變化由將附著於石英振子之物質所引起之彈性常數之變化及物質之附著厚度換算為石英密度時之厚度尺寸決定。藉此,能夠將共振頻率f之變化換算為附著物之重量。
利用此種原理,QCM70輸出表示共振頻率f之偵測值。例如,控制部101基於自QCM70輸出之偵測值,將頻率之變化換算為附著物之重量,藉此能夠算出膜厚或成膜速度。再者,QCM70所偵測出之共振頻率f係表示FOUP60內部之污染狀態之資訊之一例。
QCM70係使用石英振子之微量附著物監視器,具有於薄石英板之兩面設置有電極之構造。若異物附著於QCM70之表面,則石英板之重量會增加,振動之頻率會略微下降,故藉由專用之電路對此進行監視。QCM70雖然根據石英板之構造或電路而變化,但亦存在能夠檢測1ng以下之異物的附著者,其係感度非常高之感測器。
又,重要的是,QCM70不直接計測空間中之氣體,而計測附著於QCM70表面之物體。即,即便單純自處理後晶圓釋放氣體,若該氣體不於其他晶圓或FOUP60之內壁附著、反應,則不會引起任何問題。因此,必須監視直接影響FOUP60內之晶圓等的「於表面附著、吸附、反應」之氣體,故可以說作為異物附著監視器之QCM70係最佳之感測器。
此處,將QCM70設置於蝕刻裝置,監視來自晶圓W之釋氣成分,將所得之結果示於圖6。由圖6可知,頻率根據時間呈線性變化,能將QCM70用作感測器。
又,較佳為於QCM70之表面,如圖7所示,形成有預先被覆抗蝕劑、Si、SiO2及SiN中之任一者而成之膜74。又,膜74較佳為選擇於基板處理室PM中對晶圓W進行處理時附著之主導膜。如上所述,QCM70係計測附著於表面之異物者,故藉由將QCM70之表面設為與晶圓W之表面接近之狀態,能夠高精度地偵測頻率之變化。但是,QCM70之表面亦可不必藉由抗蝕劑、Si、SiO2及SiN被覆。
再者,若於狹小之封閉空間存在成為釋氣源之物質,則其中尤其是容易再附著、即容易引起污染之成分附著於QCM70之表面,以重量變化之形式被計測。若釋氣之產生量增加,則QCM70隨之有反應。
此處,將QCM70設置於模擬FOUP60內之狹小封閉空間(配管),並監視附著於QCM70表面之異物之重量,將所得之結果示於圖8。由圖8可知,剛導入釋氣源之後、及剛對釋氣源進行加熱之後,附著於QCM70表面之異物之重量即刻發生變化。
[控制部之處理]
因此,控制裝置100、200、300、400及主機50自QCM70接收石英振子之頻率作為表示污染狀態之資訊之一例。
而且,於石英振子之頻率之變化量超過預先規定之閾值之情形時,控制部101檢測FOUP60內部之異常。又,控制部101於檢測出FOUP60內部之異常之情形時,進行錯誤通知。再者,錯誤之通知對象亦可為例如操作員用之監視裝置或其他控制裝置100、200、300、400及主機50等。
又,控制部101於檢測出FOUP60內部之異常之情形時,視需要執行以下所示之恢復處理。
具體而言,控制部101於在基板處理裝置10內檢測出FOUP60內部之 異常之情形時,可執行以下恢復處理中之至少任一者,以下,將於在基板處理裝置10內載置有FOUP60之狀態下進行之恢復處理亦稱為入塢(dock)時之恢復處理。
(1)將N2氣體等惰性氣體導入至FOUP60之內部。
(1-1)根據異常之檢測狀態,控制惰性氣體之流量。
(1-2)根據異常之檢測狀態,控制惰性氣體之導入時間。
(2)將FOUP60內之晶圓W搬送至基板處理室PM1~PM4中之任一者,藉由特定氣體再次對晶圓W進行處理。
(3)變更基板處理室PM中之晶圓W之處理條件。
於在基板處理裝置10外檢測出FOUP60內部之異常之情形時,控制部101亦可執行以下恢復處理中之任一者。以下,將於在基板處理裝置10外載置有FOUP60之狀態下進行之恢復處理亦稱為出塢(undock)時之恢復處理。
(1)將FOUP60搬送至裝載埠LP、淨化儲藏庫PS、FOUP淨化裝置20或FOUP保管庫30,且向FOUP60之內部導入N2氣體等惰性氣體。
(2)將檢測出異常之FOUP60之內部之晶圓W搬送至基板處理裝置10之淨化儲藏庫PS或FOUP保管庫30,將所搬送之晶圓W於淨化儲藏庫PS或FOUP保管庫30中保持特定時間。
又,控制部101於執行過一次恢復處理之後,基於QCM70之測定結果,再次檢測FOUP60內部之異常,於再次檢測出FOUP60內部之異常之情形時,亦可執行如下恢復處理,即,將FOUP60內之晶圓W搬送至基板 處理室PM1~PM4中之任一者,利用特定氣體再次對晶圓W進行處理,或者變更基板處理室PM中之晶圓W之處理條件。
又,控制部101於執行過一次恢復處理之後,基於QCM70之測定結果,再次檢測FOUP60內部之異常,於再次檢測出FOUP60內部之異常之情形時,亦可藉由檢查晶圓W之缺陷之裝置,執行FOUP60內部之晶圓W之圖案檢查。
又,控制部101亦可將QCM70之測定結果儲存於RAM103之測定結果表103B,於基於所儲存之特定時間內之測定結果檢測出FOUP60內部之異常之情形時,執行上述恢復處理。
[入塢時之即時恢復處理]
其次,使用圖9之流程圖對一實施形態之恢復處理之一例進行說明。本處理係於例如將FOUP60載置於基板處理裝置10之裝載埠LP且正對晶圓W進行處理或搬送之狀態(入塢時)下,由控制部101執行。
若開始本處理,則控制部101開始利用設置於FOUP60之QCM70(石英振子)進行測定(步驟S11)。再者,於在FOUP60設置有複數個QCM70之情形時,藉由複數個QCM70之各者開始測定。
繼而,控制部101算出QCM70之頻率相對於特定時間之變化量(步驟S12)。此處,作為特定時間,例如,亦可為測定QCM70所測定之最近之 數個~數十個之測定值之時間。
其次,控制部101判定QCM70之頻率之變化量是否大於預先規定之第1閾值(步驟S13)。控制部101於判定為QCM70之頻率之變化量為第1閾值以下之情形時,返回至步驟S11,重複步驟S11~S13之處理。第1閾值係預先規定之用以檢測FOUP60內之異常之值,於為第1閾值以上之情形時,判定為FOUP60之內部異常。
控制部101於判定為QCM70之頻率之變化量為第1閾值以上之情形時,進行錯誤通知(步驟S14)。例如,對操作員用之監視裝置等進行錯誤通知。
繼而,控制部101根據圖11所示之處理條件表103A所記載之淨化時間,藉由裝載埠LP,向FOUP60之內部導入N2氣體等惰性氣體(步驟S15)。
於將FOUP60載置於裝載埠LP,對晶圓W進行處理之狀態下,FOUP60之蓋61處於打開狀態,故導入至FOUP60內部之惰性氣體會自蓋61排出,但由於如上所述般形成降流,故基板處理室PM不會受到污染。
又,控制部101根據圖11所示之處理條件表103A所記載之淨化儲藏庫保持時間,針對晶圓W延長於淨化儲藏庫PS中保持之時間(步驟S16)。再者,步驟S15及步驟S16亦可僅執行其中任一者。
其次,控制部101算出QCM70之頻率相對於特定時間之變化量(步驟S17)。此處之特定時間係執行步驟S12時之最近之特定時間。
其次,控制部101判定QCM70之頻率之變化量是否大於第1閾值(步驟S18)。控制部101於判定為QCM70之頻率之變化量為第1閾值以下之情形時,結束本處理。
控制部101於判定為QCM70之頻率之變化量大於第1閾值之情形時,進行錯誤通知(步驟S19)。
繼而,控制部101將FOUP60內部之晶圓W搬送至淨化儲藏庫PS,並將晶圓W於淨化儲藏庫PS中保持,或將FOUP60內部之晶圓W搬送至基板處理室PM1~PM4中之任一者,執行再清洗(步驟S20)。
此時,淨化儲藏庫PS中之晶圓W之保持係根據圖11所示之處理條件表103A所記載之淨化儲藏庫保持時間而執行。又,基板處理室PM中之再清洗係根據圖11所示之處理條件表103A所記載之乾洗(DC)之時間而執行。
此處,可代替淨化儲藏庫PS中之晶圓W之保持、或基板處理室PM中之再清洗,而執行灰化或晶圓W之加熱、利用未圖示之洗淨裝置之表面處理,作為針對晶圓W之後處理。該等處理係基於圖11所示之處理條件表 103A所記載之處理條件而執行。
其次,控制部101基於例如QCM70之頻率之變化量,更新圖11所示之處理條件表103A之各條件(步驟S21),並結束本處理。再者,更新後之處理條件表103A應用於下一循環中之恢復處理。再者,步驟S20及步驟S21亦可僅執行其中任一者。又,亦可不執行步驟S14、S19之錯誤通知。
如此,於在將FOUP60載置於基板處理裝置10之裝載埠LP,對晶圓W進行處理之狀態下檢測出FOUP60內部之異常之情形時,藉由即時地執行2階段之恢復處理,能夠降低來自已處理過之晶圓W之釋氣所產生之影響。
[出塢時之即時恢復處理]
其次,使用圖10之流程圖對一實施形態之恢復處理之一例進行說明。本處理係於針對晶圓W之處理結束,FOUP60位於基板處理裝置10之外部之狀態(出塢時)下,由控制部101執行。
若開始本處理,則控制部101開始利用設置於FOUP60之QCM70(石英振子)進行測定(步驟S31)。
繼而,控制部101算出QCM70之頻率相對於特定時間之變化量(步驟S32)。
其次,控制部101判定QCM70之頻率之變化量是否大於預先規定之第2閾值(步驟S33)。控制部101於判定為QCM70之頻率之變化量為第2閾值以下之情形時,返回至步驟S31,重複步驟S31~S33之處理。再者,第2閾值既可為與第1閾值相同之值,亦可為與第1閾值不同之值。
控制部101於判定為QCM70之頻率之變化量大於第2閾值之情形時,進行錯誤通知(步驟S34)。
繼而,控制部101對FOUP搬送裝置40之控制裝置400發出指令,將FOUP60搬送至基板處理裝置10、FOUP淨化裝置20及FOUP保管庫30中之任一者(步驟S35)。
其次,控制部101根據圖11所示之處理條件表103A所記載之淨化時間,藉由裝載埠LP或FOUP淨化裝置,向FOUP60之內部導入N2氣體等惰性氣體(步驟S36)。
又,於已將FOUP60搬送至基板處理裝置10之情形時,控制部101根據圖11所示之處理條件表103A所記載之淨化儲藏庫保持時間,將FOUP60內之晶圓W於淨化儲藏庫PS中保持(步驟S37)。再者,步驟S36及步驟S37亦可僅執行其中任一者。
其次,控制部101算出QCM70之頻率相對於特定時間之變化量(步驟S38)。
其次,控制部101判定QCM70之頻率之變化量是否大於第2閾值(步驟S39)。控制部101於判定為QCM70之頻率之變化量為第2閾值以下之情形時,結束本處理。
控制部101於判定為QCM70之頻率之變化量大於第2閾值之情形時,進行錯誤通知(步驟S40)。
繼而,控制部101將FOUP60內部之晶圓W搬送至基板處理室PM1~PM4中之任一者,執行再清洗,或藉由檢查晶圓W之缺陷之裝置,執行FOUP60內部之晶圓W之圖案檢查(步驟S41)。
此時,基板處理室PM中之再清洗係根據圖11所示之處理條件表103A所記載之乾洗(DC)之時間而執行。
此處,可代替基板處理室PM中之再清洗,而執行灰化或晶圓W之加熱、藉由未圖示之洗淨裝置之表面處理,作為針對晶圓W之後處理。該等處理係基於圖11所示之處理條件表103A所記載之處理條件而執行。
其次,控制部101基於例如QCM70之頻率之變化量,更新圖11所示之處理條件表103A之各條件(步驟S42),並結束本處理。再者,關於步驟S41之再清洗及圖案檢查,亦可執行一者或兩者。
如此,於針對晶圓W之處理結束,在FOUP60自基板處理裝置10離開之狀態下檢測出FOUP60內部之異常之情形時,藉由即時地執行2階段之恢復處理,能夠降低來自已處理過之晶圓W之釋氣所產生之影響。但是,恢復處理亦可為1階段,還可執行3階段以上之恢復處理。
再者,處理條件表103A係如圖11所示般設定有淨化儲藏庫保持時間等處理條件的表格。處理條件表103A既可記憶於控制裝置100內,亦可記憶於主機50,還可記憶於經由網路而連接於控制裝置100之雲電腦。
[QCM之測定結果之儲存處理]
其次,使用圖12之流程圖對一實施形態之測定結果之儲存處理之一例進行說明。本處理係由控制部101接收例如自設置於FOUP60之發送機80發送之QCM70之測定結果,藉此而執行。
若開始本處理,則控制部101開始利用設置於FOUP60之QCM70進行測定(步驟S51)。
繼而,控制部101將QCM70之測定結果儲存於RAM103之測定結果表103B(步驟S52),並結束處理。
上述QCM之測定結果之儲存既可如圖12所示般以與異常檢測之處理不同之時序單獨執行,亦可與圖10所示之QCM之測定及異常檢測之處理同時地儲存於測定結果表103B。
又,於測定結果表103B中,亦可儲存圖6所示之與特定之時間經過對應之頻率。測定結果表103B既可記憶於控制裝置100內,亦可記憶於主機50,還可記憶於經由網路而連接於控制裝置100之雲電腦。
[基於所儲存之QCM之測定結果的非即時恢復處理]
其次,使用圖13之流程圖對一實施形態之恢復處理之一例進行說明。本處理係於例如將FOUP60載置於基板處理裝置10之裝載埠LP,對晶圓W進行處理或搬送之狀態(入塢時)下,由控制部101執行。
若開始本處理,則控制部101讀取記憶於RAM103之測定結果表103B(步驟S61)。
繼而,控制部101基於測定結果表103B,算出QCM70之頻率相對於與經過時間對應之特定時間的變化量(步驟S62)。此處,作為特定時間,既可使用1批次前之測定結果表103B之資訊所對應之特定時間,亦可使用數批次前之測定結果表103B之資訊。
其次,控制部101判定QCM70之頻率之變化量是否大於預先規定之第3閾值(步驟S63)。再者,第3閾值既可為與第1閾值、第2閾值相同之值,亦可為與第1閾值、第2閾值不同之值。
再者,此後之處理除閾值為第3閾值以外,與上述圖9之步驟S14以後 (入塢時之恢復處理之情形)、或圖10之步驟S34以後(出塢時之恢復處理之情形)之流程圖相同,故省略說明。
如此,控制部101將QCM70之測定結果儲存於RAM103之測定結果表103B,於基於所儲存之特定時間內之測定結果,檢測出FOUP60內部之異常之情形時,藉由非即時地執行恢復處理,能夠長時間地掌握FOUP60內部之污染狀態,降低來自已處理過之晶圓W之釋氣所產生之影響。
如以上所說明般,根據本實施形態之FOUP60,提供如下一種FOUP60,其係收納晶圓W者,且於FOUP60之內部具有能夠偵測污染狀態之QCM70,而偵測FOUP60內部之污染狀態,因此,能夠偵測FOUP60內部之污染狀態。
又,根據本實施形態之控制裝置,取得如下資訊,即表示設置於收納晶圓W之FOUP60內部的QCM70所偵測出之FOUP60內部之污染狀態的資訊,基於所取得之表示污染狀態之資訊,檢測FOUP60內部之異常,於檢測出FOUP60內部之異常之情形時,執行恢復處理。因此,能夠降低來自已處理過之晶圓W之釋氣所產生之影響。
以上,藉由上述實施形態說明了基板收納容器、控制裝置及異常檢測方法,但本發明之基板收納容器、控制裝置及異常檢測方法並不限定於上述實施形態,能夠於本發明之範圍內進行各種變化及改良。上述複數個實施形態所記載之事項可於不矛盾之範圍內進行組合。
例如,設置於FOUP之監視器並不限於QCM,亦可使用除QCM以外之感測器。作為監視器之另一例,可考慮靜電電容式之感測器。靜電電容式之感測器可藉由計測靜電電容,而測定反應生成物之沈積量。
又,控制部亦可算出剛將晶圓搬入至FOUP後之短時間內之QCM之頻率之變化量(變化速度),於該變化速度大於預先規定之閾值之情形時,設為所搬入之晶圓之釋氣之影響較大,而對該晶圓執行再清洗。
又,本發明之基板處理裝置不僅可應用電容耦合型電漿(CCP:Capacitively Coupled Plasma)裝置,而且可應用其他裝置。作為其他裝置,亦可為感應耦合型電漿(ICP:Inductively Coupled Plasma)、使用放射狀線槽孔天線之電漿處理裝置、大喇叭波激發型電漿(HWP:Helicon Wave Plasma)裝置、電子回旋共振電漿(ECR:Electron Cyclotron Resonance Plasma)裝置等。又,亦可為藉由反應性氣體及熱進行蝕刻或成膜處理之無電漿之裝置。
又,於本說明書,對晶圓進行了說明,但亦可為用於LCD(Liquid Crystal Display,液晶顯示器)、FPD(Flat Panel Display,平板顯示器)等之各種基板、或光罩、CD(Compact Disc,光碟)基板、印刷基板等。
11:間隔壁
12:載置台
13:支持構件
14:卡止構件
15:埠門
16:供氣管
17:排氣管
18:過濾器
19:止回閥
60:FOUP
61:蓋
62:氣體供給口
63:氣體排出口
64:多孔質體
70:QCM
80:發送機
LM:承載模組
W:晶圓

Claims (8)

  1. 一種控制裝置,其係基板處理裝置之控制裝置,該基板處理裝置係於基板處理室中利用特定氣體對基板進行處理;且該控制裝置具有控制部,該控制部取得如下資訊,即表示設置於收納基板之基板收納容器內部之監視器所偵測出之上述基板收納容器內部之污染狀態的資訊,且基於所取得之表示上述污染狀態之資訊,檢測上述基板收納容器內部之異常,上述控制部於檢測出上述異常之情形時,執行恢復處理,上述控制部係:於在上述基板處理裝置之內部檢測出上述基板收納容器內部之異常之情形時,執行於上述基板處理室中利用特定氣體再次對基板進行處理、及變更上述基板處理室中之基板之處理條件中之至少任一種恢復處理。
  2. 如請求項1之控制裝置,其中上述監視器係石英振子。
  3. 如請求項2之控制裝置,其中於上述石英振子之表面,預先被覆有抗蝕劑、Si、SiO2及SiN中之任一者。
  4. 如請求項1至3中任一項之控制裝置,其中 上述監視器係根據於基板處理室中基板之處理所使用的特定氣體之種類而設置於上述基板收納容器之內部之上表面、下表面及側面中之至少任一者。
  5. 如請求項1之控制裝置,其中上述控制部於參照儲存有所取得之表示上述污染狀態之資訊的記憶部,基於所儲存之表示特定時間內之上述污染狀態之資訊,而檢測出上述基板收納容器內部之異常之情形時,執行恢復處理。
  6. 如請求項1或5之控制裝置,其中上述控制部係:於在上述基板處理裝置之內部檢測出上述基板收納容器內部之異常之情形時,進而執行將惰性氣體導入至上述基板收納容器之內部、及變更惰性氣體之導入條件中之至少任一種恢復處理。
  7. 如請求項1或5之控制裝置,其中上述控制部於在上述基板處理裝置之外部檢測出上述基板收納容器內部之異常之情形時,執行將已檢測出異常之上述基板收納容器搬送至上述基板處理裝置之裝載埠、淨化儲藏庫、FOUP淨化裝置或FOUP保管庫,且於上述裝載埠、上述淨化儲藏庫、上述FOUP淨化裝置或FOUP保管庫將惰性氣體導入至上述基板收納容器之內部的恢復處理;或者,執行將已檢測出異常之上述基板收納容器內部之基板搬送至上述淨化儲藏庫或FOUP保管庫,於上述淨化儲藏庫或FOUP保管庫中將所搬送之基板保持特定時間的恢復處理。
  8. 一種基板處理裝置,其係於基板處理室中利用特定氣體對基板進行處理,且具備控制裝置;上述控制裝置具有控制部,該控制部取得如下資訊,即表示設置於收納基板之基板收納容器內部之監視器所偵測出之上述基板收納容器內部之污染狀態的資訊,且基於所取得之表示上述污染狀態之資訊,檢測上述基板收納容器內部之異常,上述控制部於檢測出上述異常之情形時,執行恢復處理,上述控制部係:於在上述基板處理裝置之內部檢測出上述基板收納容器內部之異常之情形時,執行於上述基板處理室中利用特定氣體再次對基板進行處理、及變更上述基板處理室中之基板之處理條件中之至少任一種恢復處理。
TW107118107A 2017-06-08 2018-05-28 控制裝置及基板處理裝置 TWI759488B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017-113770 2017-06-08
JP2017113770A JP6945357B2 (ja) 2017-06-08 2017-06-08 制御装置。

Publications (2)

Publication Number Publication Date
TW201907504A TW201907504A (zh) 2019-02-16
TWI759488B true TWI759488B (zh) 2022-04-01

Family

ID=64563613

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107118107A TWI759488B (zh) 2017-06-08 2018-05-28 控制裝置及基板處理裝置

Country Status (5)

Country Link
US (1) US11462444B2 (zh)
JP (1) JP6945357B2 (zh)
KR (1) KR102517507B1 (zh)
CN (1) CN109037126B (zh)
TW (1) TWI759488B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10790177B2 (en) * 2017-11-14 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Systems, devices, and methods for using a real time environment sensor in a FOUP
US11662373B2 (en) * 2018-05-24 2023-05-30 Sinfonia Technology Co., Ltd. Substrate storage container management system, load port, and substrate storage container management method
US11239099B2 (en) * 2018-09-27 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Tool monitoring device and method of monitoring tool
JP7357453B2 (ja) * 2019-03-07 2023-10-06 東京エレクトロン株式会社 基板処理システムおよび基板の搬送方法
US20220293440A1 (en) * 2021-03-11 2022-09-15 Taiwan Semiconductor Manufacturing Company Limited Load port and methods of operation
CN112885733B (zh) * 2021-03-12 2023-09-05 中南大学 利用高频无极石英晶体传感器监测刻蚀二氧化硅的系统
KR20220135290A (ko) 2021-03-29 2022-10-07 삼성전자주식회사 측정용 캐리어 및 이를 포함하는 웨이퍼 이송 시스템

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002168748A (ja) * 2000-12-01 2002-06-14 Ebara Corp ケミカルフィルタ終点検知モニター、該モニターを備えた基板搬送容器、基板搬送容器充電ステーション、及び、ケミカルフィルタ終点検知方法
US20030178142A1 (en) * 2002-02-25 2003-09-25 De Ridder Christianus Gerardus M. Apparatus for treating wafers, provided with a sensor box
TW201001599A (en) * 2008-06-23 2010-01-01 Taiwan Semiconductor Mfg Semiconductor manufacturing system, interface system, carrier, semiconductor wafer container, adsorptive device
US20110220545A1 (en) * 2010-03-15 2011-09-15 Samsung Electronics Co., Ltd. Substrate transfer container, gas purge monitoring tool, and semiconductor manufacturing equipment with the same
TW201543542A (zh) * 2013-12-26 2015-11-16 Tokyo Electron Ltd 基板處理系統、基板運送方法、程式及電腦記憶媒體
US20170067163A1 (en) * 2015-09-03 2017-03-09 Veeco Instruments Inc. Multiple chamber chemical vapor deposition system
TW201732984A (zh) * 2016-01-26 2017-09-16 應用材料股份有限公司 用於偵測基板處理系統內之一或更多個環境條件之存在的系統及方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5151110A (en) * 1990-09-11 1992-09-29 University Of New Mexico Molecular sieve sensors for selective detection at the nanogram level
US6156578A (en) * 1998-06-01 2000-12-05 Advanced Technology Materials, Inc. Quartz crystal microbalance system for detecting concentration of a selected gas component in a multicomponent gas stream
JP4355488B2 (ja) * 2002-05-13 2009-11-04 富士通株式会社 分子汚染監視システム
US7010374B2 (en) * 2003-03-04 2006-03-07 Hitachi High-Technologies Corporation Method for controlling semiconductor processing apparatus
JP5078873B2 (ja) * 2005-04-06 2012-11-21 バイオスケール・インコーポレーテッド 電気的応答デバイス
JP2007214218A (ja) * 2006-02-08 2007-08-23 Hitachi High-Technologies Corp 真空処理装置
US7641406B2 (en) * 2007-07-26 2010-01-05 Sokudo Co., Ltd. Bevel inspection apparatus for substrate processing
JP2012094822A (ja) * 2010-09-30 2012-05-17 Shibaura Mechatronics Corp 密閉型容器及び半導体製造装置
JP6087161B2 (ja) 2012-02-03 2017-03-01 東京エレクトロン株式会社 基板収容容器のパージ方法
JP6106501B2 (ja) * 2013-04-12 2017-04-05 東京エレクトロン株式会社 収納容器内の雰囲気管理方法
JP6403431B2 (ja) * 2013-06-28 2018-10-10 株式会社Kokusai Electric 基板処理装置、流量監視方法及び半導体装置の製造方法並びに流量監視プログラム
JP6837274B2 (ja) 2015-06-30 2021-03-03 東京エレクトロン株式会社 半導体製造装置及び基板搬送方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002168748A (ja) * 2000-12-01 2002-06-14 Ebara Corp ケミカルフィルタ終点検知モニター、該モニターを備えた基板搬送容器、基板搬送容器充電ステーション、及び、ケミカルフィルタ終点検知方法
US20030178142A1 (en) * 2002-02-25 2003-09-25 De Ridder Christianus Gerardus M. Apparatus for treating wafers, provided with a sensor box
TW201001599A (en) * 2008-06-23 2010-01-01 Taiwan Semiconductor Mfg Semiconductor manufacturing system, interface system, carrier, semiconductor wafer container, adsorptive device
US20110220545A1 (en) * 2010-03-15 2011-09-15 Samsung Electronics Co., Ltd. Substrate transfer container, gas purge monitoring tool, and semiconductor manufacturing equipment with the same
TW201543542A (zh) * 2013-12-26 2015-11-16 Tokyo Electron Ltd 基板處理系統、基板運送方法、程式及電腦記憶媒體
US20170067163A1 (en) * 2015-09-03 2017-03-09 Veeco Instruments Inc. Multiple chamber chemical vapor deposition system
TW201732984A (zh) * 2016-01-26 2017-09-16 應用材料股份有限公司 用於偵測基板處理系統內之一或更多個環境條件之存在的系統及方法

Also Published As

Publication number Publication date
KR20180134300A (ko) 2018-12-18
TW201907504A (zh) 2019-02-16
JP6945357B2 (ja) 2021-10-06
US20180358249A1 (en) 2018-12-13
US11462444B2 (en) 2022-10-04
KR102517507B1 (ko) 2023-04-03
CN109037126B (zh) 2023-06-27
JP2018207050A (ja) 2018-12-27
CN109037126A (zh) 2018-12-18

Similar Documents

Publication Publication Date Title
TWI759488B (zh) 控制裝置及基板處理裝置
TWI728981B (zh) 半導體製造裝置及基板運送方法
KR101578594B1 (ko) 기판 처리 장치, 기판 처리 장치의 데이터 해석 방법 및 기록 매체
TWI545673B (zh) A substrate processing apparatus, a manufacturing method of a semiconductor device, and a computer-readable recording medium
US20040105738A1 (en) Substrate processing apparatus and method of processing substrate while controlling for contamination in substrate transfer module
JP2018037559A (ja) 基板処理方法及び基板処理システム
JP4961893B2 (ja) 基板搬送装置及び基板搬送方法
JP5545795B2 (ja) 基板処理装置及び半導体製造装置管理方法
US20040018650A1 (en) Substrate processing apparatus
JP2011049432A (ja) ダミー基板の使用方法
US8021513B2 (en) Substrate carrying apparatus and substrate carrying method
US20180315629A1 (en) Transfer apparatus and transfer method
KR100855325B1 (ko) 로드락 챔버, 기판 처리 장치 및 기판 처리 방법
JP6727377B2 (ja) 処理装置、処理方法および記憶媒体
JP5997542B2 (ja) 真空処理装置及び真空処理方法
JP2011054679A (ja) 基板処理装置
JP2007036268A (ja) 基板処理方法及び基板処理装置
JP3183043B2 (ja) 真空処理装置
JP2005109513A (ja) 現像方法及び現像装置及び液処理方法及び液処理装置
TW202343643A (zh) 基板搬運系統
KR20230148249A (ko) 제조 시스템 제어기에 대한 업데이트 프로세스 동안의 입력/출력(io) 핸들링
JP3561715B2 (ja) 真空処理装置及び真空処理方法
JP2010106303A (ja) 半導体製造装置
KR20000052183A (ko) 반도체 제조 장치
JP2015162638A (ja) 基板処理装置及び基板処理方法