TW200845204A - UV light irradiating apparatus with liquid filter - Google Patents
UV light irradiating apparatus with liquid filter Download PDFInfo
- Publication number
- TW200845204A TW200845204A TW097102640A TW97102640A TW200845204A TW 200845204 A TW200845204 A TW 200845204A TW 097102640 A TW097102640 A TW 097102640A TW 97102640 A TW97102640 A TW 97102640A TW 200845204 A TW200845204 A TW 200845204A
- Authority
- TW
- Taiwan
- Prior art keywords
- light
- liquid
- semiconductor substrate
- irradiating
- liquid layer
- Prior art date
Links
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67115—Apparatus for thermal treatment mainly by radiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/6715—Apparatus for applying a liquid, a resin, an ink or the like
Landscapes
- Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Formation Of Insulating Films (AREA)
- Physical Or Chemical Processes And Apparatus (AREA)
- Physical Water Treatments (AREA)
Description
ο ο 200845204 2b^4bpit 九、發明說明: 【發明所屬之技術領域】 之方2暇關於υν·射裝置或用於_半導體基板 【先前技術】 傳統上,UV處理裝置可運用 :標=化學反應來產生物質。近年來,設= 率消耗’對於佈線設計的改進以及多層佈線結= 。習知已使用低k (低介電常數膜)材料來減少 層間谷積。然而,降低材料之介電常數同時也 ,度(彈性模數,或則下降,因此,低k材料難^ 叉在後續製程(諸如CMP、打線接合以及封裝)中所受到 之應力。解決喊問題的-種方法為以uv照射來固化低 k材料以改良其機械強度(在美國專利第6,759,〇98號以及 美國專利第9,296,909號中找到實例)。uv照射可以使低k 材料收縮以及硬化,因而使其機械強度(EM)增加了 5〇% 至200%。此外,在高度整合設備之趨勢下,有許多基於 光化學反應的光學CVC的研究已進行多年,以研發出在 熱CVD或PECVD之沈積製程下不會受到損傷的薄膜。 【發明内容】 UV照射能夠先打斷低k膜之_CH3鍵或-Si_〇鍵,再 將打斷之組份重新鍵接,以構成〇_Si_〇網路,故能增強低 k膜之機械強度。由於短波長之uv光的能量較高,因此 5 200845204 26946pif 上述效應更強。各種類型之燈可用於υν固化,諸如準分 子燈以及汞燈。其中,由於汞燈能夠產生各種波長之光, 因而伴隨許多熱能,故需要冷卻此等燈。通常以供應 空氣之吹風機冷卻汞燈。然而,由於冷卻空氣中之氧會吸 收具有250 nm或更短之波長的υν光而轉化成臭氧,因 此,、使得能夠到達照射目標之有效υν光量減小。進一步 造成固化效率以及產量的下降。此外,所產生之真氧為$ Ο ο 害的,因而須以洗務法等來處理廢氣。若以增加燈之輸入 =率來增加具有25〇_或更短之波長的有sUV光量 έ產生更多熱且使固化目標(亦即,上面沈積低k膜的基 反^溫度上升。因此,⑽方絲增加有效w 相當有限的。 疋 、入、^解決前述問題中之至少一者,本發明之實施例以水 =部法取代空氣冷卻法來冷卻燈。換句話說,不需要 =空氣來進行冷卻,因此,具有25〇 nm或更短 =2光不會被冷卻空氣中之氧吸收,故能提高二 == 知具有250 nm或更短之波長的uv光能夠 固化低k膜。詳言之,具有在細⑽至25〇n 二要大!空氣,但如上所述,具有25G nm或更 ^有效光會被空氣中之氧吸收且轉化成有害之臭氧^ =若使職氣來取代空氣,由於需要大量的氮氣, 也難以實施。若使用前述實施例,則不需要考虞上 ,通。舉例來說,在另—實施例中,以凡替換燈單^中 6 200845204 26946pif 之大氣以防止具有250 nm或更短波長之uv光被冷卻空氣 ^之氧吸收'然而,如前文所述,其所導致的另一問題為 若以提昇具有250 nm或更短波長之uv光的強度的方式來 改良固化效率’燈所產生的大量熱將使所照射基板之溫度 增加。換句話說,UV光之強度僅可增加至某一位準。在 本叙明之一貫施例中,使用水來冷卻燈以增加UV光之強 度’則不會有與熱相關聯之問題。
為達成總結本發明以及所達成的優於相關技術之優點 2目的,在本減案巾描述本發明之某些目標以及優點。 畜然’應理解,未必可根據本發明之任何特定實施例達成 此等目標或優點。因此,舉例而言,熟習此項技術者 2識到,在未必達成本文巾可能教示或建議之其他目標 :二點的情況下,可以達成或最優化本文巾所教示之一優 -或優點集合的方式來實施或執4亍本發明。 自以下紹圭實施例之詳細描述,本發明之其他態樣、 将徵以及優點將變得顯而易見。 【實施方式】 下文將參考較佳實_及圖絲詳細轉本發明。較 土灵知例以及圖式不意欲限制本發明。 ,例巾’本發明提供以UV光照射半導體基板 ^於ίΐ、縣置,其包含:⑴反應11,其提供基板支 Γ 所述反應器具備光透射窗;(ii) UV光照射 其連接至所述反絲,其經由所述光透射窗,以uv π射置放於所述基板支撐台上之半導體基板,所述uv 7 200845204 26946pif 光照射單元包括至少一 uv燈;以及(iii)液體層形成通 道,其配置於所述光透射窗與所述UV燈之間,以形成UV 光透射通過之液體層’所述液體層由流經所述液體層形成 通道之液體形成。 上述實施例可進一步包括(但可不限於)以下實施例。
在實施例中,可由大體上或幾乎不吸收具有250 nm 或更低波長之UV光的流動液體形成液體層。在實施例 中,構成液體層之液體可為水。在實施例中,液體層形成 通道可用能夠透射具有250 nm或更低波長之UV光的玻璃 形成。 在一實施例中’液體層形成通道可由兩個使液體在其 間通過的透光壁形成。在較佳實施例中,兩個壁之間的距 離可為約5«1111至約15 111111(較佳7„1„1至12111111)。壁(例 如,由石英玻璃製成)之厚度可為約丨mm至約2mm。 在貝把例中,液體層形成通道可由雙壁包殼形成, 所述包殼包含内壁以及外壁,以使液體在所述壁之間通 過,所述包殼包圍uv燈。在一實施例中,1;¥燈可具有 狭長形狀。在-實施例中,雙壁包殼可具有用於將液體引 入於内壁與外壁之間的液體人口,以及用於自内壁與外壁 之間排放液體的液體出口。纟—實施例中,雙壁包殼可具 有用於將惰性氣體引人至由内壁包圍之内部中 入口,以及用於自内部排放惰性氣體的惰性氣體出口二一 在二實施例中,液體層形成通道可配置成平行於°uv 光透射㉟’且其與uv光透射窗的距離小於與UV燈的距 8 200845204 26946pif 離。在一實施例中,uv光透射窗可構成液體層形成通道。 在一實施例中,UV照射單元可具備沿著XJV照射單 元之内壁配置的反射板,所述反射板配置成具有一角度, 以將來自UV燈之UV光朝向UV光透射窗反射。 在一實施例中,液體層形成通道可具有用於將液體引 入至通道中的液體入口,以及用於自通道排放液體的液體 出口,且可具備配置於液體出口處的溫度感測器,用以偵 測液體出口處之液體的溫度。在一實施例中,液體層形成 通道可具備配置於液體入口上游的流量控制器,用以根據 温度感測器所測得之溫度來控制液體流動。 在另一實施例中,本發明提供用於以UV光照射半導 體基板之方法,其包含:(i)將半導體基板置放於反應器 中之基板支撐台上;(ii)使液體通過液體層形成通道,以 形成液體層,所述液體層形成通道配置於提供於UV光照 射單元中之UV燈與光透射窗之間,其中所述光透射窗配 置於反應器與UV光照射早元之間;以及(ίϋ )以自uv 燈發射之UV光經由液體層以及光透射窗照射至半導體基 板。 上述實施例可進一步包括(但可不限於)以下實施例。 在一實施例中,可藉由使大體上或幾乎不吸收具有 250 nm或更低波長之uv光之液體通過來形成液體層。在 貝施例中,構成液體層之液體可為水。在一實施例中, 基板可具有經受UV光照射之低k膜(或超低k膜)。 在一實施例中,液體層形成通道可由雙壁包殼形成, 9 200845204 26946pif 所述包殼包圍uv燈且可包含内壁以及外壁,其中液體層 形成步驟包含使液體通過内壁與外壁之間。在一實施例 中,液體層形成步驟可進一步包含將惰性氣體引入至由内 壁包圍之内部中,以及自内部排放惰性氣體。 _ 在一實施例中,液體層可配置成平行於所述UV光透 • 射1^,且其與所述uv光透射窗的距離小於與所述uv燈 的距離。 (1 在一實施例中,UV光透射窗可作為液體層形成通 道,且液體層可形成於UV光透射窗中。 在一實施例中,UV照射單元可具備沿著uv照射單 元之内壁配置的反射板,其中照射步驟可進一步包含使用 反射板將來自UV燈之UV光朝向uv光透射窗反射。 在一實施例中,液體層形成步驟可包含將液體引入至 通道中、自通道排放液體、彳貞測自通道排放之液體的溫度, 以及根據所測得之溫度控制引入至通道中之液體的流動。 在一實施例中,可控制液體流動,以將自通道排放之液體 ί) 的溫度控制在40°C或更低。 在所有實施例中,一實施例中所用之任何元件可用於 ‘ 另一實施例中,除非此替換為不可行的或引起不利效應。 另外,本發明應用於裝置以及方法中具有相同功效。 圖1中所示之UV照射裝置包含UV單元18、水冷卻 過濾器11、照射窗5、氣體引入環9、反應器腔室6、加熱 為台7,以及真空泵12。氣體引入環9具有多個氣體出口 8’經由所述氣體出口 8朝著所繪示之箭頭之間的中央排放 10 200845204 26946pif
ί體。沿著UV單元18之内壁裝設冷光鏡卜以透射IR UV光,使得uv光能有效地進入照射窗5。 為了達成冋-目的,亦將另—冷光鏡2置放於旧燈 方。水冷部過濾器U具有冷卻水入口 14以及冷卻水 1〇 ’其中冷卻水入口 14連接至在冷卻器單元(献交換哭 13)上之冷卻水供應口 16,使得冷卻科元 ^ η ο 水供應至水冷卻過濾器11中。冷卻水出口 Π)連接至、= 器=13上之冷卻水返回口 17,使得冷卻水=二: 過慮為11後再返回至冷卻器單元13。冷卻器單元u且
Jin 19以及流量控制器15,以控制冷卻水之溫度 UV =裝置不限於此圖中所說明者,且可使 1置,只要其可昭射πν本 中所示之㈣,二: 以下解釋適用於此圖 、、、、、、°構。在此圖中,可控制腔室ό,使苴卢 於/、空與接近大氣之間的各種條件下,a υν照元、1 :放於頂部上。在此圖中,υν光源3與加熱器7配= 彼此平行且面對面’且照射窗玻璃5配置成平行於卿$ =以及加熱器7且位於υν光源3與加熱器7之間。= “於照射均一之UV光’且由(例如)合成石英夢、 ϋ 何材料製成,只要使照射窗5具有保護2 η。使之免叉大軋影響’以及允許—光透射通過 ^。照射單元18中之UV光源3具有彼此平行配置的 夕個官。如® 1中所不,此光源經適當地配置以達成— 的UV光照強度,且提供反射器2(其看似uv燈之燈罩), 200845204 26946pif :::之uv光反射至薄膜,其中可調整反射 均—光照強度。燈3由uv光可透射之 玻璃(堵如,合成石笨)制士 卻水在單…流;t單於單元n内部中,冷 L動位於早兀11外之冷卻器單元13 經所述單元之冷卻水循環。單元η中填充有氮,以 ’以避免臭氧的產生。在此裝置中,以設置有照射 自5之凸緣9來區隔基板處理部分6與UV發射部分 f) o 18 ’其中基板處理部分6被控制於真空與接近大氣之間的 種t件下。UV發射部分18與照射窗玻璃5之間的間隙 九、有氮’同樣的,氮可避免空氣中之氧吸收uq而產生 臭氧。 在此實施例中,以可容易地移除以及替換uv光源3 之方式來構造UV光源3。同樣地,在此實施例中,經由 凸緣9引入氣體,其中凸緣9具有多個對稱配置的氣^入 口,以產生均一之處理氣氛。在uv照射過程令,腔室6 填充有選自 Ar、CO、C02、C2H4、CH4、H2、He、Kr、 ' 〇2、Xe、醇氣體以及有機氣體之氣體,且將其壓 力調整至約0·1托至接近大氣之範圍(包括1托、10#6、 托托、1,000托,以及在前述任何兩個數目之間 的值),且接著將經由閘閥將基板傳輸口所載入的處理目標 或半導體基板置放於加熱器7上,加熱器7之溫度已經設 置至約0°C至約65(TC之範圍(包括HTC、5〇t、loof^T 200°C、30(TC、400°C、500。〇、600°C,以及前述任何兩個 數目之間的值,但較佳在30(TC至45(TC之範圍中),其後 12 200845204 26946pif 藉由與UV光源保持適當距離(水冷卻過濾器11與照射窗 5之間的距離可為約5 cm至40 cm,而照射窗5與基板之 間的距離可為約0.5 cm至10 cm),在輸出是在約1 mW/cm2 至約1,000 mW/cm2之範圍中(包括10 mW/cm2、50 - mW/cm2、100 mW/cm2、200 mW/cm2、500 mW/cm2、800 mW/cm2,以及前述任何兩個數目之間的值)的情況下, 將具有在約100 nm至約400 nm之範圍中(包括150 nm、 200 nm、250 nm、300 nm、350 nm,以及前述任何兩個數 目之間的值,但較佳在約200 nm至250 nm之範圍中)之 波長的UV光照射至半導體基板上之膜上。使用具有較佳 300 nm或更短或較佳250 nm或更短之波長之uv光可^ 最大化UV照射之效應(諸如,固化低]^膜),同時也可 制熱產生。照射時間可在約〗秒至約6〇分鐘之範圍中 括5秒、H)秒、20秒、5〇秒、刚秒、2〇〇秒、$广 1,〇〇〇秒,以及在前述任何兩個數目之 排 口來排空腔室。此半導體制、、、二由排出 〇 糧理步驟,其= 動序列來執行- • ^ , 寸疋處理步驟包括氣體引入、UV日刀 射"?、射如止以及氣體供應停止。 …、 2⑴分料實_巾所用之水、人/、 過濃、益的不思性側視圖以及示 部 器包圍UV燈3,其中 見囷此水冷卻過濾 27、川宓# m" 向長的UV燈3至放於其中R罢 山、、登之兩端以產生内部空間29。 /罢0 1 有藉以將惰性氣體(諸如, 1 I29 —盍28具 入口 25,而另-蓋^二乳)引入至内部空間29中的 /、有精以在惰性氣體通過内部空間 13 200845204 26946pif 29後排出惰性氣體的㈣D %。蓋27 密封内部空間29 (因為内 而=、性地 大氣(氧)進入内部空間29gp5、= 皿)*只須能防止 m。芸?S 97f八 P可(以維持内部空間29為正 屋)。盍28、27亦分別具有藉以引 =
14 〇 1〇:7, J;;P 含,石英玻璃21,冷卻水22在所述壁之間流 Ο 施中’石英玻璃之厚度為約1麵至2麵,而水 度為約5 mm至15 mm。 叩艰層之尽 在圖2中,水冷部過濾器圍繞三個UV燈。铁而,每 - UV燈可單獨存在於圓柱形水冷卻縣;、而= 個UV燈可存在於單個水冷卻過濾器中。 A至 斤在實施例中,UV燈產生包括自Duv至紅外之寬 I巳圍内的光,且汞燈尤其適於此應用。根據 ς 汞燈分成自健至超高屢之m nm、254 nm、365 nm= ❹ 類型,依照需求,可以選擇適當之類型(即具有短於300 nm 之波長的光而能約有效地固化低让膜)。采燈打斷低k膜中 之-CH3鍵或-Si_〇鍵’且接著將打斷之組份重新鍵接,以 ,成O-Si-Ο網路’以增強所述膜之機械強度。通常以情性 氣體置換基板所處於之大氣,以防止低k膜氧化。惰性氣 體包括N2、He、Ar等。在實施例中,可使用KrQ準分子 (222 nm)燈。雖然KrC1準分子燈的輸出較小,但其具有 250 nm或更短之波長且可有效地改良膜品質。 水々σρ過濾态不限於圖1以及圖2中說明之組態,且 可使用任何其他實施例,諸如提供水層於υν燈與照射窗 14 200845204 26946pif Ο Ο 之間2實施例。舉例而言,可考慮水在兩個玻璃片之間流 動的貫施例。圖一3以及圖4各自展示根據此實施例之水冷 部過遽H 31的示意圖。結構之所有其他組件可朗】中所 =之彼等:组件相同。由於使用相同參考數字指示相同組 所以^略對其之解釋。在此實施例中,合成玻璃等製 成之過濾ϋ玻璃覆蓋照㈣的整個表面。過濾、器填充^ 水且此水之溫度由冷卻器單元控制。如圖4中所示 =過濾器31基本上包含兩個合成石英片41,所述石英 1配置成1%者卩3具有特定距離(諸如與上述水層之厚度 目,或約1.5倍或2倍),且水冷卻過濾器31除了右端: SC:職有冷卻水入口 %以及冷卻水出口 30,使 、二^可在其内部流動外,其餘為氣密性地密封。水 々部過濾器31提供於照射窗5 兩者之間的距離為約幾公釐至幾八八=_中’所述 器本奸叫括照^ 从刀。射,水冷卻過遽 之埶糟::用:d ’可更有效地抑制來自uv燈 ,卜此有效處理半導體膜之25〇 nm或更短波异 、、…光可以透射而不會因被吸收而損失,故^ 吏用包含塗佈有有機膜等之合成玻璃: 吸收且ί;Γ 隔熱n由於此種縣器也會 例3二二=波長之光,因此不適用於本實施 =使用水來隔熱。已知水會吸收具有Tt:' 紅外線’但不會吸收具有更錢長之任何其^ 200845204 或更長波長之IR光不會影響膜的品
光。由於具有1 質,換句話說, 在一實施例中,j 有250腦或更短波長之㈣光的氧。此過遽器能夠降低基 板的溫度,也就是能夠提升具有25〇 nm或更短波長之有 效固化UV光的強度而不升高基板溫度,換句話說,此過 遽裔解決了習知的產熱問題。順帶一提,具有約,腿 至180 nm之波長的光可打斷义七玛鍵,且促使一些非必 要的鍵形成,諸如Η可以附著至Si_CH3鍵之打斷組份, 、 ⑽成Sl_H鍵。因此,UV光之主要波長範圍可較佳為200 Ci nm至25〇nm,且滿足此條件之UV光可透射至基板。 為控制UV光之強度,例如,可使用圖5中所示之方 ' 法圖5中之1置使用UV照度計54來量測自UV燈3照 射之UV光,在照射窗5前以及照射窗5後之位置的強度, 且將結果以信號形式發送至強度監視器53,強度監視器53 將信號轉換成強度資料且輸出至uv控制器/功率單元 52,以控制UV燈3之功率。在此實施例中,水冷卻過濾 器安裝於照射窗5中。又,安裝於承載室(1〇ad 1〇心 16 200845204 26946pif chamber) 50中之承载臂(LL臂)51可自承載室5〇將置 ,於UV照射腔室6内部中之基板傳輸至所述^^照射腔 室中。在基板之傳輸後,UV照射腔室中之晶座7將上升 至與照射窗相距特定間隙的位置。 在以吹風機(使用大氣)冷卻燈的習知裝置中,燈單元 '、、員有大氣存在。雖然可以使用氮氣或其他氣體來冷卻 ^,以防止吸收具有25〇nm或更短波長之UV光,但由於 需要大$的氣體,故以成本效益來看是不可行的。雖然使 用水冷卻過濾器就無需使用钱機來製造冷卻空氣,但仍 可在燈單元中填充氮以移除氧。 在一貫施例中,來自水冷卻過濾器之冷卻水包括純淨 水、離子交換水等,且以控制其料使得其在燈之入口(冷 π水入口。)處之約25。〇以及在出口(冷卻水出口)處之約 35至40C的溫度,以防止冷卻水中之溶解氧形成會吸收/ 政射uv光的氣泡。如圖丨以及圖3中所示,為了監視冷 部水之溫度(諸如出口溫度)以及調整流率,冷卻器單元 13具有溫度控制器19以及流量控制H 15。當冷卻水於出 处^皿度較鬲,則循環速率會增加。相反的,若溫度為 則循壤速率會減小。在實施例中,若入口溫度低於 二溫’則可能發生結露,因此,人π溫度應保持在室溫左 右。為了使冷卻水在出口處之溫度保持為桃或贼以下 =抑制氣泡之形成,可以約5至Μ SLM,供應室溫下之 冷卻水至過濾器中。 囷6以及圖7中展示具有200 nm至250 nm之波長的 17 200845204 26946pif UV光如何有效地改良膜品質的實例。此處,以膜厚度之 減少來判斷膜品質之改變。圖6展示高壓汞燈之波長分佈 以及通過Sic膜之UV透射率(CVD,4MS = 150 seem、 NH3 1’〇〇〇 seem、He = 500 seem、壓力=500 Pa、RF (27 ,MHz) = 500 W、RF (400 kHz) = 150 W、沈積溫度= • 400 C、膜厚度=50 nm)。以橢圓光度法來量測消光係數 以及膜厚度,以計算通過SiC膜之uv光透射率。膜 Ο 對具有300 nm或更長波長之光不敏感,且幾乎使上述光 100%透射。相反的,其吸收具有短於3⑽麵之波長的光, 且在波長為250 nm或更短的光的情況下,吸收的情況更 為心員著。再者,此采燈吸收短於200 nm之波長的情況是 =顯著的。因此,使用此汞燈將UV光照射於SiC膜(作為 中目層)上以照射低k膜,與以具有2〇〇mn至250 nm之波長 的UV光照射低]^膜的結果將會相同。 ^圖7展示在以uv光直接照射低k膜以及經由SiC膜 妝射低k膜時,低k膜的收縮結果(CVD,TMDOS (四 r 基二石夕氧烷)=100 seem、異丙醇=400 seem、〇2 = 50 SCCm、He= 150 seem、壓力= 800 Pa、RF(27MHz)= 1,8〇〇 =、溫度=40(TC、膜厚度=5〇〇 nm)。因為具有使低k ,具有收縮效應的UV光會被Sic遮蔽,所以在相同持續 ~間的UV照射下,無Sic之膜比具有Sic之膜收縮地多。 囷6中所示’具有短於300 nm (尤其250 nm或更短) 之波長之光不穿透SiC。亦即,具有短於300 nm之波長的 uv光具有使低k膜收縮之效應。在此實驗中,uv固化效 18 Ο ο 200845204 26946pif 應會因Sic膜而減少35%。由於能被Sic膜遮蔽至此程度 的UV光主要具有250 nm或更短之波長,所以推斷具有 250 nm或更短波長之光於UV固化中具有較佳的效果二 此等結果發現,增加具有250 nm或更短波長之uv光 的量,對於增強低k膜之固化效率是有效的。然而,以增 加輸入功率來增加具有300 nm或更短或較佳25〇nm或^ 短之波長的UV光,會同時導致其他波長之光的成比例增 加以及更多熱產生的問題。換句話說,熱產生的增加會使 知名人進行固化之基板的溫度升高,舉例來說,由於層間絕 緣膜溫度必須控制在400°C或以下,因此,在固化層間 緣膜時會面臨到這個溫度上的問題。因此,用以獲得較= 量的具有250 nm或更短波長之Uv光的有效方法包括:1) 隔絕由UV燈產生之熱以及增加對燈的功率輸入,以及 以氮來替換燈周圍之大氣以減少氧對UV光的吸收。值得 一提的是,用於實施例中之水冷卻過濾器則滿足這兩個= 件。 '、 如上所述,本發明之實施例確立了下列用來來改良固 化效率的方法··使用能有效固化低k膜的UV光之波長、 使用水冷卻過濾器以解決高壓汞燈之產熱問題,以及以氮 替換固化氣氛以抑制臭氧產生,故可增加能有效進行固化 之U V光的量。 在未指定條件及/或結構的本揭露案中,鑒於本揭霖 案’作為常規實驗,熟習此項技術者可容易地提供此: 件及/或結構。 、木 19 Ο
200845204 26946pif L習此項技術者應轉,在不麟本 3月可進行許多以及各種修改。因此,應清』:: 柄明之戦僅為朗性的且不意欲 【圖式簡單說明】 ^ 圖1為根據本發明之實施例的uv照射裝置之示意圖。 0 2 (a)以及圖2 (b)分別為根據本發明之實施例°的 由液體過濾器包圍之UV燈的示意性侧視圖以及示意性正 視圖。 圖3為根據本發明之實施例的u V照射裝置之示意圖。 圖4(a)以及圖4(b)分別為根據本發明之實施例°的 液體過濾器之示意性側視圖以及示意性透視圖。 圖5為根據本發明之實施例的UV照射裝置之示意圖。 圖6為展示根據本發明之實施例的SiC膜之波長與 UV強度/UV透光度之間的關係的曲線圖。 圖7為展示根據本發明之實施例的在具有以及不具有 SiC之情況下,膜厚度變化的曲線圖。 【主要元件符號說明】 1 :冷光鏡 2 :冷光鏡 3 ·· UV 燈 5 :照射窗 6:反應器腔室 7 :加熱器台 8 ··出口 20 200845204 26946pif 9:氣體引入環 10 :冷卻水出口 11 :水冷卻過濾器 12 :真空泵 , 13 :冷卻器單元 14 :冷卻水入口 15 :流量控制器 16 :冷卻水供應口 C ' 17 :冷卻水返回口 18 : UV單元 19 :溫度控制器 21 :雙壁石英玻璃 22 :冷卻水 25 :入口 26 :排出口 27 ··蓋 I) 28 ··蓋 • 29 :内部空間 30 :冷卻水出口 31 :水冷卻過濾器 34 :冷卻水入口 41 :石英片 42 :冷卻水 50 :承載室 200845204 26946pif 51 :承載臂 52 : UV控制器/功率單元 53 :強度監視器 54 : UV照度計
22
Claims (1)
- 200845204 26946pif 十、申請專利範圍: 1·一種用於以UV光照射半導體基板之UV光照射 置,其包含: 、、我 反應器,其提供基板支撐台於其中,所述反應器具 備光透射窗; UV光照射單元,其連接至所述反應器,經由所述 光透射窗以UV光照射置放於所述基板支撐台上之半導 體基板’所述UV光照射單元包括至少一 uv燈;以及 液體層形成通道’其配置於所述光透射窗與所述 UV燈之間,以形成有所述uv光透射通過之液體層, 所述液體層由流經所述液體層形成通道之液體形成。 2·如申請專利範圍第1項所述之用於以uv光照射半 導體基板之UV光照射裝置,其中所述液體層由大體上或 幾乎不吸收具有250 nm或更低波長之UV光的流動液體形 成。 3·如申請專利範圍第2項所述之用於以UV光照射半 導體基板之UV光照射裝置,其中構成所述液體層之所述 液體為水。 4·如申請專利範圍第1項所述之用於以uv光照射半 導體基板之UV光照射裝置,其中所述液體層形成通道是 用能夠透射具有250 nm或更低波長之uv光的玻璃形成。 5·如申請專利範圍第1項所述之用於以uv光照射半 導體基板之UV光照射裝置,其中所述液體層形成通道由 兩個使所述液體在其間通過的透明壁形成。 23 200845204 26946pif 6·如申請專利範圍第5項所述之用於以UV光照射半 導體基板之uv光照射裝置’其中所述雨個 為約5 mm至15 mm。 , 7·如申請專利範11第5項所述之用於以UV光此射半 導體基板之UV光照射裝置,其中所述_層形成通道由 雙壁包殼形成,所述包殼包含内㈣及外㈣^使^ 液體在所述壁之間通過,所述包殼包圍所迷UV燈。_ 8·如申請專利範圍第7項所述之用於以UV光照射半 導體基板之UV光照射裝置,其中所述UV燈具有狭長形 狀。 9·如申請專利範圍第7項所述之用於以UV光照射半 導體基板之UV光照射裝置,其中所述雙壁包殼具有用於 將所述液體引入於所述内壁與所述外壁之間的液體入口’ 以及用於自所述内壁與所述外壁之間排放所述液體的液體 出口。 1〇·如申請專利範圍第7項所述之用於以UV光照射半 導體基板之UV光照射裝置,其中所述雙壁包殼具有用於 將惰性氣體引入至由所述内壁所包圍之内部中的惰性氣體 入口’以及用於自所述内部排放所述惰性氣體的惰性氣體 出π。 π·如申請專利範圍第1項所述之用於以uv光照射半 導體基板之UV光照射裝置,其中所述液體層形成通道配 置成平行於所述uv光透射窗,且其與所述υν光透射窗 的距離小於與所述UV燈的距離。 24 200845204 26946pif 12·如申請專利範圍第j項所述之用於以UV光照射半 導體基板之UV光照射農置,'其中所述UV光透射自構成 所述液體層形成通道。 13·如申請專利範圍第〗項所述之用於以UV光照射半 導體基板之UV光照射裝置,其中所述UV照射單元具有 • 沿著所述UV照射單元之内壁配置的反射板,所述反射板 配置成具有一角度,以將來自所述UV燈之UV光朝向所 述UV光透射窗反射。 14·如申請專利範圍第1項所述之用於以UV光照射半 導體基板之UV光照射裝置,其中所述液體層形成通道具 有用於將所述液體引入至所述通道中的液體入口,以及用 於自所述通道排放所述液體的液體出口,且具備配置於所 述液體出口處的溫度感測器,以偵測所述液體出口處之所 述液體的溫度。 15·如申請專利範圍第14項所述之用於以UV光照射 半導體基板之UV光照射裝置,其中所述液體層形成通道 ❹ 具備配置於所述液體入口上游的流量控制器,以根據由所 • 述溫度感測器所測得之溫度來控制所述液體流動。 16·—種用於以UV光照射半導體基板之方法,其包含: 將半導體基板置放於反應器中之基板支標台上; 藉由使液體通過液體層形成通道來形成液體層,所 述液體層形成通道配置於UV光照射單元中之υν燈與 光透射窗之間’所述光透射窗提供於所収絲與所述 UV光照射單元之間;以及 25 200845204 26946pif 以自所述uv燈發射之uv光經過所述液體層以及 所述光透射窗照射至所述半導體基板。 17.如申請專利範圍第16項所述之用於以UV光照射 半導體基板之方法’其中形成所述液體層的方法包括使大 - 體上或幾乎不吸收具有250 nm或更低波長之uV光之液體 . 通過。 18·如申請專利範圍第17項所述之用於以UV光照射 ζ\ 半導體基板之方法’其中構成所述液體層之所述液體為水。 19·如申請專利範圍第16項所述之用於以UV光照射 半導體基板之方法’其中所述基板具有經受所述UV光照 射之低k膜。 20·如申請專利範圍第16項所述之用於以UV光照射 半導體基板之方法,其中所述液體層形成通道由雙壁包殼 形成,所述包殼包圍所述UV燈且包含内壁以及外壁,其 中所述液體層形成步驟包含使所述液體通過所述内壁與所 f ^ 述外壁之間。 21·如申請專利範圍第20項所述之用於以UV光照射 半導體基板之方法,其中所述液體層形成步驟更包含將惰 性氣體引入至由所述内壁包圍之内部中,以及自所述内部 排放所述惰性氣體。 22·如申請專利範圍第16項所述之用於以UV光照射 半導體基板之方法,其中所述液體層形成通道配置成平行 於所述UV光透射窗,且其與所述uv光透射窗的距離小 於與所述UV燈的距離。 26 200845204 26946pif 23·如申請專利範圍第16項所述之用於以UV光照射 半導體基板之方法,其中所述UV光透射窗作為所述液_ 層形成通道,且所述液體層形成於所述UV光透射窗中。 24·如申請專利範圍第16項所述之用於以UV光照射 半導體基板之方法,其中所述UV照射單元具有沿著所述 UV照射單元之内壁配置的反射板,其中所述照射步驟更 ^ 包含使用所述反射板,以將來自所述UV燈之UV光朝向 所述UV光透射窗反射。 25·如申請專利範圍第16項所述之用於以UV光照射 半導體基板之方法,其中所述液體層形成步驟包含將所述 液體引入至所述通道中、自所述通道排放所述液體、偵測 自所述通道排放之所述液體的溫度,以及根據所述測得之 温度控制引入至所述通道中之所述液體的流動。 26·如申請專利範圍第25項所述之用於以UV光照射 半導體基板之方法’其中控制所述液體流動以將自所述通 道排放之所述液體的所述溫度控制在4〇°c或更低。 V 27
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/690,614 US7763869B2 (en) | 2007-03-23 | 2007-03-23 | UV light irradiating apparatus with liquid filter |
Publications (1)
Publication Number | Publication Date |
---|---|
TW200845204A true TW200845204A (en) | 2008-11-16 |
Family
ID=39773764
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW097102640A TW200845204A (en) | 2007-03-23 | 2008-01-24 | UV light irradiating apparatus with liquid filter |
Country Status (4)
Country | Link |
---|---|
US (1) | US7763869B2 (zh) |
JP (1) | JP5026317B2 (zh) |
KR (1) | KR20080086817A (zh) |
TW (1) | TW200845204A (zh) |
Families Citing this family (352)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP2011082288A (ja) * | 2009-10-06 | 2011-04-21 | Panasonic Corp | 半導体製造装置及びそれを用いた半導体装置の製造方法 |
FR2951949B1 (fr) * | 2009-10-30 | 2012-01-20 | Claranor | Dispositif de traitement par lumiere pulsee refroidi . |
ES2544632T3 (es) * | 2009-11-30 | 2015-09-02 | Scodix, Ltd. | Rodillo de presión con fuente de energía interna y procedimiento de laminación |
TWI409594B (zh) * | 2010-11-16 | 2013-09-21 | Au Optronics Corp | 曝光燈組與曝光機 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
JP6228918B2 (ja) * | 2011-08-18 | 2017-11-08 | モーメンテイブ・パーフオーマンス・マテリアルズ・ゲゼルシヤフト・ミツト・ベシユレンクテル・ハフツング | 照射および成型ユニット |
US20130068970A1 (en) * | 2011-09-21 | 2013-03-21 | Asm Japan K.K. | UV Irradiation Apparatus Having UV Lamp-Shared Multiple Process Stations |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9287154B2 (en) * | 2012-06-01 | 2016-03-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | UV curing system for semiconductors |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
JP2014091076A (ja) * | 2012-11-02 | 2014-05-19 | Sumitomo Heavy Ind Ltd | 基板製造装置 |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
DE102013011066A1 (de) * | 2013-07-03 | 2015-01-08 | Oerlikon Trading Ag, Trübbach | Wärme-Lichttrennung für eine UV-Strahlungsquelle |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
TWI671792B (zh) | 2016-12-19 | 2019-09-11 | 荷蘭商Asm知識產權私人控股有限公司 | 基板處理設備 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
JP6999878B2 (ja) * | 2017-10-10 | 2022-01-19 | セイコーエプソン株式会社 | 印刷装置、及び印刷方法 |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
TWI791689B (zh) | 2017-11-27 | 2023-02-11 | 荷蘭商Asm智慧財產控股私人有限公司 | 包括潔淨迷你環境之裝置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
CN108126640A (zh) * | 2018-01-23 | 2018-06-08 | 北京缔森科技发展有限公司 | 一种底照式高温高压光化学反应釜 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR20210024462A (ko) | 2018-06-27 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체 |
JP7515411B2 (ja) | 2018-06-27 | 2024-07-12 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
WO2020078538A1 (en) * | 2018-10-16 | 2020-04-23 | Transitions Optical, Ltd. | Ultraviolet curing apparatus |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP7509548B2 (ja) | 2019-02-20 | 2024-07-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR102247922B1 (ko) * | 2019-04-29 | 2021-05-04 | 강용훈 | 경화장치 냉각 시스템 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR20210145080A (ko) | 2020-05-22 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
US20220143657A1 (en) * | 2020-11-06 | 2022-05-12 | Jelight Company, Inc. | Ultraviolet specimen cleaning apparatus |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (37)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3783276A (en) * | 1972-06-05 | 1974-01-01 | Instrumentation Specialties Co | Dual beam optical system |
JPS5855038A (ja) | 1981-09-25 | 1983-04-01 | Toshiba Electric Equip Corp | 光照射器具 |
JPS58158914A (ja) * | 1982-03-16 | 1983-09-21 | Semiconductor Res Found | 半導体製造装置 |
US4550684A (en) * | 1983-08-11 | 1985-11-05 | Genus, Inc. | Cooled optical window for semiconductor wafer heating |
US4811493A (en) * | 1987-08-05 | 1989-03-14 | Burgio Joseph T Jr | Dryer-cooler apparatus |
US5259883A (en) * | 1988-02-16 | 1993-11-09 | Kabushiki Kaisha Toshiba | Method of thermally processing semiconductor wafers and an apparatus therefor |
JPH01241818A (ja) * | 1988-03-24 | 1989-09-26 | Toshiba Corp | 光励起膜形成装置 |
US4949783A (en) * | 1988-05-18 | 1990-08-21 | Veeco Instruments, Inc. | Substrate transport and cooling apparatus and method for same |
JP2731855B2 (ja) * | 1989-02-14 | 1998-03-25 | アネルバ株式会社 | 減圧気相成長装置 |
US5181556A (en) * | 1991-09-20 | 1993-01-26 | Intevac, Inc. | System for substrate cooling in an evacuated environment |
US5910854A (en) * | 1993-02-26 | 1999-06-08 | Donnelly Corporation | Electrochromic polymeric solid films, manufacturing electrochromic devices using such solid films, and processes for making such solid films and devices |
JPH06260410A (ja) * | 1993-03-04 | 1994-09-16 | Sony Corp | 紫外線照射装置及び紫外線照射方法 |
DE69405451T2 (de) * | 1993-03-16 | 1998-03-12 | Koninkl Philips Electronics Nv | Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche |
US5447431A (en) * | 1993-10-29 | 1995-09-05 | Brooks Automation, Inc. | Low-gas temperature stabilization system |
CN1137296A (zh) * | 1993-12-17 | 1996-12-04 | 布鲁克斯自动化公司 | 加热或冷却晶片的设备 |
US5561735A (en) * | 1994-08-30 | 1996-10-01 | Vortek Industries Ltd. | Rapid thermal processing apparatus and method |
JPH09237789A (ja) * | 1996-02-29 | 1997-09-09 | Toshiba Corp | 遮蔽体および熱処理装置および熱処理方法 |
US6054684A (en) * | 1996-11-05 | 2000-04-25 | Texas Instruments Incorporated | Ultra fast temperature ramp up and down in a furnace using interleaving shutters |
DE19650561A1 (de) * | 1996-12-05 | 1998-06-10 | Oase Pumpen | Vorrichtung zur Behandlung von Flüssigkeiten |
US5960158A (en) * | 1997-07-11 | 1999-09-28 | Ag Associates | Apparatus and method for filtering light in a thermal processing chamber |
US5937541A (en) * | 1997-09-15 | 1999-08-17 | Siemens Aktiengesellschaft | Semiconductor wafer temperature measurement and control thereof using gas temperature measurement |
US7070106B2 (en) * | 1998-03-24 | 2006-07-04 | Metrologic Instruments, Inc. | Internet-based remote monitoring, configuration and service (RMCS) system capable of monitoring, configuring and servicing a planar laser illumination and imaging (PLIIM) based network |
US6129954A (en) * | 1998-12-22 | 2000-10-10 | General Electric Company | Method for thermally spraying crack-free mullite coatings on ceramic-based substrates |
DE19916474A1 (de) * | 1999-04-13 | 2000-10-26 | Ist Metz Gmbh | Bestrahlungsgerät |
US6259062B1 (en) * | 1999-12-03 | 2001-07-10 | Asm America, Inc. | Process chamber cooling |
US6759098B2 (en) * | 2000-03-20 | 2004-07-06 | Axcelis Technologies, Inc. | Plasma curing of MSQ-based porous low-k film materials |
US6755518B2 (en) * | 2001-08-30 | 2004-06-29 | L&P Property Management Company | Method and apparatus for ink jet printing on rigid panels |
US6594446B2 (en) * | 2000-12-04 | 2003-07-15 | Vortek Industries Ltd. | Heat-treating methods and systems |
TW559616B (en) * | 2003-01-22 | 2003-11-01 | Senno Technology Inc | Ultraviolet-and-ozone disinfection apparatus having improvement on disinfection effect |
US7098149B2 (en) * | 2003-03-04 | 2006-08-29 | Air Products And Chemicals, Inc. | Mechanical enhancement of dense and porous organosilicate materials by UV exposure |
KR20170064003A (ko) * | 2003-04-10 | 2017-06-08 | 가부시키가이샤 니콘 | 액침 리소그래피 장치용 운반 영역을 포함하는 환경 시스템 |
WO2005122218A1 (ja) * | 2004-06-09 | 2005-12-22 | Nikon Corporation | 露光装置及びデバイス製造方法 |
KR101330922B1 (ko) * | 2004-06-21 | 2013-11-18 | 가부시키가이샤 니콘 | 노광 장치 및 디바이스 제조 방법 |
US20060286306A1 (en) * | 2005-06-17 | 2006-12-21 | Asm Japan K.K. | Method of producing advanced low dielectric constant film by UV light emission |
SG136078A1 (en) * | 2006-03-17 | 2007-10-29 | Applied Materials Inc | Uv cure system |
US7527695B2 (en) * | 2006-06-21 | 2009-05-05 | Asahi Glass Company, Limited | Apparatus and method for cleaning substrate |
US20080220619A1 (en) * | 2007-03-09 | 2008-09-11 | Asm Japan K.K. | Method for increasing mechanical strength of dielectric film by using sequential combination of two types of uv irradiation |
-
2007
- 2007-03-23 US US11/690,614 patent/US7763869B2/en active Active
-
2008
- 2008-01-24 TW TW097102640A patent/TW200845204A/zh unknown
- 2008-03-12 KR KR1020080022878A patent/KR20080086817A/ko not_active Application Discontinuation
- 2008-03-24 JP JP2008075405A patent/JP5026317B2/ja active Active
Also Published As
Publication number | Publication date |
---|---|
JP5026317B2 (ja) | 2012-09-12 |
US20080230721A1 (en) | 2008-09-25 |
US7763869B2 (en) | 2010-07-27 |
KR20080086817A (ko) | 2008-09-26 |
JP2008244481A (ja) | 2008-10-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW200845204A (en) | UV light irradiating apparatus with liquid filter | |
US20130068970A1 (en) | UV Irradiation Apparatus Having UV Lamp-Shared Multiple Process Stations | |
TWI254971B (en) | Ultraviolet ray generator, ultraviolet ray irradiation processing apparatus, and semiconductor manufacturing system | |
US8338809B2 (en) | Ultraviolet reflector with coolant gas holes and method | |
US7909595B2 (en) | Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections | |
US7777198B2 (en) | Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation | |
US8951348B1 (en) | Single-chamber sequential curing of semiconductor wafers | |
US7692171B2 (en) | Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors | |
CN101208770B (zh) | 用于处理介电材料的设备和方法 | |
US20070257205A1 (en) | Apparatus and method for treating a substrate with uv radiation using primary and secondary reflectors | |
US20140230861A1 (en) | Purging of porogen from uv cure chamber | |
TW303498B (zh) | ||
US8657961B2 (en) | Method for UV based silylation chamber clean | |
TW200416810A (en) | Substrate processing apparatus | |
TW200416783A (en) | Substrate processing apparatus | |
US20090093135A1 (en) | Semiconductor manufacturing apparatus and method for curing material with uv light | |
TW201633402A (zh) | 對於後段製程蝕刻終止應用的紫外線輔助式化學氣相沉積氮化鋁膜 | |
KR102245732B1 (ko) | 밀리세컨드 어닐 시스템을 위한 예열 공정 | |
KR20080026069A (ko) | 자외선 조사 챔버의 세정방법 | |
TW201213930A (en) | Ultraviolet irradiation apparatus | |
JP2016541120A (ja) | 損傷を受けた低誘電率膜のポア封止のためのuv支援光化学気相堆積 | |
TW201135847A (en) | Device for the heat treatment of substrates | |
KR920008036B1 (ko) | 광화학 증착 및 급속열처리 장치용 진공 반응로 | |
CN115466938A (zh) | 一种紫外固化设备及其方法、一种半导体器件的加工装置 | |
KR920004964B1 (ko) | 광화학증착장치용 적외선 가열장치 |