KR20080086817A - 액체 필터를 갖는 자외광 조사 장치 - Google Patents

액체 필터를 갖는 자외광 조사 장치 Download PDF

Info

Publication number
KR20080086817A
KR20080086817A KR1020080022878A KR20080022878A KR20080086817A KR 20080086817 A KR20080086817 A KR 20080086817A KR 1020080022878 A KR1020080022878 A KR 1020080022878A KR 20080022878 A KR20080022878 A KR 20080022878A KR 20080086817 A KR20080086817 A KR 20080086817A
Authority
KR
South Korea
Prior art keywords
liquid
light
liquid layer
lamp
wall
Prior art date
Application number
KR1020080022878A
Other languages
English (en)
Inventor
키요시로 마쯔시타
켄이치 카가미
Original Assignee
에이에스엠 저펜 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 저펜 가부시기가이샤 filed Critical 에이에스엠 저펜 가부시기가이샤
Publication of KR20080086817A publication Critical patent/KR20080086817A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Abstract

UV광으로 반도체 기판을 조사하기 위한 UV광 조사 장치는, 내부에 기판-지지 테이블이 제공되고 광 투과창을 갖는 반응기; 상기 광 투과창을 통해 UV광을 갖는 상기 기판-지지 테이블 상에 배치된 반도체 기판을 조사하기 위해서 상기 반응기에 연결되고, 적어도 하나의 UV 램프를 포함하는 UV광 조사 유닛; 및 UV광이 투과되는 액체층을 형성하기 위해 UV 램프 및 상기 광 투과창 사이에 배치된 액체층 형성 채널을 포함한다. 상기 액체층은 상기 액체층 형성 채널을 통해서 흐르는 액체에 의해서 형성된다.

Description

액체 필터를 갖는 자외광 조사 장치{UV light irradiating apparatus with liquid filter}
본 발명은 일반적으로 자외광(UV light) 조사 장치 또는 반도체 기판의 조사 방법에 관한 것이다.
전통적으로, UV 처리 장치는 자외광을 사용한 다양한 공정 타겟들의 개량 또는 광화학 반응을 이용하는 공정을 통한 물체의 생산에 이용되어 왔다. 최근 고집적화 소자에 대한 경향은 미세 배선 설계 및 다층 배선 구조에 대한 필요성을 만들어왔고, 이는 그 파워 소비를 줄이면서 소자의 처리 속도를 증가시키기 위해서 층간 부피를 감소시키는 것을 절실하게 필요로 한다. 저유전율(low-k) 물질들은 층간 부피를 감소시키기 위해서 사용된다. 그러나, 물질의 유전 상수를 감소시키는 것은 그 기계적 강도 (탄성 계수(elastic modulus) 또는 EM)를 또한 감소키고, 이는 저유전율 물질이 후속 공정, 예컨대 CMP, 와이어 본딩 및 패키징에서 받는 스트레스를 견디기 어렵게 한다. 전술한 문제를 해결하기 위한 하나의 방법은 저유전율 물질을 UV 조사로 경화시켜(cure), 그 기계적 강도를 향상시키는 것이다 (그 예는 미국특허 US 6,759,098 및 US 9,296,909에서 발견된다). UV 조사는 저유전율 물질이 수축하고 굳게 하여, 그 기계적 강도(EM)를 50 내지 200% 향상시킨다. 별도로, 광화학 반응에 기초한 광 CVD는 열 CVD 또는 PECVD계 막 증착공정을 이용함으로써 열 또는 플라즈마 손상으로부터 자유로운 다양한 박막을 얻기 위해 최근 고집적화 소자의 경향으로부터 유래하는 다른 수요에 대한 방안으로 여러 해 동안 연구되어 왔다.
UV 조사는 막 내의 -CH3 결합 또는 -Si-O 결합을 깨고 그 깨진 성분을 재결합시켜 O-Si-O 네트웍을 형성함으로써 저유전율막(low-k film)의 기계적 강도를 향상시키는 효과를 갖는다. 이러한 효과는 단파장의 UV광에 더 강한데, 왜냐하면 그러한 광(light)이 보다 높은 에너지를 생성하기 때문이다. 다양한 형태의 램프들은 UV 경화용, 예컨대 엑시머(excimer) 램프들 및 수은 램프들 용으로 사용될 수 있다. 이러한 것들 중에서, 수은 램프들은 다양한 파장의 광을 생성하고 결과적으로 많은 열을 생성하여, 그 결과 이러한 램프들은 냉각을 필요로 한다. 일반적으로, 수은 램프들은 냉각 공기를 공급하는 송풍기(blower)에 의해서 냉각된다. 냉각 공기 중 산소는 250 nm 또는 그보다 짧은 파장의 UV광을 흡수하고 그것을 오존으로 변환시키기 때문에, 조사 타겟에 도달하는 유효 UV광의 양은 감소할 것이다. 결과적으로, 경화 효과가 감소하고 처리량(throughput) 또한 영향을 받을 것이다. 게다가, 생성된 오존은 해롭고, 따라서 배출 기체는 스크러버(scrubber)법 등으로 처리되어야 한다. 만일 250 nm 또는 그보다 짧은 파장의 유효 UV광의 양을 늘리기 위해 서 램프로의 입력 전력이 증가하면, 보다 많은 열이 생성되고 경화 타겟의 온도, 즉 저유전율막이 그 위에 형성되는 기판의 온도가 또한 증가될 것이다.
전술한 문제들의 적어도 하나를 해결하기 위해서, 본 발명의 일 실시예는 램프 냉각 방법을 공랭식(air cooling)에서 수랭식(water cooling)으로 변경한다. 이것이 냉각용 대기 공기의 사용에 대한 필요성을 제거하기 때문에, 250 nm 또는 그보다 짧은 파장을 갖는 필요 UV광은 냉각 기체 내의 산소에 의해서 흡수되지 않고, 그에 따라 효과적인 UV 처리가 가능해진다. 250 nm 또는 그보다 짧은 파장을 갖는 UV광은 저유전율막을 경화시키는(curing) 데 효과적이다. 특히, 200 내지 250 nm 파장의 UV광은 매우 효과적이다. 수은 램프들을 냉각시키기 위해서는 많은 공기가 필요하지만, 그러나 전술한 바와 같이, 250 nm 또는 그보다 짧은 파장을 갖는 유효 광은 공기 중의 산소에 의해서 흡수되어 해로운 오존으로 변환된다. 그 필요로 하는 질소의 많은 양에 비추어 질소의 사용은 실질적이지 못하다. 만일 전술한 실시예가 이용되면, 이러한 걱정은 더 이상 필요치 않다. 다른 실시예에서, 램프 유닛 내의 대기는 250 nm 또는 그보다 짧은 파장을 갖는 UV광이 냉각 기체 내의 산소에 의해서 흡수되는 것을 막기 위해서 N2로 교체된다. 다른 문제는, 전술한 바와 같이, 만일 250 nm 또는 그보다 짧은 파장을 갖는 UV광의 세기가 경화 효율을 높이기 위해서 증가하면, 램프로부터 생성된 열이 피조사 기판의 온도를 증가시킬 것이다. 결과적으로, UV광의 세기는 일정 레벨까지만 증가될 수 있다. 일 실시예에서, 수냉(water-cooled) 램프는 열과 관련된 문제를 발생시키지 않으면서 UV광의 세기를 높이기 위해서 사용된다.
발명을 요약하고 관련 기술에 비추어 얻어지는 장점들을 설명하기 위한 목적으로, 본 발명의 일부 목적 및 장점들이 여기에 개시된다. 물론, 모든 그러한 목적 또는 장점들이 본 발명의 여하의 특정 실시예에 따라서 반드시 얻어져야하는 것은 아니라는 것이 이해될 수 있다. 따라서, 예를 들어, 해당 기술 분야에서 통상의 지식을 가진 자는 본 발명이 여기에 교시되거나 암시될 수 있는 다른 목적 또는 장점들을 꼭 달성하지 않고서도 여기에 교시된 하나의 장점 또는 일군의 장점들을 달성하거나 또는 최적화시키는 형태로 구체화되거나 수행될 수 있음을 인식할 수 있다.
본 발명의 다른 관점, 특징 및 장점은 아래의 바람직한 실시예들에 대한 상세한 설명으로부터 자명해질 것이다.
본 발명의 실시예들은, 수냉 필터를 사용하여 고압 수은 램프들의 열 문제를 해결하면서 저유전율막의 경화에 효과적인 특정 파장의 UV광에 의한 경화 효율을 향상시키고, 또한 오존 생성을 억제하기 위해서 경화 분위기를 질소로 대체하여 경화에 효과적인 UV광의 양을 증가시키기 위한 방법을 제공한다.
본 발명은 바람직한 실시예들을 참조하여 설명될 것이다. 그러나, 바람직한 실시예들은 본 발명을 제한할 의도로 제공된 것은 아니다.
일 실시예에서, 본 발명은 UV광으로 반도체 기판을 조사하기 위한 UV광 조사 장치를 제공하고, 상기 UV광 조사 장치는 (i) 내부에 기판-지지 테이블이 제공되 고, 광 투과창(light transmission window)을 구비하는 반응기; (ii) 상기 기판-지지 테이블 상에 놓여 있는 반도체 기판을 상기 광 투과창을 통해서 UV광으로 조사하기 위해서 상기 반응기에 연결되고, 적어도 하나의 UV 램프를 포함하는 UV광 조사 유닛; (iii) 상기 UV광이 투과되는 액체층을 형성하기 위해서 상기 UV 램프 및 상기 광 투과창 사이에 배치되는 액체층 형성 채널(liquid layer forming channel)로서, 상기 액체층은 상기 액체층 형성 채널을 통해서 흐르는 액체에 의해서 형성되는, 액체층 형성 채널을 포함한다.
전술한 실시예는 하기의 실시예들을 더 포함할 수 있지만, 여기에 제한되지는 않는다.
일 실시예에서, 상기 액체층은 250 nm 또는 그 이하의 파장을 갖는 UV광을 실질적으로 또는 거의 흡수하지 않는 액체의 흐름에 의해서 형성될 수 있다. 일 실시예에서, 상기 액체층을 이루는 상기 액체는 물일 수 있다. 일 실시예에서, 상기 액체층 형성 채널은 250 nm 또는 그 이하의 파장을 갖는 UV광을 투과시킬 수 있는 유리로 형성될 수 있다.
일 실시예에서, 상기 액체층 형성 채널은 그 사이에 상기 액체층을 통과시키기 위한 두 투명 벽들에 의해서 형성될 수 있다. 바람직한 실시예에서, 상기 두 벽들 사이의 거리는 약 5 mm 내지 15 mm (바람직하게는 7 mm 내지 12 mm) 일 수 있다. 상기 벽 (예컨대, 석영 유리로 구성된)의 두께는 약 1mm 내지 약 2mm이다.
일 실시예에서, 상기 액체층 형성 채널은 상기 벽들 사이에 상기 액체를 통과시키기 위한 내벽 및 외벽을 포함하는 이중벽의 울타리(double walled enclosure)에 의해서 형성되고, 상기 울타리는 상기 UV 램프를 둘러쌀 수 있다. 일 실시예에서, 상기 UV 램프는 긴(elongated) 형상을 가질 수 있다. 일 실시예에서, 상기 이중벽의 울타리는 상기 내벽 및 외벽 사이의 상기 액체를 유입하기 위한 액체 유입 포트(liquid inlet port) 및 상기 내벽 및 외벽 사이의 상기 액체를 배출하기 위한 액체 배출 포트(liquid exhaust outlet port)를 가질 수 있다. 일 실시예에서, 상기 이중벽의 울타리는 상기 내벽에 의해서 둘러싸인 내부로 불활성 기체를 유입시키기 위한 불활성 기체 유입 포트 및 상기 내부로부터 상기 불활성 기체를 배출하기 위한 불활성 기체 배출 포트를 가질 수 있다.
일 실시예에서, 상기 액체층 형성 채널은 상기 UV 램프보다는 상기 UV광 투과창에 평행하고 더 근접할 수 있다. 일 실시예에서, 상기 UV광 투과창은 상기 액체층 형성 채널을 구성할 수 있다.
일 실시예에서, 상기 UV 조사 유닛은 상기 UV 조사 유닛의 내벽을 따라서 배치된 반사판(reflection plate)을 갖고, 상기 반사판은 상기 UV 램프로부터 상기 UV광 투과창 방향으로 상기 UV광을 반사시키도록 각도를 가질 수 있다.
일 실시예에서, 상기 액체층 형성 채널은 상기 채널로 상기 액체를 유입시키기 위한 액체 유입 포트 및 상기 채널로부터 상기 액체를 배출하기 위한 액체 배출 포트를 갖고, 그리고 상기 액체 배출 포트 내의 상기 액체의 온도를 검출하기 위해 상기 액체 배출 포트 내에 배치된 온도 센서를 가질 수 있다. 일 실시예에서, 상기 액체층 형성 채널은 상기 온도 센서에 의해서 검출된 상기 온도에 따라서 상기 액체의 유량을 제어하기 위해 상기 액체 유입 포트의 상류에 배치된 유량 제어 기(flow controller)를 가질 수 있다.
다른 실시예에서, 본 발명은, (i) 반응기 내에 기판-지지 테이블 상의 반도체 기판을 배치시키는 단계; (ii) UV광 조사 유닛 내에 제공된 UV 램프, 및 상기 반응기와 상기 UV광 조사 유닛 사이에 제공된 광 투과창 사이에 배치된 액체층 형성 채널을 통해 액체를 통과시킴으로써 액체층을 형성하는 단계; 및 (iii) 상기 액체층 및 상기 광 투과창을 통해서 상기 UV 램프로부터 방사된 UV광으로 상기 반도체 기판을 조사하는 단계를 포함하는, UV광으로 반도체 기판을 조사하는 방법을 제공한다.
전술한 실시예는 하기의 실시예들을 더 포함하지만 이에 제한되지는 않는다.
일 실시예에서, 상기 액체층은 250 nm 또는 그보다 짧은 파장을 갖는 UV광을 실질적으로 또는 거의 흡수하지 않는 상기 액체를 통과시켜 형성할 수 있다. 일 실시예에서, 상기 액체층을 구성하는 상기 액체는 물일 수 있다. 일 실시예에서, 상기 기판은 상기 UV광 조사를 받는 저유전율막(low-k film) (또는 초저유전율막)을 가질 수 있다.
일 실시예에서, 상기 액체층 형성 채널은 상기 UV 램프를 둘러싸고 내벽 및 외벽을 포함하는 이중벽 울타리에 의해서 형성되고, 상기 액체층 형성 단계는 상기 내벽 및 외벽 사이에 상기 액체를 통과시키는 단계를 포함할 수 있다. 일 실시예에서, 상기 액체층 형성 단계는 상기 내벽에 의해서 둘러싸인 내부로 불활성 기체를 유입시키고 상기 내부로부터 상기 불활성 기체를 배출하는 단계를 더 포함할 수 있다.
일 실시예에서, 상기 액체층은 상기 UV 램프보다는 상기 UV광 투과창에 평행하고 더 근접할 수 있다. 일 실시예에서, 상기 UV광 투과창은 상기 액체층 형성 채널로서 제공하고, 상기 액체층은 상기 UV광 투과창 내에 형성될 수 있다.
일 실시예에서, 상기 UV 조사 유닛은 상기 UV 조사 유닛의 내벽을 따라서 배치된 반사판을 갖고, 상기 조사 단계는 UV광을 상기 반사판을 이용하여 상기 UV 램프로부터 상기 UV광 투과창을 향해서 반사시키는 단계를 포함할 수 있다.
일 실시예에서, 상기 액체층 형성 단계는 상기 채널 내로 상기 액체를 유입시키고, 상기 채널로부터 상기 액체를 배출하고, 상기 채널로부터 배출된 상기 액체의 온도를 검출하고, 그리고 상기 검출 온도에 따라서 상기 채널 내로 유입된 상기 액체의 유량을 제어하는 단계를 포함할 수 있다. 일 실시예에서, 상기 액체 유량은 40℃ 또는 그 이하의 상기 채널로부터 배출하는 상기 액체의 상기 온도를 제어하기 위해서 제어될 수 있다.
본 발명은 바람직한 실시예들 및 도면들을 참조하여 하기에 상세하게 설명될 것이다. 바람직한 실시예들 및 도면들은 본 발명을 제한할 의도는 아니다.
전술한 모든 실시예들에서, 일 실시예 내에 사용된 여하의 구성은 그 교환이 실행할 수 없거나 또는 역효과를 초래하지 않는 한 다른 실시예 내에 교환하여 사용될 수 있다. 나아가, 본 발명은 장치들 및 방법들에 동등하게 적용될 수 있다.
도 1에 도시된 UV 조사 장치는 UV 유닛(18), 수냉 필터(water-cooled filter, 11), 조사창(irradiation window, 5), 기체 유입링(gas introduction ring, 9), 반응기 챔버(6), 히터 테이블(7), 및 진공 펌프(12)를 포함한다. 기체 유입링(9)은 다중 기체 배출 포트들(8)을 포함하고, 이를 통해서 화살표들 사이의 중앙으로 기체가 방출된다. 찬 거울(cold mirror, 1)은 IR광을 투과시키고 UV광을 그 거울 위에서 반사시키기 위해서 UV 유닛(18)의 내벽을 따라서 맞춰지고, 그 결과 UV광은 조사창(5)을 효과적으로 통과할 것이다. 다른 찬 거울(2)은 동일한 목적으로 UV 램프(3) 위에 또한 놓여질 것이다. 수냉 필터(water-cooled filter, 11)는 냉각수 입구(14) 및 냉각수 출구(10)를 갖고, 여기에서 냉각수 입구(14)는 냉기 유닛(chiller unit, 13) 내의 냉각수가 수냉 필터(11) 내로 공급되도록 냉기 유닛(열 교환기)(13) 상의 냉각수 공급 포트(16)에 연결된다. 냉각수 출구(10)는 냉각수가 수냉 필터(11)를 통과한 후 이를 냉기 유닛(13)으로 반환시키기 위해서 냉기 유닛(13) 상의 냉각수 반환 포트(17)에 연결된다. 냉기 유닛(13)은 냉각수의 온도 및 유량을 제어하기 위해서 온도 제어기(19) 및 유량 제어기(15)를 갖는다.
상기 UV 조사 장치는 이 도면에 도시된 것에 제한되지 않고, 여하의 장치가 UV광을 조사할 수 있는 한 이용될 수 있다. 그러나, 하기 설명이 이 도면에 도시된 상기 장치에 적용된다. 이 도면에서, 챔버(6)는 진공 및 대기 근처 사이에서 다양한 조건에서 제어될 수 있고, 그리고 UV 조사 유닛(18)은 상면 상에 놓여진다. 이 도면에서, UV광 소스(3) 및 히터(7)는 서로 평행하게 대향되고, 조사창 유리(5)는 UV광 소스(3) 및 히터(7) 사이에서 그 둘 내에 평행하게 대향된다. 조사창(5)은 균일한 UV광을 조사하기 위해서 이용되고 예를 들어 합성 석영으로 만들어진다. 이 창은 반응기(6)를 대기로부터 막아내고 UV광이 투과되는 한에서는 여하의 물질로 구성될 수 있다. UV 조사 유닛(18) 내의 UV광 소스(3)는 서로 평행하게 배열된 다 중 튜브들을 갖는다. 도 1에 도시된 바와 같이, 이 광 소스는 균일한 세기를 얻기 위해서 적절하게 배열되고, 그리고 반사기(reflector, 2)(이는 UV 램프의 가리개(shade)와 같이 보임)는 각 UV 튜브로부터의 UV광이 박막을 향해 반사되도록 해주고, 여기에서 반사기(2)의 각도는 균일한 세기를 얻도록 조절된다. 램프(3)는 UV광이 투과될 수 있는 유리, 예컨대 합성 석영으로 만들어지고 그리고 그 안에서 냉각수가 흐르는 유닛(11) 내에 배치된다. 유닛(11)을 통해서 흐르는 냉각수는 그 유닛 외부에 배치된 냉기 유닛(13)에 의해서 순환된다. 유닛(11)은 산소를 제거하기 위해서 질소로 채워지고, 그 결과 UV 조사의 결과물로서 오존이 생성되지 않을 것이다. 이 장치에서, 진공 및 대기 근처 사이의 다양한 조건에서 제어될 수 있는 기판 처리 구역(6)은 그 안에 조사창 유리(5)가 설치되는 플랜지(9)에 의해서 UV 방사 구역(UV emitting section, 18)으로부터 분리된다. UV 방사 구역 및 조사창 유리(5) 사이의 공간은 질소에 의해서 대체되었고, 이것은 또한 대기중의 산소에 의해서 UV광이 흡수는 되는 것과 결과적인 오존의 생성을 막아준다.
이 실시예에서, UV광 소스(3)는 쉽게 제거되고 교체될 수 있는 구조를 갖는다. 또한, 이 실시예에서, 기체는 플랜지(9)를 통해서 유입되고, 여기에서 다중 기체 입구 포트들은 균일한 처리 분위기를 생성하기 위해서 대칭적으로 제공되고 배열된다. UV 조사 공정에서, 챔버(6)는 Ar, CO, CO2, C2H4, CH4, H2, He, Kr, Ne, N2, O2, Xe, 알코올 기체 및 유기 기체에서 선택된 기체로 채워지고, 그 압력은 약 0.1 Torr에서 대기 근처 범위에서 (1 Torr, 10 Torr, 50 Torr, 100 Torr, 1,000 Torr 및 전술한 여하의 두 숫자 사이의 값을 포함하여) 조절되고, 이어서 게이트 밸브를 거쳐 기판 이송 포트를 통해서 유입된 처리 타겟 또는 반도체 기판이 그 온도가 약 0℃ 내지 약 650℃ 범위로 (10℃, 50℃, 100℃, 200℃, 300℃, 400℃, 500℃, 600℃ 및 전술한 여하의 두 숫자의 사이 값, 바람직하게는 300℃ 내지 450℃ 범위를 포함하여) 설정된 히터(7) 상에 놓여지고, 그 후 약 100 nm 내지 약 400 nm 범위의 (150 nm, 200 nm, 250 nm, 300 nm, 350 nm 및 전술한 여하의 두 숫자의 사이, 바람직하게는 약 200 내지 250 nm 범위를 포함하여) 파장을 갖는 UV광은 약 1 mW/cm2 내지 약 1,000 mW/cm2 범위 (10 mW/cm2, 50 mW/cm2, 100 mW/cm2, 200 mW/cm2, 500 mW/cm2, 800 mW/cm2 및 전술한 여하의 두 숫자 사이의 값을 포함하여) 내의 출력으로 UV광 소스로부터 적절한 거리를 (수냉 필터(11) 및 조사창(5) 사이의 거리는 약 5 내지 40 cm일 수 있고, 조사창(5) 및 기판 사이의 거리는 약 0.5 내지 10 cm일 수 있음) 유지함에 의해서 반도체 기판 상의 막으로 조사된다. 바람직하게 300 nm 또는 그보다 짧은, 또는 보다 바람직하게는 250 nm 또는 그보다 짧은 파장을 갖는 UV광의 사용은 UV 조사의 효과(예컨대, 저유전율막(low-k film)의 경화)를 극대화하면서도 반면 열 생성은 억제한다. 조사 시간은 약 1 초 내지 약 60 분 범위(5초, 10초, 20초, 50초, 100초, 200초, 500초 1000초 및 전술한 여하의 두 숫자의 사이 값을 포함하여)일 수 있다. 챔버는 배출 포트를 통해서 비워진다. 이 반도체 제조 장치는 자동 순서에 따른 일련의 처리 단계들을 수행하고, 여기에서 특정 처리 단계들은 기체 유입, UV 조사, 조사 중단, 및 기체 공급 중단을 포함한다.
도 2는 일 실시예에 사용된 수냉 필터의 개략적인 측면도(a) 및 개략적인 정 면도(b)이다. 이 수냉 필터는 UV 램프(3)를 감싸고 수직으로 긴 UV 램프(3)를 보관하고 내부 공간(29)을 생성하도록 양 단부의 커버들(27, 28)에 의해서 봉합된다. 하나의 커버(28)는 입구(inlet, 25)를 갖고 이를 통해서 불활성 기체, 예컨대 질소 기체가 내부 공간(29)으로 유입되고, 반면 다른 커버(27)는 배출 포트(exhaust port, 26)를 갖고 이를 통해서 내부 공간(29)을 통과한 불활성 기체를 배출한다. 커버들(27, 28)은 내부 공간(29)을 밀봉하여 봉합할 필요는 없지만 (왜냐하면 내부는 고온에 도달할 것이기 때문), 대신 그것들이 대기 공기(산소)가 유입되지 않도록 내부 공간(29)을 양의 압력에 유지하는 것으로 충분하다. 커버들(28, 27)은 또한 냉각수가 유입되는 냉각수 입구(14) 및 냉각수를 배출하는 냉각수 출구(10)를 갖는다. 수냉 필터는 이중벽 석영 유리(double-walled quartz glass, 21)를 갖고, 냉각수(22)는 이 벽들 사이로 흐른다. 일 실시예에서, 석영 유리의 두께는 약 1 내지 2 mm이고, 수층(water layer)의 두께는 약 내지 15 mm이다.
도 2에서, 수냉 필터는 세 개의 UV 램프들을 둘러싼다. 그러나, 각 UV 램프는 분리된 실린더형 수냉 필터 내에 보관되고 또는 5 내지 10 개의 UV 램프가 단일 수냉 필터 내에 보관될 수 있다.
일 실시예에서, UV 램프는 DUV에서 적외선에 걸치는 다양한 파장을 포괄하는 광을 생성하고, 수은 램프들은 이러한 응용에 특히 적합하다. 수은 램프들은 내부 램프 압력에 의해서 185 nm, 254 nm, 365 nm 등의 파장과 결부된 저압에서 초고압 형태들의 다양한 형태들로 분류되고, 여하의 형태라도 적절하게 선택될 수 있다 (300 nm 보다 짧은 파장을 갖는 광은 저유전율막의 경화에 효과적이다). 수은 램프 들은 저유전율막 내의 -CH3 결합 또는 -Si-O 결합을 깨고, 이어서 그 막의 기계적 강도를 높이기 위해서 깨진 성분들이 재결합하여 O-Si-O 네트웍을 형성하는 것을 허용한다. 기판이 놓여지는 대기는 저유전율막의 산화를 막기 위해서 일반적으로 불활성 기체로 대체될 수 있다. 일 실시예에서, KrCl 엑시머(222 nm) 램프들이 사용될 수 있다. KrCl 엑시머 램프들은 비교적 약한 출력과 관련 있지만, 그것들은 250 nm 또는 그보다 짧은 파장을 갖고 막 품질을 효과적으로 향상시킬 수 있다.
수냉 필터는 도 1 및 도 2에 도시된 배치에 제한되지 않고, 여하의 다른 실시예, 예컨대 수층이 UV 램프 및 조사창 사이에 제공된 것이 이용될 수 있다. 예를 들어, 물이 두 유리 시트(sheet)들 사이로 흐르는 실시예가 고려될 수 있다. 도 3 및 도 4는 그러한 실시예에 따른 수냉 필터(31)의 개략도를 보여준다. 이 구조의 모든 다른 구성들은 도 1에 도시된 것들과 동일한 구성이다. 동일한 참조 부호는 동일한 구성을 나타내기 위해서 사용되었기 때문에, 그 설명은 생략된다. 이 실시예에서, 조사창의 전체 표면은 합성 유리 등으로 만들어진 필터 유리로 덮여 있다. 그 필터는 물로 채워지고, 이 물은 냉기 유닛에 의해서 온도-제어된다. 도 4에 도시된 바와 같이, 수냉 필터(31)는 사이에 특정 거리가 유지된 채로 (예컨대 전술한 수층의 두께의 1.5 또는 2 배와 동일하거나 그 근처인) 배치된 두 합성 석영 시트들(41)을 기본적으로 포함하고, 냉각수 입구(34)가 우측 단부에 배치되고 냉각수 출구(30)가 좌측 단부에 배치되어 냉각수(42)가 그 내부로 흐르는 것을 제외하고는 밀봉하여 봉합된다. 수냉 필터(31)는 조사창(5)에 근접하여 제공되고, 일 실시예에서 둘 사이의 거리는 수 밀리미터 내지 수 센티미터이다. 조사창 그 자체가 수냉 필터로 구성된 것도 또한 가능하다.
수냉 필터를 사용함으로써, UV 램프로부터의 열이 보다 효과적으로 억제될 수 있다. 또한, 반도체 막들을 처리하기에 효과적인 250 nm 또는 그보다 짧은 파장을 갖는 UV광은 흡수 손실 없이 투과될 수 있고, 결과적으로 반도체 막들이 효과적으로 처리될 수 있다. 유기 막 등으로 코팅된 합성 유리 찬 필터의 사용은 열을 차단하는 데 효과적이다. 그러나, 그러한 필터는 250 nm 또는 그보다 짧은 파장을 갖는 UV광을 또한 흡수하기 때문에 효과적이지 않다. 본 발명이 일 실시예에서, 찬 필터를 포함하여 필터가 사용되지 않고 물이 대신에 열을 막기 위해서 사용된다. 물은 1 μm 또는 그보다 긴 파장을 갖는 적외광을 흡수하고 그보다 짧은 파장을 갖는 여하의 다른 광도 흡수하지 않는 것으로 알려져 있다. 1μm 또는 그보다 긴 파장을 갖는 IR광은 막 품질에 영향을 미치지 않고, 이 파장 범위에서 광 흡수는 문제되지 않는다. 일 실시예에서, 램프는 250 nm 또는 그보다 짧은 파장을 갖는 UV광을 투과시키는 유리로 둘러싸이고, 그리고 이 유리는 다른 층의 유리에 의해서 둘러싸인다. 두 유리층들 사이의 공간을 물로 채움으로써, 수 필터(water filter)가 구성된다. 이 필터의 이중 유리층들은 완전히 봉합되고 그 사이에 채워진 물을 새지 않는다. 또한, 이 필터는 내부의 냉각수를 순환시키기 위해서 UV 조사 유닛에 외적으로 설치된 수냉 유닛에 연결된다. 일 실시예에서, 이 필터는 불활성 기체, 예컨대 질소, Ar, He, 등으로 채워지고, 그리고 250 nm 또는 그보다 짧은 파장을 갖는 UV광을 흡수하는 산소가 존재하지 않는다. 이 필터는 열 문제를 해결하고, 250 nm 또는 그보다 짧은 파장을 갖는 효과적인 경화 UV광의 세기가 기판 온도를 올리지 않고서도 상승되도록 한다. 그런데, 약 170 내지 180 nm의 파장을 갖는 광은 Si-CH3 결합을 깨고 그에 따라 불필요한 결합, 예컨대 Si-CH3 결합의 깨진 구성에 H가 붙어 있는 Si-H 결합의 형성을 촉진한다. 이에 따라, UV광의 핵심적 파장 범위는 바람직하게는 200 내지 250 nm일 수 있고, 이러한 조건을 만족하는 UV광이 기판에 투과될 수 있다.
UV광의 세기를 제어하기 위해서, 예를 들어 도 5에 도시된 방법이 이용될 수 있다. 도 5에서 장치는 조사창(5)의 전후에 위치한 UV 램프(3)로부터 조사된 UV광의 세기를 측정하기 위해서 UV 조명계(54)를 이용하고, 그리고 그 결과를 세기 모니터(53)에 신호로서 보내고, 여기에서 그 신호는 세기 데이터로 인식되어 UV 램프(3)로의 파워를 제어하기 위해서 UV 제어기/파워 유닛(52)으로 출력된다. 일 실시예에서, 수냉 필터는 조사창(5) 내에 설치된다. 또한, UV 조사 챔버(6) 내에 배치될 기판은 로드락 챔버(50) 내에 설치된 로드락 암(LL 암)(51)에 의해서 로드락 챔버(50)로부터 UV 조사 챔버로 이송된다. 기판의 이송에 이어서, UV 조사 챔버 내의 서셉터(7)는 조사창으로부터 특정 갭이 달성되는 위치로 올라간다.
램프가 대기 공기를 이용하여 송풍기로 냉각되는 통상적인 장치에서, 램프 유닛은 필연적으로 대기 공기를 포함한다. 질소 또는 다른 기체는 250 nm 또는 그보다 짧은 파장을 갖는 UV광의 흡수를 막기 위해서 램프를 냉각시키기 위해서 사용되지만, 그러나 대량의 기체가 필요하기 때문에 이는 비용 효율 면에서 실용적이지 않다. 수냉 필터의 사용은 송풍기를 이용한 공기 냉각을 필요 없게 하기 때문에, 램프 유닛은 산소를 제거하기 위해서 질소로 항상 채워진다.
일 실시예에서, 수냉 필터로부터의 냉각수는 순수, 이온 교환된 물 등을 이용하고, 그리고 그 유량은 냉각수 내에 용해된 산소가 공기 버블들을 형성하여 UV광을 흡수하고/스캐터링하는 것을 막기 위해서 램프로의 입구(냉각수 입구)에서 약 25℃ 그리고 출구(냉각수 출구)에서 약 35 내지 40℃의 온도를 달성하도록 제어된다. 도 1 및 도 3에 도시된 바와 같이, 냉기 유닛(13)은 냉각수의 온도(예컨대 출구 온도)를 모니터하고 그 유량을 제어하기 위한 온도 제어기(19) 및 유량 제어기(15)를 갖는다. 만일 냉각수의 출구 온도가 높으면, 순환 속도가 증가된다. 만일 그 온도가 낮다면, 순환 속도가 감소된다. 일 실시예에서, 입구 온도는 상온 근처로 유지되어야 하고, 왜냐하면 만일 입구 온도가 상온보다 낮다면 이슬 맺힘이 일어날 수 있다. 출구에서 냉각수의 온도를 40℃ 또는 그 아래로 유지하여 공기 버블의 형성을 억제하기 위한 바람직한 방법은 상온의 냉각수를 약 5 내지 20 SLM으로 필터로 공급하는 것이다.
250 nm 또는 그보다 짧은 파장을 갖는 UV광의 막 품질을 향상시키는 방법의 예들이 도 6 및 도 7에 도시된다. 여기에서, 막 품질의 변화는 막 두께의 감소로 평가된다. 도 6은 고압 수은 램프의 파장 분포 및 SiC막을 통한 UV 투과율 (CVD, 4MS = 150 sccm, NH3 = 1000 sccm, He = 500 sccm, 압력 = 500 Pa, RF (27 MHz) = 500 W, RF (400 kHz) = 150W, 증착 온도 = 400℃, 막 두께 = 50 nm)을 보여준다. SiC막을 통한 UV광 투과율은 엘립소미트리(ellipsometry) 및 막 두께에 의해서 측정된 소산 계수(extinction coefficient)로부터 측정되었다. SiC막은 300 nm 또는 그보다 긴 파장을 갖는 광에 민감하지 않고 그러한 빛을 거의 100% 투과시킨다. 반 면에, 그것은 300 nm 도는 그보다 짧은 파장을 갖는 광을 흡수하고 이러한 경향은 250 nm 또는 그보다 짧은 파장을 갖는 광에서 현저하다. 이에 따라, 만일 이러한 수은 램프가 저유전율막용 캡층(cap layer)으로 형성된 SiC막 위로 UV광을 조사하는 데 이용된다면, 그 결과 200 내지 250 nm의 파장을 갖는 UV광을 갖는 저유전율막을 조사하는 것과 동일하다.
도 7은 저유전율막(CVD, TMDOS(tetra methyl-disiloxane) = 100 sccm, 이소프로필 알코올 = 400 sccm, O2 = 50 sccm, He = 150 sccm, 압력 = 800 Pa, RF(27 MHz) = 1,800W, 온도 = 400℃, 막 두께 = 500 nm)이 UV광으로 직접적으로 그리고 SiC막 위에서 조사될 때 얼마나 수축하는 가에 대한 결과를 보여준다. 동일한 지속의 UV 조사 하에서, SiC 없는 막이 SiC막보다 더 수축했다. 이것은 저유전율막의 수축 효과를 갖는 UV광이 SiC에 의해서 차폐되었기 때문이다. 도 6에 도시된 바와 같이, SiC를 투과하지 않는 광은 300 nm 보다 짧은(현저하게는 250 nm 또는 그 보다 짧은) 파장을 가졌다. 이것은 저유전율막의 수축 효과를 갖는 UV광이 300 nm 보다 짧은 파장을 갖는다는 것을 나타낸다. 이 실험에서, UV 경화 효과는 SiC막에 의해서 35% 감소했다. 이 정도로 차폐된 UV광은 주로 250 nm 또는 그보다 짧은 파장을 가지기 때문에, 250 nm 또는 그보다 짧은 파장을 갖는 광이 UV 경화에 특히 유용하다고 결론 내릴 수 있다.
이러한 결과는 저유전율막의 경화 효과를 높이기 위해서는 250 nm 또는 그보다 짧은 파장을 갖는 UV광의 양을 늘리는 것이 효과적이라는 것을 나타낸다. 그러나, 300 nm 또는 그보다 짧은, 바람직하게는 250 nm 또는 그보다 짧은 파장을 갖는 UV광을 증가시키려는 목적으로 입력 파워를 높이는 시도는 다른 파장의 광이 비례적으로 증가하게 하고, 보다 문제가 되는 것은 열 생성을 비례적으로 증가시킬 수 있다. 증가된 열 생성은 경화된 기판이 온도를 올리고, 이것은 400℃ 도는 그 이하에서 온도가 제어되어야 하는 층간 절연층의 경화에 문제가 된다. 이에 따라, 250 nm 또는 그보다 짧은 파장을 갖는 UV광을 보다 많이 얻기 위한 효과적인 수단은 1) UV 램프에 의해서 생성된 열을 차단하고 램프에 인가되는 파워 입력을 높이는 것이고, 그리고 2) 산소에 의한 UV광의 흡수를 차단하기 위해서 램프 주위 대기를 질소로 대체하는 것이다. 일 실시예에서 사용된 수냉 필터는 이러한 조건들의 양자를 만족시킨다.
전술한 바와 같이, 본 발명의 실시예들은, 수냉 필터를 사용하여 고압 수은 램프들의 열 문제를 해결하면서 저유전율막의 경화에 효과적인 특정 파장의 UV광에 의한 경화 효율을 향상시키고, 또한 오존 생성을 억제하기 위해서 경화 분위기를 질소로 대체하여 경화에 효과적인 UV광의 양을 증가시키기 위한 방법을 제공한다.
본 발명의 설명에서 조건들 및/또는 구조가 특정되지 않았지만, 해당 기술 분야에서 통상의 지식을 가진 자라면 본 개시에 비추어 통상적인 실험을 통해서 그러한 조건들 및/또는 구조들을 쉽게 제공할 수 있다.
본 발명의 사상으로부터 벗어나지 않고 많은 그리고 다양한 변형이 이루어질 수 있음은 해당 기술 분야에서 통상의 지식을 가진 자에게 이해될 수 있다. 따라서, 본 발명의 형태는 단지 설명을 위해서 제공되고 본 발명의 범위를 제한하지 않음은 명백하게 이해되어야 한다.
본 발명의 특징들은 본 발명을 제한하지 않고 설명의 의도로 제공된 바람직한 실시예들의 도면들을 참조해서 설명될 것이다.
도 1은 본 발명의 일 실시예에 따른 UV 조사 장치의 개략도이다.
도 2는 본 발명의 일 실시예에 따라 액체 필터에 의해 동봉된 UV 램프들의 개략적인 측면도(a) 및 개략적인 정면도(b)이다.
도 3은 본 발명의 일 실시예에 따른 UV 조사 장치의 개략도이다.
도 4는 본 발명의 일 실시예에 따른 액체 필터의 개략적인 측면도(a) 및 개략적인 사시도(b)이다.
도 5는 본 발명의 일 실시예에 따른 UV 조사 장치의 개략도이다.
도 6은 본 발명의 일 실시예에 따른 파장과 UV 세기/SiC막의 UV 투명도 사이의 관계를 보여주는 그래프이다.
도 7은 본 발명의 일 실시예에 따라 SiC 캡의 유무에 따른 두께 변화를 보여주는 그래프이다.

Claims (26)

  1. 내부에 기판-지지 테이블이 제공되고, 광 투과창을 갖는 반응기;
    상기 광 투과창을 통해 UV광을 갖는 상기 기판-지지 테이블 상에 배치된 반도체 기판을 조사하기 위해서 상기 반응기에 연결되고, 적어도 하나의 UV 램프를 포함하는 UV광 조사 유닛; 및
    상기 UV광이 투과되는 액체층을 형성하기 위해 상기 UV 램프 및 상기 광 투과창 사이에 배치된 액체층 형성 채널(liquid layer forming channel)을 포함하고, 상기 액체층 형성 채널은 상기 액체층 형성 채널을 통해서 흐르는 액체에 의해서 형성된, UV광으로 반도체 기판을 조사하기 위한 UV광 조사 장치.
  2. 제 1 항에 있어서, 상기 액체층은 250 nm 또는 그보다 짧은 파장을 갖는 UV광을 실질적으로 또는 거의 흡수하지 않는 상기 액체를 흘려서 형성된 것을 특징으로 하는 UV광 조사 장치.
  3. 제 2 항에 있어서, 상기 액체층을 형성하는 상기 액체는 물인 것을 특징으로 하는 UV광 조사 장치.
  4. 제 1 항에 있어서, 상기 액체층 형성 채널은 250 nm 또는 그보다 짧은 파장을 갖는 UV광을 투과시킬 수 있는 유리로 형성된 것을 특징으로 하는 UV광 조사 장 치.
  5. 제 1 항에 있어서, 상기 액체층 형성 채널은 그 사이에 상기 액체층을 통과시키기 위한 두 투명 벽들에 의해서 형성된 것을 특징으로 하는 UV광 조사 장치.
  6. 제 5 항에 있어서, 상기 두 벽들 사이의 거리는 약 5 mm 내지 15 mm인 것을 특징으로 하는 UV광 조사 장치.
  7. 제 5 항에 있어서, 상기 액체층 형성 채널은 상기 벽들 사이에 상기 액체를 통과시키기 위한 내벽 및 외벽을 포함하는 이중벽의 울타리(double walled enclosure)에 의해서 형성되고, 상기 울타리는 상기 UV 램프를 둘러싸는 것을 특징으로 하는 UV광 조사 장치.
  8. 제 7 항에 있어서, 상기 UV 램프는 긴(elongated) 형상을 갖는 것을 특징으로 하는 UV광 조사 장치.
  9. 제 7 항에 있어서, 상기 이중벽의 울타리는 상기 내벽 및 외벽 사이의 상기 액체를 유입하기 위한 액체 유입 포트(liquid inlet port) 및 상기 내벽 및 외벽 사이의 상기 액체를 배출하기 위한 액체 배출 포트(liquid exhaust outlet port)를 갖는 것을 특징으로 하는 UV광 조사 장치.
  10. 제 7 항에 있어서, 상기 이중벽의 울타리는 상기 내벽에 의해서 둘러싸인 내부로 불활성 기체를 유입시키기 위한 불활성 기체 유입 포트 및 상기 내부로부터 상기 불활성 기체를 배출하기 위한 불활성 기체 배출 포트를 갖는 것을 특징으로 하는 UV광 조사 장치.
  11. 제 1 항에 있어서, 상기 액체층 형성 채널은 상기 UV 램프보다는 상기 UV광 투과창에 평행하고 더 근접한 것을 특징으로 하는 UV광 조사 장치.
  12. 제 1 항에 있어서, 상기 UV광 투과창은 상기 액체층 형성 채널을 구성하는 것을 특징으로 하는 UV광 조사 장치.
  13. 제 1 항에 있어서, 상기 UV 조사 유닛은 상기 UV 조사 유닛의 내벽을 따라서 배치된 반사판(reflection plate)을 갖고, 상기 반사판은 상기 UV 램프로부터 상기 UV광 투과창 방향으로 상기 UV광을 반사시키도록 각도를 갖는 것을 특징으로 하는 UV광 조사 장치.
  14. 제 1 항에 있어서, 상기 액체층 형성 채널은 상기 채널로 상기 액체를 유입시키기 위한 액체 유입 포트 및 상기 채널로부터 상기 액체를 배출하기 위한 액체 배출 포트를 갖고, 그리고 상기 액체 배출 포트 내의 상기 액체의 온도를 검출하기 위해 상기 액체 배출 포트 내에 배치된 온도 센서를 갖는 것을 특징으로 하는 UV광 조사 장치.
  15. 제 14 항에 있어서, 상기 액체층 형성 채널은 상기 온도 센서에 의해서 검출된 상기 온도에 따라서 상기 액체의 유량을 제어하기 위해 상기 액체 유입 포트의 상류에 배치된 유량 제어기를 갖는 것을 특징으로 하는 UV광 조사 장치.
  16. 반응기 내에 기판-지지 테이블 상의 반도체 기판을 배치시키는 단계;
    UV광 조사 유닛 내에 제공된 UV 램프, 및 상기 반응기와 상기 UV광 조사 유닛 사이에 제공된 광 투과창 사이에 배치된 액체층 형성 채널을 통해 액체를 통과시킴으로써 액체층을 형성하는 단계; 및
    상기 액체층 및 상기 광 투과창을 통해서 상기 UV 램프로부터 방사된 UV광으로 상기 반도체 기판을 조사하는 단계를 포함하는, UV광으로 반도체 기판을 조사하는 방법.
  17. 제 16 항에 있어서, 상기 액체층은 250 nm 또는 그보다 짧은 파장을 갖는 UV광을 실질적으로 또는 거의 흡수하지 않는 상기 액체를 통과시켜 형성하는 것을 특징으로 하는 반도체 기판을 조사하는 방법.
  18. 제 17 항에 있어서, 상기 액체층을 구성하는 상기 액체는 물인 것을 특징으 로 하는 반도체 기판을 조사하는 방법.
  19. 제 16 항에 있어서, 상기 기판은 상기 UV광 조사를 받는 저유전율막(low-k film)을 갖는 것을 특징으로 하는 반도체 기판을 조사하는 방법.
  20. 제 16 항에 있어서, 상기 액체층 형성 채널은 상기 UV 램프를 둘러싸고 내벽 및 외벽을 포함하는 이중벽 울타리에 의해서 형성되고, 상기 액체층 형성 단계는 상기 내벽 및 외벽 사이에 상기 액체를 통과시키는 단계를 포함하는 것을 특징으로 하는 반도체 기판을 조사하는 방법.
  21. 제 20 항에 있어서, 상기 액체층 형성 단계는 상기 내벽에 의해서 둘러싸인 내부로 불활성 기체를 유입시키고 상기 내부로부터 상기 불활성 기체를 배출하는 단계를 더 포함하는 것을 특징으로 하는 반도체 기판을 조사하는 방법.
  22. 제 16 항에 있어서, 상기 액체층은 상기 UV 램프보다는 상기 UV광 투과창에 평행하고 더 근접한 것을 특징으로 하는 반도체 기판을 조사하는 방법.
  23. 제 16 항에 있어서, 상기 UV광 투과창은 상기 액체층 형성 채널로서 제공하고, 상기 액체층은 상기 UV광 투과창 내에 형성된 것을 특징으로 하는 반도체 기판을 조사하는 방법.
  24. 제 16 항에 있어서, 상기 UV 조사 유닛은 상기 UV 조사 유닛의 내벽을 따라서 배치된 반사판을 갖고, 상기 조사 단계는 UV광을 상기 반사판을 이용하여 상기 UV 램프로부터 상기 UV광 투과창을 향해서 반사시키는 단계를 포함하는 것을 특징으로 하는 반도체 기판을 조사하는 방법.
  25. 제 16 항에 있어서, 상기 액체층 형성 단계는 상기 채널 내로 상기 액체를 유입시키고, 상기 채널로부터 상기 액체를 배출하고, 상기 채널로부터 배출된 상기 액체의 온도를 검출하고, 그리고 상기 검출 온도에 따라서 상기 채널 내로 유입된 상기 액체의 유량을 제어하는 단계를 포함하는 것을 특징으로 하는 반도체 기판을 조사하는 방법.
  26. 제 25 항에 있어서, 상기 액체 유량은 40℃ 또는 그 이항의 상기 채널로부터 배출하는 상기 액체의 상기 온도를 제어하기 위해서 제어된 것을 특징으로 하는 반도체 기판을 조사하는 방법.
KR1020080022878A 2007-03-23 2008-03-12 액체 필터를 갖는 자외광 조사 장치 KR20080086817A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/690,614 2007-03-23
US11/690,614 US7763869B2 (en) 2007-03-23 2007-03-23 UV light irradiating apparatus with liquid filter

Publications (1)

Publication Number Publication Date
KR20080086817A true KR20080086817A (ko) 2008-09-26

Family

ID=39773764

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080022878A KR20080086817A (ko) 2007-03-23 2008-03-12 액체 필터를 갖는 자외광 조사 장치

Country Status (4)

Country Link
US (1) US7763869B2 (ko)
JP (1) JP5026317B2 (ko)
KR (1) KR20080086817A (ko)
TW (1) TW200845204A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200126180A (ko) * 2019-04-29 2020-11-06 강용훈 경화장치 냉각 시스템

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011082288A (ja) * 2009-10-06 2011-04-21 Panasonic Corp 半導体製造装置及びそれを用いた半導体装置の製造方法
FR2951949B1 (fr) * 2009-10-30 2012-01-20 Claranor Dispositif de traitement par lumiere pulsee refroidi .
WO2011064670A1 (en) * 2009-11-30 2011-06-03 Scodix, Ltd. Nip roller with an energy source
TWI409594B (zh) * 2010-11-16 2013-09-21 Au Optronics Corp 曝光燈組與曝光機
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103732374B (zh) * 2011-08-18 2018-10-30 迈图高新材料股份有限公司 照射和成型单元
US20130068970A1 (en) * 2011-09-21 2013-03-21 Asm Japan K.K. UV Irradiation Apparatus Having UV Lamp-Shared Multiple Process Stations
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9287154B2 (en) * 2012-06-01 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing system for semiconductors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014091076A (ja) * 2012-11-02 2014-05-19 Sumitomo Heavy Ind Ltd 基板製造装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
DE102013011066A1 (de) * 2013-07-03 2015-01-08 Oerlikon Trading Ag, Trübbach Wärme-Lichttrennung für eine UV-Strahlungsquelle
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP6999878B2 (ja) * 2017-10-10 2022-01-19 セイコーエプソン株式会社 印刷装置、及び印刷方法
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
CN108126640A (zh) * 2018-01-23 2018-06-08 北京缔森科技发展有限公司 一种底照式高温高压光化学反应釜
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
EP3867048B1 (en) * 2018-10-16 2023-03-29 Transitions Optical, Ltd. Ultraviolet curing apparatus
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220143657A1 (en) * 2020-11-06 2022-05-12 Jelight Company, Inc. Ultraviolet specimen cleaning apparatus
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783276A (en) * 1972-06-05 1974-01-01 Instrumentation Specialties Co Dual beam optical system
JPS5855038A (ja) 1981-09-25 1983-04-01 Toshiba Electric Equip Corp 光照射器具
JPS58158914A (ja) * 1982-03-16 1983-09-21 Semiconductor Res Found 半導体製造装置
US4550684A (en) * 1983-08-11 1985-11-05 Genus, Inc. Cooled optical window for semiconductor wafer heating
US4811493A (en) * 1987-08-05 1989-03-14 Burgio Joseph T Jr Dryer-cooler apparatus
US5259883A (en) * 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
JPH01241818A (ja) * 1988-03-24 1989-09-26 Toshiba Corp 光励起膜形成装置
US4949783A (en) * 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
JP2731855B2 (ja) * 1989-02-14 1998-03-25 アネルバ株式会社 減圧気相成長装置
US5181556A (en) * 1991-09-20 1993-01-26 Intevac, Inc. System for substrate cooling in an evacuated environment
US5910854A (en) * 1993-02-26 1999-06-08 Donnelly Corporation Electrochromic polymeric solid films, manufacturing electrochromic devices using such solid films, and processes for making such solid films and devices
JPH06260410A (ja) * 1993-03-04 1994-09-16 Sony Corp 紫外線照射装置及び紫外線照射方法
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5447431A (en) * 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
KR100356438B1 (ko) * 1993-12-17 2002-12-12 부룩스 오토메이션, 인코포레이티드 웨이퍼가열장치또는냉각장치
US5561735A (en) * 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
JPH09237789A (ja) * 1996-02-29 1997-09-09 Toshiba Corp 遮蔽体および熱処理装置および熱処理方法
US6054684A (en) * 1996-11-05 2000-04-25 Texas Instruments Incorporated Ultra fast temperature ramp up and down in a furnace using interleaving shutters
DE19650561A1 (de) * 1996-12-05 1998-06-10 Oase Pumpen Vorrichtung zur Behandlung von Flüssigkeiten
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US5937541A (en) * 1997-09-15 1999-08-17 Siemens Aktiengesellschaft Semiconductor wafer temperature measurement and control thereof using gas temperature measurement
US7070106B2 (en) * 1998-03-24 2006-07-04 Metrologic Instruments, Inc. Internet-based remote monitoring, configuration and service (RMCS) system capable of monitoring, configuring and servicing a planar laser illumination and imaging (PLIIM) based network
US6129954A (en) * 1998-12-22 2000-10-10 General Electric Company Method for thermally spraying crack-free mullite coatings on ceramic-based substrates
DE19916474A1 (de) * 1999-04-13 2000-10-26 Ist Metz Gmbh Bestrahlungsgerät
US6259062B1 (en) * 1999-12-03 2001-07-10 Asm America, Inc. Process chamber cooling
US6759098B2 (en) * 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US6755518B2 (en) * 2001-08-30 2004-06-29 L&P Property Management Company Method and apparatus for ink jet printing on rigid panels
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
TW559616B (en) * 2003-01-22 2003-11-01 Senno Technology Inc Ultraviolet-and-ozone disinfection apparatus having improvement on disinfection effect
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP4650413B2 (ja) * 2003-04-10 2011-03-16 株式会社ニコン 液浸リソグフラフィ装置用の移送領域を含む環境システム
CN105467775B (zh) * 2004-06-09 2018-04-10 株式会社尼康 曝光装置及元件制造方法
WO2005124835A1 (ja) * 2004-06-21 2005-12-29 Nikon Corporation 露光装置及びデバイス製造方法
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
SG136078A1 (en) * 2006-03-17 2007-10-29 Applied Materials Inc Uv cure system
US7527695B2 (en) * 2006-06-21 2009-05-05 Asahi Glass Company, Limited Apparatus and method for cleaning substrate
US20080220619A1 (en) * 2007-03-09 2008-09-11 Asm Japan K.K. Method for increasing mechanical strength of dielectric film by using sequential combination of two types of uv irradiation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200126180A (ko) * 2019-04-29 2020-11-06 강용훈 경화장치 냉각 시스템

Also Published As

Publication number Publication date
JP2008244481A (ja) 2008-10-09
US20080230721A1 (en) 2008-09-25
JP5026317B2 (ja) 2012-09-12
TW200845204A (en) 2008-11-16
US7763869B2 (en) 2010-07-27

Similar Documents

Publication Publication Date Title
KR20080086817A (ko) 액체 필터를 갖는 자외광 조사 장치
US20130068970A1 (en) UV Irradiation Apparatus Having UV Lamp-Shared Multiple Process Stations
JP4971262B2 (ja) 半導体基板をキュアリングするために紫外線照射を管理する方法
US7964858B2 (en) Ultraviolet reflector with coolant gas holes and method
US7789965B2 (en) Method of cleaning UV irradiation chamber
US7709814B2 (en) Apparatus and process for treating dielectric materials
JP5905476B2 (ja) Nanocureuvチャンバ用の石英シャワーヘッド
TWI244108B (en) Substrate processing apparatus
CN101208770B (zh) 用于处理介电材料的设备和方法
TW200416810A (en) Substrate processing apparatus
KR20080026069A (ko) 자외선 조사 챔버의 세정방법
KR20090034721A (ko) 반도체 제조 장치 및 자외광으로 물질을 경화하는 방법
JP4524438B2 (ja) 複数の被処理物を熱処理する装置及び方法
JP4830878B2 (ja) 真空紫外線モニタ及びそれを用いた真空紫外線照射装置
TWI303850B (ko)
JP2001300451A (ja) 紫外光照射装置
JP6550964B2 (ja) 光処理装置およびその製造方法
WO2022137725A1 (ja) 基板処理装置、基板処理方法、および紫外線照射ユニット
KR20010020883A (ko) 산화처리장치
JP6763243B2 (ja) 光照射器
JP2010075916A (ja) オゾン分解装置及びプロセスシステム
JP2009260333A (ja) 酸化膜改質方法とその装置及びプロセス装置
US20150361557A1 (en) Substrate processing apparatus and substrate processing method
JP2001217216A (ja) 紫外線照射方法及び装置
TW200421433A (en) Substrate processing equipment

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid