TW200411833A - Fin FET devices from bulk semiconductor and method for forming - Google Patents

Fin FET devices from bulk semiconductor and method for forming Download PDF

Info

Publication number
TW200411833A
TW200411833A TW092114901A TW92114901A TW200411833A TW 200411833 A TW200411833 A TW 200411833A TW 092114901 A TW092114901 A TW 092114901A TW 92114901 A TW92114901 A TW 92114901A TW 200411833 A TW200411833 A TW 200411833A
Authority
TW
Taiwan
Prior art keywords
semiconductor substrate
fin
fins
layer
substrate
Prior art date
Application number
TW092114901A
Other languages
English (en)
Other versions
TWI235457B (en
Inventor
David M Fried
Edward J Nowak
Beth Ann Rainey
Devendra K Sadana
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200411833A publication Critical patent/TW200411833A/zh
Application granted granted Critical
Publication of TWI235457B publication Critical patent/TWI235457B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Element Separation (AREA)

Description

200411833 玖、發明說明: 【發明所屬之技術領域】 本發明概言之係關於半導體製造領域,更具體而言,係 關於一種製造鳍式場效電晶體之方法。 【先前技術】 在半導體裝置生產中維持成本及效能競爭力之需求,已 促使積體電路中裝置密度增大。為利於達成裝置密度之增 大,需要技術不斷的推陳出新以縮小該等半導體裝置之形 體尺寸。 持續增大裝置密度之推動力在CM0S技術中(例如在場效 電晶體(FET)設計及製造中)尤為強烈。場效電晶體構成 CMOS的最主要組件。為在CM〇s中獲得更高的裝置密度而 按比例縮小場效電晶體會導致效能及/或可靠性的降低。 人們已提出的可利於提高裝置密度的一種FET為鰭式場 效電晶體。在鰭式場效電晶體中,電晶體本體自一垂直結 構形成,因其形似於魚類背鰭而通常稱作鰭片。爾後,在 鰭片之一或多側上製成鰭式場效電晶體之閘極。鰭式場效 電晶體具有數種優點,包括無需增大裝置尺寸即可達成更 佳的電流控制。因此,鰭式場效電晶體有利於按比例縮小 CMOS尺寸,同時維持可接受之效能。 m 的疋在鳍式電晶體之設計及製造中出現數種困 難首先,I鳍式電晶體中,一般需要電絕緣每一績式場 效:晶體裝置。具體而言,鰭式場效電晶體需要相互絕緣, 且單個裝置之源極和沒極亦需要絕緣以確保源極對沒極解 輕。為此,鰭式場效電晶體已通常自錢緣體(SOI)晶圓製 85802 200411833 成,以提供不同裝置之鰭片間的絕緣。具體而言,電晶體 之鰭片自隱埋絕緣層上的矽層製成,因此每一鰭片皆藉由 鰭片下的隱埋絕緣層與其他鰭片絕緣。同樣,各鰭式場效 電晶體之源極區與汲極區之間亦藉由隱埋絕緣層相互解 耦。 儘管使用SOI晶圓可提供鰭式場效電晶體所需的絕緣,但 其並非無顯著缺點。自SOI晶圓製成鰭式場效電晶體的最顯 著缺點在於:與體型矽晶圓相比,SOI晶圓的成本增大。舉 例而言,SOI晶圓的成本通常二至三倍於體型矽晶圓的成 本。SOI晶圓的該種增大的成本儘管在某些應用中尚可接 受,但在其他應用中則高得令人難以接受。此外,SOI晶圓 的使用並非相容於所有製造製程,例如常用的SiGe製程。 在體型晶圓上實施裝置絕緣的方法曾闡述於Hisamoto等 人所著n—種全耗盡窄通道電晶體(DELTA) - —種新穎的 垂直超薄 SOI MOSFET(A fully Depleted Lean-channel Transistor (DELTA) A novel vertical ultra thin SOI MOSFET)” (1989年國際電子裝置會議(International Electron Devices Meeting 1989),第 34.5.1號論文,第 833-6 頁)中。該方法要求在鰭片上建構一氮化物襯墊,從而在氧 化底層基板以製成絕緣區域的過程中保護鰭片。由此即可 相對鰭片選擇性地氧化基板。該製程的限制在於氧化溫度 較高(1,100°C )且在形成絕緣層時不能調整鰭片厚度。隨著 裝置持續縮小,其耐受高溫狀態的能力亦隨之降低;因此, Hisamoto等人提出的製程無法相容於鰭式電晶體可發揮其 -7- 85802 200411833 用途的奈米級技術。況且,不能調整鰭片厚度意味著該等 裝置的一關鍵尺寸僅決定於微影技術。如下文所詳細論 述,本發明方法之一特徵在於其可提供藉由氧化來調整鰭 片之能力,從而能夠超越微影技術能力而最佳化鰭片厚度。 此外,Hisamoto提出的製程未提供一種控制鰭片高度之 万法。體型晶圓缺少一可在上面終止鰭片蝕刻之層面,例 如藉由於SOI晶圓中隱埋氧化層而提供之層面。若無該蝕刻 終止層,則蝕刻厚度之變化性轉變為鰭片高度之變化性。 由於该裝置所傳導的電流量正比於鰭片高度,因此最小化 鰭片高度之變化性至關重要。 因此,需要提供改良的製造方法和結構,以利於自體型 矽材製成鰭式電晶體裝置,同時最小化裝置變化性並提供 充分的裝置絕緣。 【發明内容】 本發明提供一種裝置結構及已克服先前技術眾多缺點的 鰭式場效電晶體(FET)製成方法。具體而言,該裝置結構及 方法提供自體型半導體晶圓製成鰭式場效電晶體之能力, 同時提供改良的裝置均勻度。 在第一悲樣中’本發明係一種在半導體基板中製成一鳍 式場效電晶體之方法,該方法包括下列步驟··自半導體基 板製成一鰭片;及對該基板實施一可進一步界定該鰭片寬 度且同時絕緣該鳍片之製程。 在第二®樣中,本發明係一種在半導體基板中製成一鳍 式場效電晶體之方法,該方法包括下列步驟:自半導體基 85802 200411833 板製成一包括一鰭片側壁的鰭片,該鰭片的製成暴露出毗 鄰該鰭片的半導體基板區;損壞鄰接該鳍片的半導體基板 區之至少一邵分;氧化該半導體基板,使在該半導體基板 之^:拍部分中形成的氧化物厚於在鰭片侧壁上形成的氧化 物。 由下文如附圖所闡釋的本發明一較佳具體實施例之更具 體說明可知曉本發明之前述及其他優點和特點。 【實施方式】 本發明提供一種裝置結構及已克服先前技術眾多缺點的 ”、、曰式%效兒日日體(FET)製成方法。具體而言,該裝置結構及 方法提ί、自型半導體晶圓製成鳍式場效電晶體裝置且同 時改良策置均勻度之能力。該方法有助於自體型半導體晶 圓製成鰭式場效電晶體裝置,並改良鰭片高度控制。此外, 孩万法亦提供自體型半導體製成鰭式場效電晶體之能力, 同時提供各鰭片之間的絕緣及單個鰭式場效電晶體中源極 區與沒極區之間的絕緣。最後,該方法亦可達成鰭片寬产 的最佳化。因Λ ’本發明裝置結構及方法可提供在體型晶 圓上均勻製成鰭式場效電晶體之優點。 85802 本發明^一具體實施例在鰭片圖案化之前使用一製程 :艮鳍片高度控制。該製程可包括植入—種可將基板損 至:所需深度之重離子,以改變受損基板相對於未受損 、虫J率由此可藉由最小化蝕刻速度變化之影響而 二片圖案化過程中改良高度控制。第二替代製程可包括 -所需深度處植入或製成一標記層。在鳍片姓刻過程中 200411833 監測標記層之各元件,藉以精確確定何時達到所需餘刻深 度。該兩種製程控制技術皆可改良鰭片高度之均勻度,從 而能夠自體型半導體晶圓製成鳍片且將晶圓間之差^降2 最低。 本發明之第二態樣係在相鄰鳍片之間及在單個鳍式場效 電晶體之源極區與汲極區間形成絕緣。此外,該製程可最 佳化鰭片自身寬度。該製程首先選擇性地損壞各鳍片之間 的半導體晶圓。此種選擇性損壞可藉由在各績片㈤植入適 當重離子或藉由植入一 P型物質並隨之實施一選擇性陽極 反應來達成。該等方法損壞毗鄰於鰭片的暴露的半導體晶 圓,但可藉由鰭片頂部的一保護性硬光罩層來最小化對鰭 片自身的抽壞。爾後氧化晶圓,由此在各鰭片侧壁上及在 各鰭片之間的區域中形成氧化。受損晶圓區域的氧化快於 未受損Hi或,因而使各鰭片之間的氧化物厚度大於鰭片自 身上的氧化物厚度。該氧化速率差可使各鰭片之間^成足 夠的氧化物而不使鰭片過度變_。 m製程在各鰭片之間形成充分氧化,以在各鰭片之間及 在鰭片源極區與汲極區之間提供絕緣。此外,在鰭片上形 成氧化物會财鳍片自彳。當自鰭片侧壁移除該氧化物之 後,由此得到的鰭片寬度將比原始寬度進一步最佳化,而 各4片足間則留存足夠的氧化物以提供絕緣。因此,該製 私可絕緣各鰭片並同時最佳化鰭片寬度。 因此,所提供方法有利於自體型矽材製成鰭式場效電晶 體裝置’同時可提供對鰭片高度和寬度的增強控制及鰭片 85802 -10- 200411833 源極區域與汲極區域之間的增強絕緣。 本發明可方便地適用於多種鰭式場效電晶體及以前曾大 多在SOI基板上製造的其相關裝置。舉例而言,該等方口 用於製成第6,252,284號美时利所揭示的㈣ 電晶體。因此,凡熟習此項技藝者即可理解,本發明並非 局限於附圖所闡釋之具體結構或本文所詳述之具體步驟。 亦應瞭解’本發明並非局限於任何具體摻雜劑類型,其限 制條件為選擇用於各組件的捧雜劑類型與該裝置擬實施的 電作業相一致。 現在參見圖1,該圖闇釋依據本發明料製成鰭式場效電 «之實例性方法⑽。該製造方Μ崎許自體型半導: 晶圓製成鰭式場效電晶體,並達成改良的晶圓間均勾度及 无分的裝置絕緣。ΕΙ此,方法1GG可提供以—種更加成本有 效的製造製程來生產鰭式場效電晶體的優點。現在將詳細 2逑万法1 00及在圖2-7所示製程過程中一晶圓部分之具體 貫施例實例。 圖1中的第一步驟1 〇 1係提供一適當的體型半導體晶圓。 、、去100之下一步騍丨02係沉積一適當的硬光罩阻擋層並隨 之=積一適當的硬光罩覆蓋層。該等硬光罩阻擋層與硬光 ^覆蓋層Ή包括任意適當材料及任意適當厚I。舉例而 口遠硬光罩阻擋層可包括40-1 〇〇 nm二氧化矽,而硬光罩 覆蓋層可包括5-50 nm氮化矽。由下文可知,該硬光罩覆蓋 層與硬光罩阻擋層將用於圖案化該底層半導體基板並在絕 緣的形成過程中保護鰭片。 85802 200411833 現在參見圖2。該圖闡釋一白 匕括一硬光罩阻擋層204和一 硬光罩覆蓋層2〇2之實例性日m ~ ' 八1 u生昍固邯分2〇〇。同樣,該晶圓部 刀200可包括任意通當的體 土卞寸to日曰圓,例如一矽〈i 〇〇〉 晶圓。同樣,硬光罩阻擋層2〇 ,、 ^好硬先罩覆盍層202可包括 任意適當的硬光罩材料,例如八一# 刀力J為一氧化碎與氮化碎。 現在參見圖1。下一步驟门、 釭104刼圖案化硬光罩阻擋層和硬 光罩覆蓋層以製成鰭片圖案。本 、 木尽步驟可祙用任一適當製程 達成,且通常會涉及一適當朵# 、田尤阻的沉積及圖案化。爾後, 可採用-反應性離子兹刻(RIE)針對已顯影光阻選擇性圖 案化該硬光罩阻擋層和硬光罩覆蓋層。隨後,該圖案化硬 β層將在—相_!巾料圖案域料㈣基板,以 界疋將用於製成績式場效電晶體裝置的績片。由此,圖案 化之長度與寬度將決定於具體應用中所需要的鰭片尺寸。 一現在參見圖3 ’該圖闡述在硬光罩阻擋層2〇4與硬光罩覆 蓋層202已圖案化之後的晶圓部分2〇〇。 現在重新參見圖1。下一步驟1〇6係在半導體晶圓中製成 :高度控㈣。然後’在下—步驟⑽中圖案化該半導體晶 圓以使用泫回度控制層製成鰭片,藉以控制鰭片高度。具 有數種不同類型的高度控制層可供使用。舉例而言,該層 可包括植入一種可將基板損壞至一所需深度並由此使受損 基板之蝕刻速率相對未受損基板之蝕刻速度而改變的重離 子。由此可藉由最小化蝕刻速率變化之影響而在鰭片圖案 化過秸中達成改良的高度控制。或者,高度控制層可包括 在所需深度製成一標記層。在鰭片圖案化過程中,監測 85802 -12- 200411833 該標記層之元素,藉以精確偵測何時達 因此,該兩種技術皆可達成改良的續片高度==能 夠自體型半導體晶圓可靠地製成鰭片。 當高度控制層包括-可損壞基板的離子植人劑時,可使 用任-種可充分損壞半導體基板暴露部分之適當離子來使 受損基板之蚀刻速度相對於未受損基板之餘刻速度發生改 變。舉例而言,可使用-神離子植人劑來損壞半導體晶圓。 其他適當離子將包括錯、铯、銻或其他重離子。爾後,選 擇植入劑的能量以將績片損壞至所需深度。舉例而言,若 需生成約800埃的鰭片高度,則可使用14〇千電子伏特且劑 量為1X1〇e16/Cm2的坤植入劑。使用適當的触刻日寺,受損部 分的姓刻可快於未受損部分,且定時触刻更有可能形成一 致的蝕刻深度。換言之,去# ~ \ , 、 未又抽部分的蝕刻慢於受損部分, 且在疋時蝕刻中可取小化未受損區域中的過蝕刻。因此, 離子植入劑可改良所製成鰭片的高度控制。 田邊同度控制層包含_標記層#,在㈣ 記離子即可指示何時已達到 意適當物質,例如氧、_七处 匕括任 風或鍺。該標記層可藉由在基板中 植入才和屺物質(例如鍺)而製 、 板上沉"… 成。或者,_層可藉由在基 上4料物f並隨之在所沉積標記層之上製成 半導體基板層而製成。爾 ' 衣成雨後自標記層頂層蝕刻鳍片。力以 刻半導體基板層的同時I、❹、〇 # 4在钱 偵測到標記物質時,停::猎以界定鳍片。當 明已達到所需深产刻,此乃因該等物質的出現表 85802 02 又。寺標記層物質的出現有助於確定何 -13- 200411833 時應V止蝕刻製程,並由此生成一致的蝕刻深度。舉例而 f,茲標記層可為一 80(M,000埃厚的以^層,其中鍺的濃 度為 25% - 50%。 該兩種技術皆可達成改良的鰭片高度控制,從而能夠自 體型半導體晶圓可靠製成高度一致的鰭片。在所有該等具 體實施例中,蝕刻化學品皆應選擇為相容於高度控制層類 型,並應對用於界定鰭片圖案的硬光罩覆蓋層具有選擇性。 現在參見圖4。該圖闡述在已製成一高度控制層且半導體 基板已圖案化從而製成鰭片210之後的晶圓部分2〇〇。同 樣,由於冒使用一高度控制層,因而各晶圓之間的鰭片高 度將具有改良的均勻度。 在某些狀況下,需要在該點移除覆蓋層。其可使用任一 週當技術(例如對底層硬光罩及暴露的矽具有選擇性的濕 蝕刻或乾蝕刻)來達成。底層硬光罩阻擋層得到保留,並將 用於在後續製程中保護鰭片。在其他狀況下,覆蓋層可保 留在原處’以在後續製程中進一步保護鰭片。 在下一步驟110中損壞各鰭片之間的基板。由下文可知, 損壞基板的意圖為相對於鰭片自身氧化速度提高各鰭片間 基板之氧化速度。一種損壞基板的方法係在各鰭片之間的 基板中植入一種適當元素。該植入劑適於垂直於基板表面 實施植入,.藉此硬光罩阻擋層可防止該植入劑直接損壞鳍 片,儘管會發生某種程度的蔓延。可採用任意適當植入劑 損壞基板,縱使通常選用可最佳地損壞基板並由此提高基 板氧化速度的一種重離子較佳。為此,植入坤(以介於約1 85802 -14 - 200411833 X l〇e16/cm2與1 χ i〇e17/cm2之間的劑量及約4〇 十電子伏 特之能量實施植入)為一適當選擇。其他適當植入物質包括 铯、氧及鍺。 一種選擇性地損壞半導體基板的替代方法係藉由一種對 P J植入劑具有選擇性的陽極反應。在此種製程中,白夂 鰭片間的半導體基板中植入一種Ρ型離子。該ρ型植入劑可 包括任一適當物質,例如硼。同樣,硬光罩阻擋層可防止Ρ 型植入劑直接損壞鰭片。在植入Ρ型植入劑之後,對基板部 分貫施退火。爾後,將植入區域暴露於一化學蝕刻劑(例如 氫氟酸/乙醇)並發生陽極反應。由此可損壞植入區域。具體 而口,1%極反應可使植入區域變為孔隙狀。損壞程度可萨 由Ρ型植入劑的密度和能量、氫氟酸濃度及氫氟酸/乙醇混 合物,及反應電流密度和時間來控制。同樣,受損區域具 有提高的氧化速度,由此將在基板與鰭片之間產生不同的 氧化物厚度。 現在參見圖5。該圖闡述在已移除硬光罩覆蓋層2〇2且已 貝施一損壞製程以形成基板受損部分212之後的晶圓部分 200。因為鰭片受到留存的硬光罩阻擂層2〇4的保護,且植 入劑基本垂直植入,所以半導體基板的受損部分212將集中 於各鰭片之間的區域中。 重新爹見圖1。在下一步驟丨12中氧化晶圓的受損區域。 其可使用任一適當氧化製程來實施。如上文所述,晶圓受 知區之氧化速度遠大於未受損區。因此,各鰭片之間區域 中氧化物的形成較鳍片自身上氧化物的形成更快且更深。 85802 -15- 200411833 當在_純<:的較佳氧化條件下維持4q分鐘,兩種氧化 速度之比約為5··卜藉此可在讀片之間生成—具有足夠厚 度的氧化物,以使鰭片相互絕緣,而無需完全氧化掉績片: 此外’鰭片下的氧化生長可進一步絕緣韓片。具體而9言, 績片下的氧化生長將達成電晶體自身源極與沒極之間㈣ 良絕緣。若無此種絕、緣’則電流可能會在源極與汲極之間 的鰭片之下流過,此乃因該區域可能未由電晶體閘極完全 控制。應注意:在韓片下生長的氧化物未必需要在績片下 完全延伸才能提供電晶體源極與沒極之間的充分絕緣,縱 使在某些狀況下需要如此。 該步驟的另-態樣為:鰭片側壁上氧化物的生長可縮窄 鰭片上留存半導體材料之寬度。縮有鰭片可藉由改良閘極 所實施之電流控制而改良電晶體之效能。應注意:在許多 狀況下,需要鰭片窄於使用傳統微影技術精確圖案化之寬 度。為此,在許多狀況下將需要使用侧壁圖像轉移等圖像 加強技術來界定鰭片寬度。因此,本發明之具體實施例藉 由在各鰭片間形成絕緣過程中進一步縮窄鰭片寬度且無需 完全氧化掉鰭片而提供附加優點。 現在參見圖6,該圖闡述氧化作用已在各鰭片21〇間形成 絕緣214之後的晶圓部分2〇〇。此外,氧化作用已在鰭片21〇 側壁上形成氧化物2 1 6。由於各鰭片之間的基板區域已在氧 化之前受到損壞,因此各鰭片間的氧化物生長速度遠大於 靖片侧壁等其他區域中的氧化物生長速度。另外,在鳍片 210上形成氧化物216可進一步縮窄鰭片寬度。 85802 -16- 200411833 重新參見圖卜在下一步驟114中清除非吾人所樂見之氧 化物並製造完成鳍式場效電晶體裝置。由於形成讀片侧 壁上的氧化物遠薄於形成於各鰭片間的氧化物,因:既可 移除側壁上的氧化物,亦可同時在各鰭片間留存足夠的絕 緣氧化物。此外,在鰭片側壁上形成氧化物可進_步縮窄 鰭片自身寬度。 在已界定鰭片並已形成絕緣之後,接下來即可製造完成 靖式場效電晶體。如上文料,所述方法可應用於任一類 型的鳍式場效電晶體製造過程。現在將簡要闇述—會例性 製程’但熟習此項技藝者將可知曉:亦可使用其他適當製 程。 該實例性製程的第一步驟係接雜鰭片。通常,並可包括 對鳍片實施離子植人,從而製成p_井區結構和n井區結構。 在本發明之C刪技術中,P_井區結構和料區結構的製成 可谷許將NFET與PFET整合於—公共基板中。舉例而言, 鱗,和銻非常適料PFET井區,而硼、銦和鎵則非常適 用1於NFET井區。離子植人通常設計達到介於例如 cm 土 5 X 10 cm之濃度。在一具體實施例中,離子植 入可包括向半導體層暴露的對置垂直側壁實施傾斜植入, 且將用於正確摻雜鰭片。 85802 在下一步驟中將製成閘極堆疊。其可包括在鰭片中的對 =垂直侧壁和對置端壁上製成閘極絕緣層。閑極絕緣層可 精:熱氧化作用(通常在75()_8⑼㉟沉條件下)製成,或 者可藉由沉積—介電膜製成。為本發明示例之目的,閘極 17- 200411833 一氮氧化物 絕緣層可為該項技藝領域中眾所周知的Si〇2 材料、一高κ值介電材料或其組合物。 在下-步驟中製成覆蓋於閘極絕緣層上的閘極導體芦。 閘極導體層可為任意適當導電材料,㉟常為一多晶: 料’但是非晶態碎、-非晶詩與多晶梦之組合物、日多晶 石夕-鍺或任意其他適當材料亦可用於製成閘極導體層。: 外’在本發明某些具體實施例中,使用一金屬閘極導鹘層 (例如m戈任意其他難、溶金屬)或者一包括添加有^ 或鈷的多晶矽的矽化閘極導體層可能較佳。當閘極導體層 為一矽材料時,其可沉積作為一摻雜層(原位置摻雜)。當閘 極導體層為一金屬層時,該等層可使用物理蒸氣或化學蒸 氣沉積方法或任意其他此項技藝中眾所習知之技術來沉 和。藉由此種方式,閘極結構可毗鄰於在由半導體層部分 製成的鰭片對置垂直侧壁上製成的氧化物層而製成。 在下一步驟中圖案化閘極導體層和閘極絕緣層。其通常 I曰由’儿和並圖案化一硬光罩膜來達成。通常,硬光罩膜材 料可為一氧化矽或四氮化三矽。因此,可以採用眾所習知 <微影和餘刻技術來圖案化並結構化閘極導體層,藉以製 成閉極堆登’意即在閘極導體層的方向性蚀刻過程中,使 用硬光罩覆蓋膜作為蝕刻掩膜。其涉及選擇性地自上而下 和除部分閘極導體層,直至絕緣層,但並不移除形成硬光 罩膜所保護的鳍片的半導體層部分。因此,鰭片可延伸超 出問極堆疊。圖案化和結構化製程亦留存可界定毗鄰於鰭 片本體的閘極結構的閘極導體層部分。 85802 - 18- 200411833 在下一步驟中’使用源極/沒極植入劑摻雜鳍片的暴露部 分。源極/汲極區域可採用多種已開發用於製成源極/汲極區 域且適合具體效能要求的方法中任一種方法製成。丑有畔 多種用於製成源極/汲極區域且具有不同複雜度的方法。因 此’在本發明的某些具體實施例中,使用離子植入法例如 可製成輕度按雜的源極/;:及極區域或其他源極/汲極區域。因 此,對於NFET,通常採用例如磷、坤或銻作為源極/汲極植 入劑,其介於1至5千電子伏特範圍内且劑量為5 χ 1〇u至2 Xl〇15cm_3。同理,對於PFET,則通常採用例如硼、銦或鎵 作為源極/汲極植入劑,其介於〇乃至3千電子伏特範圍内且 劑量為 5 X 1〇14至 2X l〇15cm_3。 視需要,亦可製成用於改良短通道效應(SCE)的延展植入 劑及暈圈植人劑。對SNFET’通常可使用·、銦或嫁作為 暈1圈植入劑,其能量範圍為5至15千電子伏特且劑量為丄: 1〇13至8x1(^cm-3。同理,對於ρρΕτ,可使用磷、坤或銻 作為暈圈植入劑’其能量範圍為2〇至45千電子伏特且劑量 為 1 X 1013至 8X l〇13cm·3。 1 為製造完成鮮裝置,可隨後製成至源極、&極和間杯 :::點。因此’通常可採用一CMp製程沉積並平面化一電 ,質。爾後可採用-各向異性製程(例如RIE)或類似製程: 結構化並蝕刻接點孔。接點孔可使用任意導電材料埴充, 例如摻雜多晶梦、珍化物(例切化鵁)、金屬(例如金、、銘、 H *、飲、銅或IT0(銦錫氧化物))或類似材料, 材料以蒸發、濺射或其他已知 人 85802 I、㈣〈技*沉積,藉以製成S/D接 -19 - 200411833 點。爾後可使用—RIE製程或類似製程來沉積並結構化第 鑲嵌製程流程來 金屬層。或者,第-金屬層可按照一金屬 結構化。 現^參見圖7,該圖闡述位於晶圓部分200上的已製造完 畢的實例性鰭式場效電晶體裝置。其展示—具有最低複雜 :的本發明具體實施例。閘極絕緣層22〇製成於鰭片η。的 每i、'J面及鰭片白勺對置端壁上。閘極222製成於閘極絕緣層 ⑽和硬光罩膜224之上。在該特定具體實施例中,問極導 體層222部分亦連續跨過鰭片的兩側,而在其他具體實施例 中’遠閘極導體層分為兩部分。 位万、同一基板上且使用不同晶體平面作為F]gT電流通道 勺本1月CMOS鰭式場效電晶體可用於許多不同種類的電 路中,例如高效能邏輯電路、低功率邏輯電路或高密度記 憶體裝置’包括高密度數十億位元級⑽滿。此外, 鰭式場效電晶體可方便地組合其他元件,例如電容器、電 阻為、一極體、記憶單元等等。 本發明由此提供-種裝置結構及已克服先前技術眾多缺 點的鰭式場效電晶體(FET)製成方法。具體而言,該方法有 利於自體型半導體晶圓製成鰭式場效電晶體裝置,並可改 良鰭片高度控制。此外,該方法提供自體型矽製成鰭式場 效電晶體的能力,⑽提供各鰭片之間及單個鳍式場效電 晶體之源極區域與汲極區域間的絕緣。本發明之裝置結= 及万法由此提供使用成本有效的體型晶圓達 的韓式場效電晶體製造之優點。本文所提及之具體實掩例 200411833 習==地解釋本發明及其實際應用 項:::者::者:可實施和使用本發明。然而,凡熟習: 的^ I 以說明及示例僅料_和示例之目 所揭=並非意欲作為窮盡性說明或將本發明限制為 化:“形式。根據上又說明’可作出眾多修改和變 、並未背離以下中請專利範圍之精神及範_。因此, 除另有說明外,附圖或本文所述的本發明任何元件皆以可 =件的-實例形式而非一限定形式給出。同樣,除另有 況二外’本又所述本發明方法的任何步驟或步驟順序皆以 可能步驟或步驟順序的實例形式而非限定形式給出。 【圖式簡單說明】 上文結合附圖闡述本發明之較佳實例性具體實施例,其 中相同標識表示相同的元件,且 圖1為一闡釋本發明一製造方法之流程圖; 圖2 7為在圖1所示製造方法過程中,本發明一半導體锋 構之一具體實施例之剖面侧視圖。 【圖式代表符號說明】 用於製成鳍式場效電晶體的本發明的實例性方 法 101 102 104 106 提供體型半導體晶圓 沉積硬光罩阻擋層,沉積硬光罩覆蓋層 圖案化硬光罩阻擋層及硬光罩覆蓋層以製成鰭 片圖案 在半導體晶圓中製成高度控制層 85802 -21 - 200411833 108 使用高度控制層圖案化半導體晶圓以製成鰭 片,藉以控制鰭片高度 110 損壞各鰭片之間的晶圓 112 氧化晶圓 114 移除非吾人所樂見之氧化物,並製造完成鰭式 場效電晶體裝置 200 一實例性晶圓部分 202 硬光罩覆蓋層 204 硬光罩阻擂層 210 鰭片 212 基板受損部分 214 絕緣 216 氧化物 220 閘極絕緣層 222 閘極 224 硬光罩膜 85802 -22-

Claims (1)

  1. 200411833 拾、申請專利範圍: 1· 一種用於在半導體基板中製成一鰭式場效電晶體之方 法’該方法包括下列步騾: 自π亥半導體基板製成一績片;及 對孩基板貫施一可進一步界定該鰭片寬度且同時絕緣 該鰭片的製程。 2.根據申請專利範圍第之方法’其中,,對該基板實施一 可進步界定該鰭片寬度且同時絕緣該鰭片的製程”之 步驟包括: 損壞鄰接該鰭片的半導體基板區域之至少一部分;及 乳化Μ半導體基板,使形成於該半導體基板受損部分 中的氧化物厚於形成於一鳍片側壁上的氧化物。 根據申巧專利範圍第2項之方法,其中”損壞鄰接該績片 的半導體基板之至少一部分,,之步驟包括:向鄰接該績片 的半導體基板之至少一#分實施一#子植入。 4. «申請專利範圍第3項之方法,其中該離子植入包括: 實質上平行於該績片實施_植人,以最小化對該錯片侧 壁的損壞。 5·根據申請專利範圍第3項之方法,其進一步包括在該績片 6 ^ ^^ 阻擋層以減小對該鰭片的損壞之步驟。 •根據申請專利範圍第2項之方法,其中,,損壞鄰接該鰭片 的半導體基板之至少一部分,,之步驟包括:實施一陽極反 應以提高鄰接該鰭片的半導體基板之至少一部分的孔隙 85802 200411833 7·根據"青專利範圍第6項之方法,其中,,實施一陽極反應 以提高鄰接該鳍片的半導體基板之至少一部分的孔隙度 ’’〈步驟包括:對眺鄰該鰭片的半導體基板實施一 ρ型植 入’使薇半I體基板退火’錢半導體基板之至少一部 分接受一化學蝕刻劑作用。 8.根據申請專利範圍第β之方法,其中,,自該半導體基板 製成一鰭片”之步驟包括: 在該半導體基板中製成一高度控制層;及 蚀刻該半導體基板以界定該績片,從而使該高度控制 層有利於達成鰭片高度均句度。 9·根射請㈣_第8項之方法,其中,,在該半導體基板 中製成-间度控制層"之步驟包括:對該半導體基板實施 -可損壞該基板之離子植人,藉以使該基板受損部分之 蝕刻速度相對於該基板未受損部分發生改變。 10.根據中請專利範圍第8項之方法,其中”在該半導體基板 中製成㈤度te制層”〈步驟包括:在該半導體基板中製 成心,己層’且其中"!虫刻該半導體基板以界定該績片, 從而使該高度控制層有利於達成鰭片高度均句度”之步 4*包括纟邊半導體基板飿刻過程中監測該標記層。 根據申請專利範圍第β之方法,其中,,對該基板實施一 可進一步界定該鰭片寬度且同時絕緣該鰭片㈣程,,之 步鰭片見度縮窄至小^ —用於”自該半導體基 板製成-鰭片”之步驟的製程的最小形體尺寸。 12·根據f請專利範圍第β之方法,其中”對該基板實施一 85802 -2- 200411833 ^ ν η太该鳍片寬度且同時絕緣該鰭片的製程,,之 步驟可將該鳍片寬度縮窄至小^ -用於”自該半導體基 板製成一鳍片”之步驟的製程的最小形體尺寸。 & 土 13·:種在半導體基板中製成—鰭式場效電晶體之方法,該 方法包括下列步驟·· 自為半;隨基板製成一包括一鰭片側壁的鰭片,該鰭 , 片々製成使毗鄰於該鰭片的該半導體基板區域暴露出 和 來; 口 損壞都接該鰭片的半導體基板區域之至少一部分丨及% 氧化孩半導體基板,使形成於該半導體基板受損部分 中的氧化物厚於形成於該鳍片側壁上的氧化物。 14·根據巾請專利範圍第13項之方法,其中,,損壞鄰接該錯片 的半導體基板之至少一部分”之步驟包括:對鄰接該鰭片 的半導體基板之該至少一部分實施一離子植入。 15·根據申請專利範圍第13項之方法,其中,,損壞鄰接該鰭片 的半導體基板之至少一部分”之步驟包括:實施一陽極反 φ 應,以提高鄰接該鰭片的半導體基板之至少一部分之孔 隙度。 , 16·根據申請專利範圍第15項之方法,其中"實施一陽極反 應’以提高鄰接該鰭片的半導體基板之至少一部分之孔 隙度”之步驟包括:對鄰接該鰭片的半導體基板實施一 ρ 型植入,使該半導體基板退火,並使該半導體基板之至 少一邵分接受一化學蝕刻劑作用。 1 7 ·根據申請專利範圍第13項之方法,其中”自該半導體基板 85802 200411833 製成一鰭片’’之步驟包括: 在該半導體基板中製成—高度控制層;及 蝕刻该半導體基板以界定該鰭片,從而使該高度控制 層有利於達成鰭片高度均勻度。 18. 根據申請專利範圍第17項之方法,其中,,在該半導體基板 中製成一 1¾度控制層"之步驟包括:對該半導體基板實施 一可拍壞该基板之離子植入,藉以使基板受損部分之蝕 刻速度相對於基板未受損部分發生改變。 19. 根據申請專利範圍第17項之方法,其中,,在該半導體基板 中製成一高度控制層”之步驟包括:在該半導體基板中製 成一標記層,且其中”钱刻該半導體基板以界定該鰭片, 從而使該高度控制層有利於達成鰭片高度均勻度,,之步 驟包括:在蝕刻該半導體基板過程中監測該標記層。 20. 一種自一體型半導體基板製成鰭式場效電晶體裝置之方 法,該方法包括下列步驟: 在該半導體基板中製成一高度控制層; 在該半導體基板上製成一阻擋硬光罩層; 姓刻該阻撞硬光罩層及半㈣基板,以界定複數㈣ 片,從而使該高度控制層有利於達成鰭片高戶均勻产 其中該阻擋硬光罩層的-部分留存㈣複數^鳍片^ —鳍片上,且其中該複數個鰭片中的每—鰭片比勺括— :壁’且其中電半導體基板可暴露出二鄭二片: 半導體基板區域; 85802 損壞鄰接該鰭片的半導體基板區域之至 少一部分; -4- 200411833 氧化該半導體基板,從而使形成於該半導體基板受損 部分中的氧化物厚於形成於該鰭片側壁上的氧化物;及 自該鰭片側壁移除氧化物,同時留存鄰接該鰭片的氧 化物之至少一部分。 85802
TW092114901A 2002-06-03 2003-06-02 Fin FET devices from bulk semiconductor and method for forming TWI235457B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/063,994 US6642090B1 (en) 2002-06-03 2002-06-03 Fin FET devices from bulk semiconductor and method for forming

Publications (2)

Publication Number Publication Date
TW200411833A true TW200411833A (en) 2004-07-01
TWI235457B TWI235457B (en) 2005-07-01

Family

ID=29268594

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092114901A TWI235457B (en) 2002-06-03 2003-06-02 Fin FET devices from bulk semiconductor and method for forming

Country Status (11)

Country Link
US (1) US6642090B1 (zh)
EP (1) EP1532659B1 (zh)
JP (1) JP4425130B2 (zh)
KR (1) KR100702553B1 (zh)
CN (1) CN1296991C (zh)
AT (1) ATE500610T1 (zh)
AU (1) AU2003237320A1 (zh)
DE (1) DE60336237D1 (zh)
IL (1) IL165546A0 (zh)
TW (1) TWI235457B (zh)
WO (1) WO2003103019A2 (zh)

Families Citing this family (247)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6815268B1 (en) * 2002-11-22 2004-11-09 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device
US6720619B1 (en) * 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
US7087499B2 (en) * 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
US6762483B1 (en) * 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US6787854B1 (en) * 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6872647B1 (en) * 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US6756643B1 (en) 2003-06-12 2004-06-29 Advanced Micro Devices, Inc. Dual silicon layer for chemical mechanical polishing planarization
US7005330B2 (en) * 2003-06-27 2006-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
KR100521382B1 (ko) * 2003-06-30 2005-10-12 삼성전자주식회사 핀 전계효과 트랜지스터 제조 방법
US6812119B1 (en) * 2003-07-08 2004-11-02 Advanced Micro Devices, Inc. Narrow fins by oxidation in double-gate finfet
US7078742B2 (en) 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US7301206B2 (en) * 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US6787476B1 (en) * 2003-08-04 2004-09-07 Advanced Micro Devices, Inc. Etch stop layer for etching FinFET gate over a large topography
US7714384B2 (en) * 2003-09-15 2010-05-11 Seliskar John J Castellated gate MOSFET device capable of fully-depleted operation
KR100555518B1 (ko) * 2003-09-16 2006-03-03 삼성전자주식회사 이중 게이트 전계 효과 트랜지스터 및 그 제조방법
US6970373B2 (en) * 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
JP3863516B2 (ja) * 2003-10-03 2006-12-27 株式会社東芝 半導体装置及びその製造方法
DE10348007B4 (de) * 2003-10-15 2008-04-17 Infineon Technologies Ag Verfahren zum Strukturieren und Feldeffekttransistoren
US7888201B2 (en) * 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7029958B2 (en) * 2003-11-04 2006-04-18 Advanced Micro Devices, Inc. Self aligned damascene gate
US7091566B2 (en) * 2003-11-20 2006-08-15 International Business Machines Corp. Dual gate FinFet
KR100585111B1 (ko) * 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
KR100518602B1 (ko) * 2003-12-03 2005-10-04 삼성전자주식회사 돌출된 형태의 채널을 갖는 모스 트랜지스터 및 그 제조방법
US6967175B1 (en) * 2003-12-04 2005-11-22 Advanced Micro Devices, Inc. Damascene gate semiconductor processing with local thinning of channel region
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
KR100513405B1 (ko) * 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
US7186599B2 (en) * 2004-01-12 2007-03-06 Advanced Micro Devices, Inc. Narrow-body damascene tri-gate FinFET
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
KR100526887B1 (ko) * 2004-02-10 2005-11-09 삼성전자주식회사 전계효과 트랜지스터 및 그의 제조방법
KR100526889B1 (ko) * 2004-02-10 2005-11-09 삼성전자주식회사 핀 트랜지스터 구조
KR100610496B1 (ko) * 2004-02-13 2006-08-09 삼성전자주식회사 채널용 핀 구조를 가지는 전계효과 트랜지스터 소자 및 그제조방법
JP2005236305A (ja) * 2004-02-20 2005-09-02 Samsung Electronics Co Ltd トリプルゲートトランジスタを有する半導体素子及びその製造方法
KR100585131B1 (ko) 2004-02-20 2006-06-01 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR100577565B1 (ko) * 2004-02-23 2006-05-08 삼성전자주식회사 핀 전계효과 트랜지스터의 제조방법
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
KR100532204B1 (ko) * 2004-03-04 2005-11-29 삼성전자주식회사 핀형 트랜지스터 및 이의 제조 방법
KR100584776B1 (ko) * 2004-03-05 2006-05-29 삼성전자주식회사 반도체 장치의 액티브 구조물 형성 방법, 소자 분리 방법및 트랜지스터 형성 방법
US7087471B2 (en) * 2004-03-15 2006-08-08 International Business Machines Corporation Locally thinned fins
US7701018B2 (en) * 2004-03-19 2010-04-20 Nec Corporation Semiconductor device and method for manufacturing same
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7262084B2 (en) * 2004-04-15 2007-08-28 International Business Machines Corporation Methods for manufacturing a finFET using a conventional wafer and apparatus manufactured therefrom
US7098477B2 (en) * 2004-04-23 2006-08-29 International Business Machines Corporation Structure and method of manufacturing a finFET device having stacked fins
US7564105B2 (en) * 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
KR100642632B1 (ko) 2004-04-27 2006-11-10 삼성전자주식회사 반도체소자의 제조방법들 및 그에 의해 제조된 반도체소자들
US7056773B2 (en) * 2004-04-28 2006-06-06 International Business Machines Corporation Backgated FinFET having different oxide thicknesses
US7084018B1 (en) * 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
KR20050108916A (ko) * 2004-05-14 2005-11-17 삼성전자주식회사 다마신 공정을 이용한 핀 전계 효과 트랜지스터의 형성 방법
KR100618827B1 (ko) * 2004-05-17 2006-09-08 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
DE102005022306B4 (de) * 2004-05-17 2009-12-31 Samsung Electronics Co., Ltd., Suwon Verfahren zum Herstellen einer Halbleitervorrichtung mit einem Fin-Feldeffekttransistor (FinFET)
KR100625175B1 (ko) * 2004-05-25 2006-09-20 삼성전자주식회사 채널층을 갖는 반도체 장치 및 이를 제조하는 방법
US7579280B2 (en) 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
JP4675585B2 (ja) * 2004-06-22 2011-04-27 シャープ株式会社 電界効果トランジスタ
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US6960509B1 (en) * 2004-06-30 2005-11-01 Freescale Semiconductor, Inc. Method of fabricating three dimensional gate structure using oxygen diffusion
KR100545863B1 (ko) 2004-07-30 2006-01-24 삼성전자주식회사 핀 구조물을 갖는 반도체 장치 및 이를 제조하는 방법
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7253493B2 (en) * 2004-08-24 2007-08-07 Micron Technology, Inc. High density access transistor having increased channel width and methods of fabricating such devices
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US6947275B1 (en) 2004-10-18 2005-09-20 International Business Machines Corporation Fin capacitor
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
TWI277210B (en) * 2004-10-26 2007-03-21 Nanya Technology Corp FinFET transistor process
KR100672826B1 (ko) * 2004-12-03 2007-01-22 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 제조방법
KR100614800B1 (ko) * 2004-12-10 2006-08-22 삼성전자주식회사 복수개의 돌출된 채널을 갖는 트랜지스터의 제조 방법
US7838367B2 (en) * 2004-12-28 2010-11-23 Nxp B.V. Method for the manufacture of a semiconductor device and a semiconductor device obtained through it
US7183142B2 (en) * 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
DE102005007822B4 (de) * 2005-02-21 2014-05-22 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Tunnel-Feldeffekttransistor
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7288805B2 (en) * 2005-02-24 2007-10-30 International Business Machines Corporation Double gate isolation
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US20060214233A1 (en) * 2005-03-22 2006-09-28 Ananthanarayanan Hari P FinFET semiconductor device
US7101763B1 (en) 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US7858481B2 (en) * 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7268397B2 (en) * 2005-06-21 2007-09-11 International Business Machines Corporation Thermal dissipation structures for finfets
KR100728957B1 (ko) * 2005-06-30 2007-06-15 주식회사 하이닉스반도체 돌기형 트랜지스터 제조방법
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7288802B2 (en) * 2005-07-27 2007-10-30 International Business Machines Corporation Virtual body-contacted trigate
US7381649B2 (en) 2005-07-29 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for a multiple-gate FET device and a method for its fabrication
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7268379B2 (en) * 2005-09-05 2007-09-11 Macronix International Co., Ltd Memory cell and method for manufacturing the same
US7381655B2 (en) * 2005-09-14 2008-06-03 International Business Machines Corporation Mandrel/trim alignment in SIT processing
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US20070102756A1 (en) * 2005-11-10 2007-05-10 Bohumil Lojek FinFET transistor fabricated in bulk semiconducting material
US7547947B2 (en) * 2005-11-15 2009-06-16 International Business Machines Corporation SRAM cell
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7402856B2 (en) * 2005-12-09 2008-07-22 Intel Corporation Non-planar microelectronic device having isolation element to mitigate fringe effects and method to fabricate same
US7439588B2 (en) * 2005-12-13 2008-10-21 Intel Corporation Tri-gate integration with embedded floating body memory cell using a high-K dual metal gate
KR100763330B1 (ko) * 2005-12-14 2007-10-04 삼성전자주식회사 활성 핀들을 정의하는 소자분리 방법, 이를 이용하는반도체소자의 제조방법 및 이에 의해 제조된 반도체소자
US7512017B2 (en) * 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US7396711B2 (en) 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US20070148926A1 (en) * 2005-12-28 2007-06-28 Intel Corporation Dual halo implant for improving short channel effect in three-dimensional tri-gate transistors
US7410844B2 (en) * 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
US7264743B2 (en) * 2006-01-23 2007-09-04 Lam Research Corporation Fin structure formation
KR20070090375A (ko) * 2006-03-02 2007-09-06 삼성전자주식회사 비휘발성 메모리 장치 및 그 형성 방법
US20070235763A1 (en) * 2006-03-29 2007-10-11 Doyle Brian S Substrate band gap engineered multi-gate pMOS devices
US7425500B2 (en) 2006-03-31 2008-09-16 Intel Corporation Uniform silicide metal on epitaxially grown source and drain regions of three-dimensional transistors
US7449373B2 (en) 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US7407847B2 (en) * 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
US7291564B1 (en) * 2006-04-28 2007-11-06 Hewlett-Packard Development Company, L.P. Method and structure for facilitating etching
US7422960B2 (en) 2006-05-17 2008-09-09 Micron Technology, Inc. Method of forming gate arrays on a partial SOI substrate
US7494933B2 (en) * 2006-06-16 2009-02-24 Synopsys, Inc. Method for achieving uniform etch depth using ion implantation and a timed etch
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7537994B2 (en) 2006-08-28 2009-05-26 Micron Technology, Inc. Methods of forming semiconductor devices, assemblies and constructions
US20080054361A1 (en) * 2006-08-30 2008-03-06 Infineon Technologies Ag Method and apparatus for reducing flicker noise in a semiconductor device
US7435683B2 (en) * 2006-09-15 2008-10-14 Intel Corporation Apparatus and method for selectively recessing spacers on multi-gate devices
US20080097346A1 (en) * 2006-09-19 2008-04-24 Alcon, Inc. Trocar cannula
US7700470B2 (en) 2006-09-22 2010-04-20 Intel Corporation Selective anisotropic wet etching of workfunction metal for semiconductor devices
KR100838378B1 (ko) * 2006-09-29 2008-06-13 주식회사 하이닉스반도체 핀트랜지스터의 제조 방법
KR100761354B1 (ko) * 2006-10-02 2007-09-27 주식회사 하이닉스반도체 다면채널을 갖는 반도체소자의 듀얼폴리게이트 및 그의형성 방법
US8772858B2 (en) 2006-10-11 2014-07-08 Macronix International Co., Ltd. Vertical channel memory and manufacturing method thereof and operating method using the same
US7811890B2 (en) * 2006-10-11 2010-10-12 Macronix International Co., Ltd. Vertical channel transistor structure and manufacturing method thereof
US7851848B2 (en) * 2006-11-01 2010-12-14 Macronix International Co., Ltd. Cylindrical channel charge trapping devices with effectively high coupling ratios
US7939403B2 (en) * 2006-11-17 2011-05-10 Micron Technology, Inc. Methods of forming a field effect transistors, pluralities of field effect transistors, and DRAM circuitry comprising a plurality of individual memory cells
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US7612405B2 (en) * 2007-03-06 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication of FinFETs with multiple fin heights
KR100861211B1 (ko) * 2007-04-12 2008-09-30 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7560785B2 (en) * 2007-04-27 2009-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having multiple fin heights
US7838923B2 (en) * 2007-08-09 2010-11-23 Macronix International Co., Ltd. Lateral pocket implant charge trapping devices
US20090057846A1 (en) * 2007-08-30 2009-03-05 Doyle Brian S Method to fabricate adjacent silicon fins of differing heights
WO2009044236A1 (en) * 2007-10-03 2009-04-09 Freescale Semiconductor, Inc. Method of forming an inverted t shaped channel structure for an inverted t channel field effect transistor device
US20090124097A1 (en) * 2007-11-09 2009-05-14 International Business Machines Corporation Method of forming narrow fins in finfet devices with reduced spacing therebetween
US20090256207A1 (en) * 2008-04-14 2009-10-15 International Business Machines Corporation Finfet devices from bulk semiconductor and methods for manufacturing the same
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
JP2012501545A (ja) * 2008-08-28 2012-01-19 エムイーエムシー・エレクトロニック・マテリアルズ・インコーポレイテッド 3次元マルチゲートmosfetの製造に有用であるバルクシリコンウェハー製品
US20100155801A1 (en) * 2008-12-22 2010-06-24 Doyle Brian S Integrated circuit, 1T-1C embedded memory cell containing same, and method of manufacturing 1T-1C memory cell for embedded memory application
US7999298B2 (en) * 2008-12-30 2011-08-16 Intel Corporation Embedded memory cell and method of manufacturing same
US8860124B2 (en) * 2009-01-15 2014-10-14 Macronix International Co., Ltd. Depletion-mode charge-trapping flash device
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) * 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US7871873B2 (en) * 2009-03-27 2011-01-18 Global Foundries Inc. Method of forming fin structures using a sacrificial etch stop layer on bulk semiconductor material
US8957482B2 (en) * 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US7855105B1 (en) * 2009-06-18 2010-12-21 International Business Machines Corporation Planar and non-planar CMOS devices with multiple tuned threshold voltages
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8105901B2 (en) * 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8187928B2 (en) 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US9484462B2 (en) * 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8759943B2 (en) * 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8114721B2 (en) * 2009-12-15 2012-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thickness in forming FinFET devices
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8202780B2 (en) * 2009-07-31 2012-06-19 International Business Machines Corporation Method for manufacturing a FinFET device comprising a mask to define a gate perimeter and another mask to define fin regions
US8039326B2 (en) * 2009-08-20 2011-10-18 Globalfoundries Inc. Methods for fabricating bulk FinFET devices having deep trench isolation
US9257325B2 (en) * 2009-09-18 2016-02-09 GlobalFoundries, Inc. Semiconductor structures and methods for forming isolation between Fin structures of FinFET devices
US8101486B2 (en) * 2009-10-07 2012-01-24 Globalfoundries Inc. Methods for forming isolated fin structures on bulk semiconductor material
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US8227304B2 (en) 2010-02-23 2012-07-24 International Business Machines Corporation Semiconductor-on-insulator (SOI) structure and method of forming the SOI structure using a bulk semiconductor starting wafer
US8420476B2 (en) 2010-05-27 2013-04-16 International Business Machines Corporation Integrated circuit with finFETs and MIM fin capacitor
CN102347349B (zh) * 2010-07-28 2014-07-23 中国科学院微电子研究所 半导体结构及其制作方法
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
CN102456734B (zh) * 2010-10-29 2015-06-10 中国科学院微电子研究所 半导体结构及其制作方法
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US20140193963A1 (en) * 2011-05-16 2014-07-10 Varian Semiconductor Equipment Associates, Inc. Techniques For Forming 3D Structures
US9240350B2 (en) * 2011-05-16 2016-01-19 Varian Semiconductor Equipment Associates, Inc. Techniques for forming 3D structures
US8597994B2 (en) 2011-05-23 2013-12-03 GlobalFoundries, Inc. Semiconductor device and method of fabrication
US8460984B2 (en) * 2011-06-09 2013-06-11 GlobalFoundries, Inc. FIN-FET device and method and integrated circuits using such
US8466028B2 (en) 2011-06-30 2013-06-18 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing multigate device
US8697522B2 (en) * 2011-07-05 2014-04-15 International Business Machines Corporation Bulk finFET with uniform height and bottom isolation
US9287385B2 (en) * 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
CN103000517B (zh) * 2011-09-09 2016-02-10 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US9105661B2 (en) * 2011-11-03 2015-08-11 Taiwan Semconductor Manufacturing Company, Ltd. Fin field effect transistor gate oxide
CN113540080A (zh) 2011-12-22 2021-10-22 英特尔公司 具有颈状半导体主体的半导体器件以及形成不同宽度的半导体主体的方法
US8881066B2 (en) * 2011-12-29 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mandrel modification for achieving single fin fin-like field effect transistor (FinFET) device
US8377779B1 (en) * 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US9117877B2 (en) * 2012-01-16 2015-08-25 Globalfoundries Inc. Methods of forming a dielectric cap layer on a metal gate structure
US8946027B2 (en) 2012-02-07 2015-02-03 International Business Machines Corporation Replacement-gate FinFET structure and process
US8354320B1 (en) * 2012-02-09 2013-01-15 Globalfoundries Inc. Methods of controlling fin height of FinFET devices by performing a directional deposition process
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN102832133B (zh) * 2012-08-29 2014-12-03 北京大学 在体硅上制备独立双栅FinFET的方法
US9093376B2 (en) 2012-10-24 2015-07-28 International Business Machines Corporation Replacement metal gate FinFET
US9263585B2 (en) * 2012-10-30 2016-02-16 Globalfoundries Inc. Methods of forming enhanced mobility channel regions on 3D semiconductor devices, and devices comprising same
US8987790B2 (en) 2012-11-26 2015-03-24 International Business Machines Corporation Fin isolation in multi-gate field effect transistors
US9059242B2 (en) 2012-11-27 2015-06-16 International Business Machines Corporation FinFET semiconductor device having increased gate height control
US8835262B2 (en) * 2013-01-08 2014-09-16 Globalfoundries Inc. Methods of forming bulk FinFET devices by performing a recessing process on liner materials to define different fin heights and FinFET devices with such recessed liner materials
US9190419B2 (en) * 2013-02-07 2015-11-17 International Business Machines Corporation Diode structure and method for FINFET technologies
US8940602B2 (en) * 2013-04-11 2015-01-27 International Business Machines Corporation Self-aligned structure for bulk FinFET
US8900934B2 (en) 2013-04-18 2014-12-02 International Business Machines Corporation FinFET devices containing merged epitaxial Fin-containing contact regions
CN104183486A (zh) * 2013-05-21 2014-12-03 中芯国际集成电路制造(上海)有限公司 一种FinFET半导体器件的制备方法
US9087869B2 (en) 2013-05-23 2015-07-21 International Business Machines Corporation Bulk semiconductor fins with self-aligned shallow trench isolation structures
US20140374807A1 (en) * 2013-06-19 2014-12-25 International Business Machines Corporation METHOD OF DEVICE ISOLATION IN CLADDING Si THROUGH IN SITU DOPING
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
FR3009647A1 (zh) * 2013-08-06 2015-02-13 St Microelectronics Sa
FR3009646A1 (zh) * 2013-08-06 2015-02-13 St Microelectronics Sa
US8951850B1 (en) 2013-08-21 2015-02-10 International Business Machines Corporation FinFET formed over dielectric
WO2015045207A1 (ja) * 2013-09-27 2015-04-02 パナソニック株式会社 半導体集積回路および半導体集積回路装置
US9224654B2 (en) 2013-11-25 2015-12-29 International Business Machines Corporation Fin capacitor employing sidewall image transfer
US9190466B2 (en) 2013-12-27 2015-11-17 International Business Machines Corporation Independent gate vertical FinFET structure
US9691763B2 (en) 2013-12-27 2017-06-27 International Business Machines Corporation Multi-gate FinFET semiconductor device with flexible design width
US9190328B2 (en) 2014-01-30 2015-11-17 International Business Machines Corporation Formation of fins having different heights in fin field effect transistors
US9059043B1 (en) * 2014-02-11 2015-06-16 International Business Machines Corporation Fin field effect transistor with self-aligned source/drain regions
US9985030B2 (en) 2014-04-07 2018-05-29 International Business Machines Corporation FinFET semiconductor device having integrated SiGe fin
CN105092324B (zh) * 2014-05-07 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种FinFET鳍片掺杂浓度分布的测量方法和测量样品制备方法
US9385123B2 (en) 2014-05-20 2016-07-05 International Business Machines Corporation STI region for small fin pitch in FinFET devices
US9312389B2 (en) * 2014-05-23 2016-04-12 Broadcom Corporation FinFET with undoped body bulk
WO2015199705A1 (en) * 2014-06-26 2015-12-30 Intel Corporation Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same
US9263587B1 (en) * 2014-09-04 2016-02-16 Globalfoundries Inc. Fin device with blocking layer in channel region
US9583625B2 (en) 2014-10-24 2017-02-28 Globalfoundries Inc. Fin structures and multi-Vt scheme based on tapered fin and method to form
CN105633152B (zh) 2014-11-05 2019-12-10 联华电子股份有限公司 半导体结构及其制作方法
US9614057B2 (en) * 2014-12-30 2017-04-04 International Business Machines Corporation Enriched, high mobility strained fin having bottom dielectric isolation
KR102274750B1 (ko) * 2015-01-27 2021-07-07 삼성전자주식회사 반도체 장치 제조 방법
US9590077B2 (en) 2015-05-14 2017-03-07 International Business Machines Corporation Local SOI fins with multiple heights
US9515089B1 (en) 2015-05-14 2016-12-06 International Business Machines Corporation Bulk fin formation with vertical fin sidewall profile
CN107683529B (zh) 2015-06-27 2021-10-08 英特尔公司 通过选择性氧化的多高度finfet器件
US9299924B1 (en) 2015-06-29 2016-03-29 International Business Machines Corporation Injection pillar definition for line MRAM by a self-aligned sidewall transfer
US9425313B1 (en) * 2015-07-07 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9362383B1 (en) 2015-09-17 2016-06-07 International Business Machines Corporation Highly scaled tunnel FET with tight pitch and method to fabricate same
US9508597B1 (en) * 2015-09-18 2016-11-29 Globalfoundries Inc. 3D fin tunneling field effect transistor
US9786563B2 (en) * 2015-11-23 2017-10-10 International Business Machines Corporation Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
US9627263B1 (en) 2015-11-30 2017-04-18 International Business Machines Corporation Stop layer through ion implantation for etch stop
US10466731B2 (en) * 2016-01-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Two-transistor bandgap reference circuit and FinFET device suited for same
US9786765B2 (en) * 2016-02-16 2017-10-10 Globalfoundries Inc. FINFET having notched fins and method of forming same
CN107591362B (zh) * 2016-07-06 2020-08-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9824934B1 (en) 2016-09-30 2017-11-21 International Business Machines Corporation Shallow trench isolation recess process flow for vertical field effect transistor fabrication
CN107919284B (zh) * 2016-10-10 2020-11-27 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10163914B2 (en) * 2017-03-08 2018-12-25 Globalfoundries Inc. Method of reducing fin width in FinFET SRAM array to mitigate low voltage strap bit fails
JP6330942B2 (ja) * 2017-03-08 2018-05-30 インテル・コーポレーション ドープサブフィン領域があるオメガフィンを有する非プレーナ型半導体デバイスおよびそれを製造する方法
CN108305835A (zh) * 2018-03-19 2018-07-20 中国科学院微电子研究所 一种鳍式晶体管器件的制造方法
US10304744B1 (en) * 2018-05-15 2019-05-28 International Business Machines Corporation Inverse tone direct print EUV lithography enabled by selective material deposition
CN109003902B (zh) * 2018-08-01 2021-07-27 中国科学院微电子研究所 一种半导体结构及其制备方法
US11302814B2 (en) * 2020-01-23 2022-04-12 Nanya Technology Corp. Semiconductor device with porous dielectric structure and method for fabricating the same
US11244901B2 (en) * 2020-02-12 2022-02-08 Nanya Technology Corporation Semiconductor device with graded porous dielectric structure

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4437226A (en) * 1981-03-02 1984-03-20 Rockwell International Corporation Process for producing NPN type lateral transistor with minimal substrate operation interference
US4580331A (en) * 1981-07-01 1986-04-08 Rockwell International Corporation PNP-type lateral transistor with minimal substrate operation interference and method for producing same
US4361600A (en) * 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4502913A (en) * 1982-06-30 1985-03-05 International Business Machines Corporation Total dielectric isolation for integrated circuits
FR2554638A1 (fr) * 1983-11-04 1985-05-10 Efcis Procede de fabrication de structures integrees de silicium sur ilots isoles du substrat
US4764799A (en) * 1985-05-28 1988-08-16 International Business Machines Corporation Stud-defined integrated circuit structure
US4648173A (en) * 1985-05-28 1987-03-10 International Business Machines Corporation Fabrication of stud-defined integrated circuit structure
JPH0779133B2 (ja) * 1986-06-12 1995-08-23 松下電器産業株式会社 半導体装置の製造方法
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
US5595926A (en) * 1994-06-29 1997-01-21 Industrial Technology Research Institute Method for fabricating a DRAM trench capacitor with recessed pillar
US5675164A (en) * 1995-06-07 1997-10-07 International Business Machines Corporation High performance multi-mesa field effect transistor
JP3158973B2 (ja) * 1995-07-20 2001-04-23 富士電機株式会社 炭化けい素縦型fet
US5963789A (en) * 1996-07-08 1999-10-05 Kabushiki Kaisha Toshiba Method for silicon island formation
US5691230A (en) * 1996-09-04 1997-11-25 Micron Technology, Inc. Technique for producing small islands of silicon on insulator
US6177699B1 (en) * 1998-03-19 2001-01-23 Lsi Logic Corporation DRAM cell having a verticle transistor and a capacitor formed on the sidewalls of a trench isolation
US6034417A (en) * 1998-05-08 2000-03-07 Micron Technology, Inc. Semiconductor structure having more usable substrate area and method for forming same
US6110793A (en) * 1998-06-24 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for making a trench isolation having a conformal liner oxide and top and bottom rounded corners for integrated circuits
JP3144387B2 (ja) * 1998-08-17 2001-03-12 日本電気株式会社 半導体装置の製造方法
EP1091413A3 (en) * 1999-10-06 2005-01-12 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
JP2002151688A (ja) * 2000-08-28 2002-05-24 Mitsubishi Electric Corp Mos型半導体装置およびその製造方法
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed

Also Published As

Publication number Publication date
KR100702553B1 (ko) 2007-04-04
IL165546A0 (en) 2006-01-15
AU2003237320A1 (en) 2003-12-19
US6642090B1 (en) 2003-11-04
JP2005528793A (ja) 2005-09-22
EP1532659A2 (en) 2005-05-25
EP1532659A4 (en) 2005-12-14
WO2003103019A3 (en) 2004-03-18
JP4425130B2 (ja) 2010-03-03
EP1532659B1 (en) 2011-03-02
KR20050003401A (ko) 2005-01-10
CN1653608A (zh) 2005-08-10
DE60336237D1 (de) 2011-04-14
CN1296991C (zh) 2007-01-24
WO2003103019A2 (en) 2003-12-11
AU2003237320A8 (en) 2003-12-19
TWI235457B (en) 2005-07-01
ATE500610T1 (de) 2011-03-15

Similar Documents

Publication Publication Date Title
TW200411833A (en) Fin FET devices from bulk semiconductor and method for forming
JP6211673B2 (ja) トリゲート・デバイス及び製造方法
KR100445923B1 (ko) 장치의 분리 영역 형성 이후에 규소의 선택적 에피택셜증착을 사용하는, 변형 규소 씨엠오에스 구조물의 제조 방법
US6855588B1 (en) Method of fabricating a double gate MOSFET device
TWI277210B (en) FinFET transistor process
TWI302362B (en) A novel structure for a multiple-gate fet device and a method for its fabrication
TWI390666B (zh) 絕緣體上半導體裝置之製造方法
US7485510B2 (en) Field effect device including inverted V shaped channel region and method for fabrication thereof
US6656824B1 (en) Low resistance T-gate MOSFET device using a damascene gate process and an innovative oxide removal etch
TWI281257B (en) Quasi-planar and FinFET-like transistors on bulk silicon
TW200807567A (en) Electronic device and method for forming the same
TW508825B (en) Semiconductor device and process of manufacturing the same
JP3049496B2 (ja) Mosfetの製造方法
KR100282453B1 (ko) 반도체 소자 및 그 제조방법
TW200423401A (en) Nitride-encapsulated FET (NNCFET)
TW200411778A (en) Short channel transistor fabrication method for semiconductor device
TW471034B (en) Method of forming lightly doped drain and source/drain for field effect transistor
KR940002402B1 (ko) 자체 정열된 게이트 트랜치(gate trench) MOSFET 제조방법
KR100501542B1 (ko) 반도체 소자의 트랜지스터 제조 방법
KR100687849B1 (ko) 반도체 메모리 소자의 제조방법
JPH10200098A (ja) 半導体装置およびその製造方法
JP2000294773A (ja) 半導体装置及びその製造方法
KR20020051504A (ko) 반도체소자의 콘택 형성 방법
JP2002217411A (ja) 半導体装置の製造方法
JPS61119076A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent