KR960012327A - 반도체 디바이스 및 그 제조 방법 - Google Patents

반도체 디바이스 및 그 제조 방법 Download PDF

Info

Publication number
KR960012327A
KR960012327A KR1019950028065A KR19950028065A KR960012327A KR 960012327 A KR960012327 A KR 960012327A KR 1019950028065 A KR1019950028065 A KR 1019950028065A KR 19950028065 A KR19950028065 A KR 19950028065A KR 960012327 A KR960012327 A KR 960012327A
Authority
KR
South Korea
Prior art keywords
insulating film
concentration
titanium
semiconductor device
fluorine
Prior art date
Application number
KR1019950028065A
Other languages
English (en)
Other versions
KR0172205B1 (ko
Inventor
다다시 마쯔노
Original Assignee
사또 후미오
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 사또 후미오, 가부시끼가이샤 도시바 filed Critical 사또 후미오
Publication of KR960012327A publication Critical patent/KR960012327A/ko
Application granted granted Critical
Publication of KR0172205B1 publication Critical patent/KR0172205B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/915Active solid-state devices, e.g. transistors, solid-state diodes with titanium nitride portion or region

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 디바이스는 기판, 기판 상에 형성되고 실리콘-플로오르 결합을 포함하는 절연막; 및 절연막 상에 형성되고 절연막으로부터 확산되고 1 × 1020atoms/ cm3이하의 플루오르 농도를 갖고 있는 플루오르를 포함하는 티타늄계 금속 배선층을 포함한다.

Description

반도체 디바이스 및 그 제조방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제3도는 본 발명의 제1실시예에 따른 반도체 디바이스의 주요 부분을 도시하는 단면도.

Claims (20)

  1. 기판; 상기 기판 상에 형성되고 실리콘-플루오르 결합(silicon-fluorine bonds)을 포함하는 절연막; 및 상기 절연막 상에 형성되고, 상기 절연막으로부터 확산되며 1 × 1020atoms/cm3이하의 플루오르 농도를 갖고 있는 플루오르를 포함하는 티타늄계 금속 배선층을 포함하는 것을 특징으로 하는 반도체 디바이스.
  2. 제1항에 있어서, 상기 절연막의 플루오르 농도는 막 두께 방향으로 거의 균일한 것을 특징으로 하는 반도체 디바이스.
  3. 제1항에 있어서, 상기 절연막의 플루오르 농도는 막 두께 방향으로 상기 티타늄계 금속 배선층으로부터 멀리 떨어진 거리에 따라 더 높게 되는 것을 특징으로 하는 반도체 디바이스.
  4. 제1항에 있어서, 상기 절연막은 상기 절연막의 플루오르 농도가 1 × 1021atoms/cm3이상인 영역을 갖고 있는 것을 특징으로 하는 반도체 디바이스.
  5. 제1항에 있어서, 상기 절연막은 단일층막으로 이루어지는 것을 특징으로 하는 반도체 디바이스.
  6. 제1항에 있어서, 상기 절연막은 적층막으로 이루어지는 것을 특징으로 하는 반도체 디바이스.
  7. 제1항에 있어서, 상기 티타늄계 금속 배선층은 티타늄 질화물을 포함하는 것을 특징으로 하는 반도체 디바이스.
  8. 기판; 상기 기판 상에 형성되고 실리콘-플루오르 결합을 포함하는 절연막; 상기 절연막 상에 형성된 티타늄계 금속 배선층; 및 상기 절연막과 상기 티타늄계 금속 배선층 사이에 형성되고 적어도 티타늄, 실리콘 및 산소를 포함하는 반응층을 포함하고, 상기 반응층은 막 두께 방향으로 적어도 상기 반응층의 중심 부분에 실리콘 농도 및 산소 농도에 대한 티타늄 농도의 비율이 각각 1 이하인 영역을 갖고 있는 것을 특징으로 하는 반도체 디바이스.
  9. 제8항에 있어서, 상기 금속 배선층 상에 형성된 본딩 패드를 더 포함하고, 실리콘 농도 및 산소 농도에 대한 티타늄 농도의 비율이 1 이하인 상기 반응층의 상기 영역이 상기 본딩 패드 아래에 형성되는 것을 특징으로 하는 반도체 디바이스.
  10. 제8항에 있어서, 실리콘 농도 및 산소 농도에 대한 티타늄 농도의 비율이 1 이하인 상기 반응층의 상기 영역에서의 플루오르 농도, 및 티타늄계 금속 배선층의 플루오르 농도는 1 × 1020atoms/cm3이하인 것을 특징으로 하는 반도체 디바이스.
  11. 제8항에 있어서, 실리콘 농도 및 산소 농도에 대한 티타늄 농도의 비율이 1 이하인 상기 반응층의 상기 영역의 평균 막 두께는 3.5 nm 이하인 것을 특징으로 하는 반도체 디바이스.
  12. 제8항에 있어서, 실리콘 농도 및 산소 농도에 대한 티타늄 농도의 비율이 1 이하인 상기 반응층의 상기 영역의 바로 아래에 형성된 플루오르 확산 억제막을 더 포함하는 것을 특징으로 하는 반도체 디바이스.
  13. 제12항에 있어서, 상기 플루오르 확산 억제막은 실리콘, 실리콘 질화물, 실리콘 산화물, 금속 및 이들 물질의 적층 부재로 이루어진 그룹으로부터 선택된 임의의 한 물질로 구성되는 것을 특징으로 하는 반도체 디바이스.
  14. 제8항에 있어서, 실리콘 농도 및 산소 농도에 대한 티타늄 농도의 비율이 1 이하인 상기 반응층의 상기 영역 바로 아래에 형성된 상기 절연막의 막 두께는 상기 영역이외의 상기 반응층 바로 아래에 형성된 상기 절연막의 막 두께보다 더 작은 것을 특징으로 하는 반도체 디바이스.
  15. 제1 및 제2영역을 갖고 있는 기판; 상기 기판 상에 형성되고 실리콘-플루오르 결합을 포함하며, 상기 제1영역 상에 제1두께를 갖고 있고 상기 제2영역 상에 상기 제1두께보다 더 큰 제2께를 갖고 있는 제1절연막; 상기 제1절연막 상에 형성된 티타늄계 금속 배선층; 및 적어도 상기 배선층 상에 형성되고, 상기 배선층 상의 배선 결합을 위해 상기 제1영역 상에 개구를 갖고 있는 제2절연막을 포함하는 것을 특징으로 하는 반도체 디바이스.
  16. 기판 상이 실리콘-플루오르 결합을 포함하는 절연막을 형성하는 단계; 프리 플루오르 및 플루오르 화합물을 상기 절연막 외부로 확산시키기 위해 상기 절연막의 어닐링을 행하는 단계; 및 상기 절연막 상에 티타늄계 금속 배선층을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 디바이스의 제조 방법.
  17. 제16항에 있어서, 상기 어닐링 단계는 400℃ 이상의 비활성 가스 분위기에서 행해지는 것을 특징으로 하는 반도체 디바이스의 제조 방법.
  18. 제16항에 있어서, 상기 어닐링 단계는 적외선 램프를 사용하여 가열시킴으로써 행해지는 것을 특징으로 하는 반도체 디바이스의 제조 방법.
  19. 제16항에 있어서, 상기 어닐링 단계는 저압 프라즈마 방전으로 행해지는 것을 특징으로 하는 반도체 디바이스의 제조 방법.
  20. 제16항에 있어서, 실리콘-플루오르 결합을 포함하는 상기 절연막을 형성하는 단계 후 실리콘-플루오르 결합이 없는 절연막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 디바이스의 제조 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019950028065A 1994-09-01 1995-08-31 반도체 디바이스 및 그 제조 방법 KR0172205B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP94-208728 1994-09-01
JP20872894 1994-09-01
JP95-085989 1995-03-20
JP8598995 1995-03-20

Publications (2)

Publication Number Publication Date
KR960012327A true KR960012327A (ko) 1996-04-20
KR0172205B1 KR0172205B1 (ko) 1999-03-30

Family

ID=26427011

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950028065A KR0172205B1 (ko) 1994-09-01 1995-08-31 반도체 디바이스 및 그 제조 방법

Country Status (7)

Country Link
US (3) US5753975A (ko)
EP (3) EP0949676B1 (ko)
JP (1) JP3535280B2 (ko)
KR (1) KR0172205B1 (ko)
CN (1) CN1069446C (ko)
DE (3) DE69533233D1 (ko)
TW (1) TW350135B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100322261B1 (ko) * 1997-08-22 2002-05-13 가네꼬 히사시 반도체장치및그제조방법
KR100326499B1 (ko) * 1996-05-08 2002-06-20 조셉 제이. 스위니 기판위에증착된할로겐-도핑층을안정화하기위한방법및장치

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3015717B2 (ja) * 1994-09-14 2000-03-06 三洋電機株式会社 半導体装置の製造方法および半導体装置
US20010048147A1 (en) * 1995-09-14 2001-12-06 Hideki Mizuhara Semiconductor devices passivation film
US6268657B1 (en) * 1995-09-14 2001-07-31 Sanyo Electric Co., Ltd. Semiconductor devices and an insulating layer with an impurity
JPH09129727A (ja) * 1995-10-30 1997-05-16 Nec Corp 半導体装置及びその製造方法
JPH09172072A (ja) * 1995-12-18 1997-06-30 Nec Corp 半導体装置及びその製造方法
US6825132B1 (en) 1996-02-29 2004-11-30 Sanyo Electric Co., Ltd. Manufacturing method of semiconductor device including an insulation film on a conductive layer
JP2917897B2 (ja) * 1996-03-29 1999-07-12 日本電気株式会社 半導体装置の製造方法
US6157083A (en) * 1996-06-03 2000-12-05 Nec Corporation Fluorine doping concentrations in a multi-structure semiconductor device
KR100383498B1 (ko) 1996-08-30 2003-08-19 산요 덴키 가부시키가이샤 반도체 장치 제조방법
US6288438B1 (en) 1996-09-06 2001-09-11 Sanyo Electric Co., Ltd. Semiconductor device including insulation film and fabrication method thereof
JP3305211B2 (ja) 1996-09-10 2002-07-22 松下電器産業株式会社 半導体装置及びその製造方法
JP3015767B2 (ja) * 1996-12-25 2000-03-06 三洋電機株式会社 半導体装置の製造方法及び半導体装置
US6025270A (en) * 1997-02-03 2000-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization process using tailored etchback and CMP
JP3019021B2 (ja) * 1997-03-31 2000-03-13 日本電気株式会社 半導体装置及びその製造方法
US6104092A (en) * 1997-04-02 2000-08-15 Nec Corporation Semiconductor device having amorphous carbon fluoride film of low dielectric constant as interlayer insulation material
JP3109449B2 (ja) * 1997-04-25 2000-11-13 日本電気株式会社 多層配線構造の形成方法
US6828230B2 (en) 1997-09-12 2004-12-07 Micron Technology, Inc. Integrated circuit having conductive paths of different heights formed from the same layer structure and method for forming the same
US6690084B1 (en) 1997-09-26 2004-02-10 Sanyo Electric Co., Ltd. Semiconductor device including insulation film and fabrication method thereof
US5923970A (en) * 1997-11-20 1999-07-13 Advanced Technology Materials, Inc. Method of fabricating a ferrolelectric capacitor with a graded barrier layer structure
US6133628A (en) * 1997-12-18 2000-10-17 Advanced Micro Devices, Inc. Metal layer interconnects with improved performance characteristics
JPH11186382A (ja) * 1997-12-19 1999-07-09 Mitsubishi Electric Corp 半導体装置及びその製造方法
EP0933814A1 (en) * 1998-01-28 1999-08-04 Interuniversitair Micro-Elektronica Centrum Vzw A metallization structure on a fluorine-containing dielectric and a method for fabrication thereof
US6448655B1 (en) * 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
US6794283B2 (en) 1998-05-29 2004-09-21 Sanyo Electric Co., Ltd. Semiconductor device and fabrication method thereof
KR100278657B1 (ko) * 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
JP3450713B2 (ja) * 1998-07-21 2003-09-29 富士通カンタムデバイス株式会社 半導体装置およびその製造方法、マイクロストリップ線路の製造方法
US6300672B1 (en) * 1998-07-22 2001-10-09 Siemens Aktiengesellschaft Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication
US5994778A (en) * 1998-09-18 1999-11-30 Advanced Micro Devices, Inc. Surface treatment of low-k SiOF to prevent metal interaction
JP4361625B2 (ja) * 1998-10-05 2009-11-11 東京エレクトロン株式会社 半導体装置及びその製造方法
JP3230667B2 (ja) * 1998-11-17 2001-11-19 日本電気株式会社 半導体装置の配線構造
US6444593B1 (en) 1998-12-02 2002-09-03 Advanced Micro Devices, Inc. Surface treatment of low-K SiOF to prevent metal interaction
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US6444295B1 (en) 1998-12-29 2002-09-03 Industrial Technology Research Institute Method for improving integrated circuits bonding firmness
US6166427A (en) * 1999-01-15 2000-12-26 Advanced Micro Devices, Inc. Integration of low-K SiOF as inter-layer dielectric for AL-gapfill application
TW444252B (en) * 1999-03-19 2001-07-01 Toshiba Corp Semiconductor apparatus and its fabricating method
US7071557B2 (en) * 1999-09-01 2006-07-04 Micron Technology, Inc. Metallization structures for semiconductor device interconnects, methods for making same, and semiconductor devices including same
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
JP3425582B2 (ja) * 2000-04-14 2003-07-14 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP3574383B2 (ja) * 2000-07-31 2004-10-06 富士通株式会社 半導体装置及びその製造方法
US6917110B2 (en) * 2001-12-07 2005-07-12 Sanyo Electric Co., Ltd. Semiconductor device comprising an interconnect structure with a modified low dielectric insulation layer
US7109092B2 (en) * 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
JP4534062B2 (ja) 2005-04-19 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置
JP2008060532A (ja) * 2006-08-04 2008-03-13 Seiko Epson Corp 半導体装置
JP5953974B2 (ja) * 2011-09-15 2016-07-20 富士通セミコンダクター株式会社 半導体装置及び半導体装置の製造方法
US10153175B2 (en) * 2015-02-13 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Metal oxide layered structure and methods of forming the same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5612557A (en) * 1986-10-27 1997-03-18 Seiko Epson Corporation Semiconductor device having an inter-layer insulating film disposed between two wiring layers
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JP3179212B2 (ja) * 1992-10-27 2001-06-25 日本電気株式会社 半導体装置の製造方法
KR0131439B1 (ko) * 1992-11-24 1998-04-14 나카무라 타메아키 반도체장치 및 그 제조방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100326499B1 (ko) * 1996-05-08 2002-06-20 조셉 제이. 스위니 기판위에증착된할로겐-도핑층을안정화하기위한방법및장치
KR100322261B1 (ko) * 1997-08-22 2002-05-13 가네꼬 히사시 반도체장치및그제조방법

Also Published As

Publication number Publication date
KR0172205B1 (ko) 1999-03-30
US5874779A (en) 1999-02-23
EP0949677A1 (en) 1999-10-13
EP0700087B1 (en) 2002-03-13
EP0700087A2 (en) 1996-03-06
EP0949677B1 (en) 2004-06-30
DE69525795T2 (de) 2002-10-24
EP0949676B1 (en) 2005-11-23
CN1069446C (zh) 2001-08-08
EP0949676A1 (en) 1999-10-13
DE69533233D1 (de) 2004-08-05
JPH08321547A (ja) 1996-12-03
US6046502A (en) 2000-04-04
DE69534636D1 (de) 2005-12-29
US5753975A (en) 1998-05-19
TW350135B (en) 1999-01-11
DE69534636T2 (de) 2006-08-10
EP0700087A3 (en) 1997-01-08
JP3535280B2 (ja) 2004-06-07
CN1132935A (zh) 1996-10-09
DE69525795D1 (de) 2002-04-18

Similar Documents

Publication Publication Date Title
KR960012327A (ko) 반도체 디바이스 및 그 제조 방법
KR960042954A (ko) 반도체 장치의 확산장벽용 산화루테늄막 형성방법
KR930003257A (ko) 반도체 장치 및 그의 제조방법
KR960019581A (ko) 집적회로용 절연체와 그 제조 공정
TW330306B (en) A semiconductor substrate and its fabrication method
KR960042936A (ko) 집적 회로 구조물 상에서 형성되는 폴리실리콘/규화 텅스텐 다층 복합체, 및 이것의 제조 방법
KR960026671A (ko) 반도체장치의 제조방법
KR890012363A (ko) 반도체 소자 제조방법
KR950034626A (ko) 반도체 장치 제조 방법
US4581627A (en) Enhanced silicide adhesion to semiconductor and insulator surfaces
AUPP646298A0 (en) Melt through contact formation method
JPH056865A (ja) 半導体装置の製造方法
KR930022550A (ko) 반도체장치의 제조방법
KR900017091A (ko) 반도체장치 및 그 제조방법
KR960035758A (ko) 실리콘 단결정 웨이퍼 및 그의 표면의 열산화방법
KR970072188A (ko) 반도체 소자의 게이트 산화막 형성 방법
JPS6437036A (en) Manufacture of semiconductor device
JPH06260649A (ja) 薄膜トランジスタの製造方法
KR970063500A (ko) 반도체소자의 금속배선 형성방법
KR980005532A (ko) 반도체 소자의 금속배선 형성방법
KR970030863A (ko) 박막 트랜지스터의 게이트 절연막 제조방법
KR970017972A (ko) 반도체장치제조방법
KR970053523A (ko) 반도체 소자의 금속배선 형성방법
WO2004030039A3 (en) Apparatus and method of using thin film material as diffusion barrier for metallization
KR970054074A (ko) 반도체 장치의 커패시터 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110920

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee