JPH09129727A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法

Info

Publication number
JPH09129727A
JPH09129727A JP7281602A JP28160295A JPH09129727A JP H09129727 A JPH09129727 A JP H09129727A JP 7281602 A JP7281602 A JP 7281602A JP 28160295 A JP28160295 A JP 28160295A JP H09129727 A JPH09129727 A JP H09129727A
Authority
JP
Japan
Prior art keywords
film
metal
metal film
forming
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7281602A
Other languages
English (en)
Inventor
Tatsuya Usami
達矢 宇佐美
Tetsuya Honma
哲哉 本間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP7281602A priority Critical patent/JPH09129727A/ja
Priority to TW085113042A priority patent/TW307040B/zh
Priority to US08/739,746 priority patent/US5939771A/en
Priority to KR1019960049363A priority patent/KR100226950B1/ko
Priority to GB9622642A priority patent/GB2306778B/en
Priority to CN96122097A priority patent/CN1073281C/zh
Publication of JPH09129727A publication Critical patent/JPH09129727A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 メタル配線間に発生するクロストーク,層間
絶縁膜の吸湿による配線接続の抵抗増加,及びメタル腐
食を防止し得ると共に、層間膜の埋設性が高集積化され
た多層配線構造の半導体装置の製造方法を提供するこ
と。 【解決手段】 この半導体装置の製造では、幾つかの工
程を繰り返す多層配線工程を行って多層配線形成する
が、この多層配線工程には、フッ素樹脂を用いて比誘電
率が1.8〜3.5の有機膜を形成する有機膜形成工程
(a)と、有機膜における所望の位置に溝部を形成する
溝形成工程(C)と、溝部に第1の金属膜を埋設する第
1の金属膜埋設工程(f)と、有機膜及び第1の金属膜
上にフッ素含有シリコン酸化膜を形成する酸化膜形成工
程(g)と、フッ素含有シリコン酸化膜における所望の
位置を開孔して開孔部を形成する開孔工程(h)と、開
孔部に第2の金属膜を埋設する第2の金属膜埋設工程
(h)とが含まれている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、層間膜の埋設性が
高集積化された多層配線構造の半導体装置及びその製造
方法に関する。
【0002】
【従来の技術】近年、半導体集積回路は構造的に微細化
が進んでおり、特に論理回路における多層配線ではその
傾向が顕著である。多層配線のメタル配線間隔が微細に
なると、メタル配線間に発生するクロストーク(配線信
号が隣の配線に載る現象)の問題が起こる。そこで、ク
ロストークの防止対策としては、配線間絶縁膜に低誘電
率の絶縁膜を使用することが挙げられる。
【0003】このようなクロストークを減少させるため
の技術は、例えば「1994 Symposium o
n VLSI Technology Digest
ofTechnical Papers」の<A Pl
ararized Multilevel Inter
connect Scheme With Embed
ded Low−Dielectric Consta
nt Polymers For Sub−Quart
er−Micron Applications>のF
ig.1等に開示されている。因みに、ここでは比誘電
率を3.5以下としなければ効果は現われない。
【0004】ところで、現状の比誘電率はP−SiOで
4〜4.5であるため、それに代わって1.8〜3.5
程度の比誘電率を持つ有機膜が有望視されている。
【0005】このような有機膜を使用した公知技術の一
例としては、特開平3−34558号公報に開示された
多層配線構造体の製造方法が挙げられる。図3(a)〜
(h)は、ここでの2層アルミニウム配線構造の製造方
法に要する多層配線工程における各工程を示した側面断
面図である。
【0006】ここでは、先ず図3(a)に示されるよう
に半導体素子基板301上に絶縁膜302を介して厚さ
約1μmの第1のアルミニウム配線303を形成し、こ
の上にシリコンを含有するポリイミド膜形成用塗布溶液
をスピンコート法により塗布してから窒素ガス雰囲気中
のオーブン内で150℃で30分間熱処理し、同図
(b)に示されるような厚さ約0.2μmのシリコン含
有ポリイミド膜304を形成している。
【0007】この後、テトラフルオロエチレン−パーソ
ルオロアルキルビニルエーテル共重合体から成る直径
0.1〜0.5[μm]の微粒子を約30重量%の濃度
で純水中に分散させたディパージョンをスピンコート法
により塗布した後、窒素ガス雰囲気中のオーブン内で8
0℃で10分間熱処理し、続いて380℃で10分間窒
素ガス雰囲気中の電気炉内で熱処理することによって微
粒子を溶融し、同図(c)に示されるような厚さ1.3
μのフッ素樹脂305を形成している。
【0008】引き続き、スパッタ装置内においてアルゴ
ンガスプラズマにフッ素樹脂膜表面を晒すことによって
改質した後、同図(d)に示されるように同装置内で連
続して厚さ約0.3μmのチタン膜306をスパッタ形
成してから公知のフォトリソグラフィー技術によりフォ
トレジスト膜を形成パターニングした後、CCl4 ガス
とSF6 ガスとの混合ガスを用いる反応型イオンエッチ
ングにより同図(e)に示されるようにチタン膜306
に開孔部を形成している。続いてO2 ガスとCF4 ガス
との混合ガスを用いる反応性イオンエッチングにより同
図(f)に示されるようにフッ素樹脂膜とシリコン含有
ポリイミド膜とに開孔部308を形成すると同時にフォ
トレジスト膜をエッチング除去している。
【0009】更に、アンモニア及び過酸化水素を混合し
た水溶液中に浸漬し、同図(g)に示されるようにチタ
ン膜306を除去してからスパッタ法により厚さ約1μ
mのアルミニウム膜を形成し、公知のフォトエッチング
技術を用いて同図(h)に示されるように第2のアルミ
ニウム配線309を形成している。こうした工程を繰り
返すことにより多層配線構造体を形成している。
【0010】一方、有機膜を使用した公知技術の他例と
しては、「1994 Symposium on VL
SI Technology Digest of T
echnical Papers P74」のFig.
2が挙げられる。図4(a)〜(d)は、ここでの2層
配線構造の製造方法に要する多層配線工程における各工
程を示した側面断面図である。
【0011】ここでは、先ず図4(a)に示されるよう
にメタル402をエッチング加工し、それをSiO2
401で被覆している。次に、図4(b)に示されるよ
うに細いメタルライン間をエッチングしてSiO2 膜4
01に溝部を形成し、その後に低誘電率のポリマー膜4
03をSiO2 膜401上及びその溝部に堆積してい
る。引き続き、同図(c)に示されるようにポリマー膜
403をエッチングしSiO2 膜401の溝部に関して
のみ低誘電率のポリマーを残し、その上にSiO2 (O
ver layer)膜404を被膜し、そのSiO2
膜404にスルーホールの開孔を行い、その開孔部にV
ia405を埋設している。こうした工程を繰り返すこ
とにより、同図(d)に示されるように多層配線構造体
を形成している。
【0012】
【発明が解決しようとする課題】上述した公知技術の一
例の場合、ビアホール部の形成後にビアホール部からシ
リコン含有ポリイミドが露出することがある。これはシ
リコン含有ポリイミドが吸湿し易いことによるためで、
シリコン含有ポリイミドが露出するとビアホール部の接
続抵抗が増加してメタルの信頼性を低下させてしまうと
いう問題が発生する。
【0013】一方、公知技術の他例の場合、低誘電率の
ポリマー膜をSiO2 膜の溝部に埋め込む際、高アスペ
クト比の溝部にボイド釣りでポリマー膜を埋め込まなく
てはならず、カバレッジの良い膜に限定されてしまうと
いう問題が発生する。これは高アスペクト比の溝部にお
いて、低誘電率なポリマー膜のカバレッジが悪く、ボイ
ドがある場合には配線の信頼性が低下する可能性がある
ためである。
【0014】加えて、公知技術の他例の場合、有機膜を
被覆する膜としてSiO2 膜を使用しているが、SiO
2 の比誘電率は4程度と比較的高いため、垂直方向の配
線間の容量が大きくなってしまい、その結果として上下
層の配線間における信号伝達速度が低下してしまうとい
う問題もある。
【0015】更に、公知技術の一例及び他例の双方にお
いて、PR(フォトレジスト)との選択比がメタルの場
合は3程度であり、微細な配線加工がPRの加工精度に
よって制限されてしまうため、将来的に超微細な多層配
線構造を得るためにはメタルの加工が困難になってい
る。
【0016】本発明は、このような問題点を解決すべく
なされたもので、その技術的課題は、メタル配線間に発
生するクロストーク,層間絶縁膜の吸湿による配線接続
の抵抗増加,及びメタル腐食を防止し得ると共に、層間
膜の埋設性が高集積化された多層配線構造の半導体装置
及びその製造方法を提供することにある。
【0017】
【課題を解決するための手段】本発明によれば、ポリイ
ミド系樹脂又はフッ素樹脂により比誘電率が1.8〜
3.5で形成されると共に、所望の位置に形成された溝
部に第1の金属膜が埋設された有機膜と、有機膜及び第
1の金属膜上に形成されると共に、所望の位置に開孔さ
れた開孔部に第2の金属膜が埋設されたフッ素含有シリ
コン酸化膜とが多層化されて多層配線形成された半導体
装置が得られる。
【0018】一方、本発明によれば、ポリイミド系樹脂
又はフッ素樹脂を用いて比誘電率が1.8〜3.5の有
機膜を形成する有機膜形成工程と、有機膜における所望
の位置に溝部を形成する溝形成工程と、溝部に第1の金
属膜を埋設する第1の金属膜埋設工程と、有機膜及び第
1の金属膜上にフッ素含有シリコン酸化膜を形成する酸
化膜形成工程と、フッ素含有シリコン酸化膜における所
望の位置を開孔して開孔部を形成する開孔工程と、開孔
部に第2の金属膜を埋設する第2の金属膜埋設工程とを
繰り返し行って多層化することで多層配線を形成する半
導体装置の製造方法が得られる。
【0019】この半導体装置の製造方法において、有機
膜形成工程で有機膜を回転塗布法で形成した後、熱処理
又はプラズマ化学気相成長法を導入すること、酸化膜形
成工程でフッ素含有シリコン酸化膜をプラズマ化学気相
成長により形成すること、第1の金属膜埋設工程及び第
2の金属膜埋設工程では、第1の金属膜又は第2の金属
膜を銅,アルミニウム合金,タングステン,及び金のう
ちの少なくとも1つとすると共に、チタン,窒化チタ
ン,チタンタングステン,及びポリシリコンのうちの少
なくとも1つを用いて埋め込み選択CVD法又はブラン
ケットCVD法の導入後のエッチバック法又はブランケ
ットCVD法を経たCMP法により該第1の金属膜又は
該第2の金属膜をバリアメタルとして形成することは好
ましい。
【0020】
【作用】本発明の半導体装置の製造方法では、有機膜形
成工程で比誘電率が1.8〜3.5の有機膜を形成した
後、引き続く溝形成工程及び第1の金属膜埋設工程で有
機膜における所望の位置に形成した溝部に第1の金属膜
を埋設している。この後、酸化膜形成工程及び開孔工程
で有機膜及び第1の金属膜上に形成したフッ素含有シリ
コン酸化膜における所望の位置を開孔して開孔部を形成
した後、第2の金属膜埋設工程で開孔部に第2の金属膜
を埋設している。即ち、本発明では、こうした各工程を
繰り返す多層配線工程により半導体装置を多層配線形成
しているが、最初に有機膜を形成し、それに溝部を反応
性イオンエッチングによって形成した後、その溝部にメ
タル(配線)を埋め込み、その上に吸湿性が少ないフッ
素含有シリコン酸化膜を被膜している。その後に溝のメ
タル上に開孔して開孔部を形成するため、開孔部には有
機膜が露出せず、ビアホール部の接続抵抗の増加やメタ
ルの腐食が防止される。又、有機膜を最初に形成するた
め、有機膜の埋設性が規制されずにデバイスの信頼性が
低下されることがない上、有機膜上には比較的誘電率の
高いフッ素含有SiO2 膜が堆積されるため、垂直方向
の配線間容量が小さく抑制されて信号処理速度の低下が
起こり難くなっている。更に、上述した手順に従って溝
部に第1の金属膜を埋め込むため、微細加工精度はPR
との選択比を稼げて有機膜の加工精度よりも一層の微細
化が可能となる。
【0021】
【発明の実施の形態】以下に実施例を挙げ、本発明の半
導体装置及びその製造方法について、図面を参照して詳
細に説明する。
【0022】最初に、本発明の半導体装置に関する特色
を簡単に説明する。この半導体装置は、ポリイミド系樹
脂又はフッ素樹脂により比誘電率が1.8〜3.5で形
成されると共に、所望の位置に形成された溝部に第1の
金属膜が埋設された有機膜と、有機膜及び第1の金属膜
上に形成されると共に、所望の位置に開孔された開孔部
に第2の金属膜が埋設されたフッ素含有シリコン酸化膜
とが多層化されて多層配線形成されて成っている。
【0023】このような半導体装置を製造する場合、幾
つかの工程を繰り返す多層配線工程を行うことで多層配
線形成すれば良い。この多層配線工程には、ポリイミド
系樹脂又はフッ素樹脂を用いて比誘電率が1.8〜3.
5の有機膜を形成する有機膜形成工程と、有機膜におけ
る所望の位置に溝部を形成する溝形成工程と、溝部に第
1の金属膜を埋設する第1の金属膜埋設工程と、有機膜
及び第1の金属膜上にフッ素含有シリコン酸化膜を形成
する酸化膜形成工程と、フッ素含有シリコン酸化膜にお
ける所望の位置を開孔して開孔部を形成する開孔工程
と、開孔部に第2の金属膜を埋設する第2の金属膜埋設
工程とが含まれており、これらの各工程を繰り返し行っ
て多層化することで多層配線を形成する。
【0024】因みに、有機膜形成工程では有機膜を回転
塗布法で形成した後、熱処理又はプラズマ化学気相成長
法を導入し、酸化膜形成工程ではフッ素含有シリコン酸
化膜をプラズマ化学気相成長により形成することが望ま
しい。又、第1の金属膜埋設工程及び第2の金属膜埋設
工程では、第1の金属膜又は第2の金属膜を銅,アルミ
ニウム合金,タングステン,及び金のうちの少なくとも
1つとすると共に、チタン,窒化チタン,チタンタング
ステン,及びポリシリコンのうちの少なくとも1つを用
いて埋め込み選択CVD法又はブランケットCVD法の
導入後のエッチバック法又はブランケットCVD法を経
たCMP法により第1の金属膜又は第2の金属膜をバリ
アメタルとして形成することが望ましい。
【0025】そこで、以下は幾つかの実施例により本発
明の半導体装置の製造方法を具体的に説明する。 <実施例1>図1(a)〜(j)は、実施例1に係る多
層配線工程における各工程を側面断面図により示したも
のである。
【0026】実施例1では、先ず図1(a)に示される
ように半導体基板に下地工程を作成した後、比誘電率が
1.8〜3.0のフッ素樹脂膜101を厚さ約7000
オングストロームでスピンコート法により堆積し、30
0〜400[℃]のN2 雰囲気中で10分間ベークを行
った。この後、スパッタ装置内においてアルゴンガスプ
ラズマにフッ素樹脂膜101表面を晒すことによって改
質した後、同装置で連続して厚さ3000オングストロ
ームのチタン膜102を同図(b)に示されるようにス
パッタ形成した。
【0027】次に、公知のフォトリソグラフィー技術に
より、フォトレジスト膜103を同図(b)に示すよう
にパターニングしてからCCl4 ガス及びSF6 ガスの
混合ガスを用いる反応性イオンエッチングにより同図
(c)に示されるようにチタン膜102に開孔部を形成
した。
【0028】引き続き、O2 ガス及びCF4 ガスの混合
ガスを用いる反応性イオンエッチングにより同図(d)
に示されるようにフッ素樹脂膜に開孔部104を形成す
ると同時にフォトレジスト膜103をエッチング除去し
た。
【0029】次に、アンモニア及び過酸化水素を混合し
た水溶液中に浸漬し、同図(e)に示されるようにチタ
ン膜102を除去した後、フッ素樹脂膜101及び開孔
部104に対して同図(f)に示されるように、フッ素
樹脂膜101上で厚さ約1μmとなるようにブランケッ
トCu膜(第1の金属膜)105を成膜した。
【0030】更に、CMPにて溝部のみのCu膜105
を残して同図(g)に示されるように平坦化した後、そ
の上から高密度プラズマCVDによるSiF4 ガス,O
2 ガス,Arガスを使用したフッ素含有量2〜15[w
t%]のフッ素含有シリコン酸化膜106を形成し、同
図(h)に示されるように成膜した。
【0031】引き続き、公知のフォトリソグラフィー技
術を使用し、フォトレジスト膜103を形成パターニン
グした後、CF4 及びO2 ガスの混合ガスを用いる反応
性イオンエッチングによりフッ素含有シリコン酸化膜1
06を開孔してからフォトレジスト膜103を除去し、
その後に開孔部に同図(i)に示されるようにAlプラ
グ(第2の金属膜)107を成長させた。これらの各工
程を繰り返すことにより同図(j)に示されるような多
層配線構造を形成した。 <実施例2>図2(a)〜(i)は、実施例2に係る多
層配線工程における各工程を側面断面図により示したも
のである。
【0032】実施例2は、実施例1と比較した場合、フ
ッ素樹脂膜101に溝部を形成する工程において、マス
ク材をメタル膜(チタン膜102)からフッ素含有シリ
コン酸化膜202にする点が大きく相違している。これ
に関しての長所は、マスク材をそのまま残すことができ
るため、実施例1の場合よりもメタル除去及びフッ素樹
脂膜101単層のエッチング工程を減少することが可能
となることである。又、マスクを微細に加工する際、メ
タルではPR(フォトレジスト)の選択比が約3と小さ
くて加工精度が悪いが、実施例2では絶縁膜のためのフ
ォトレジストの選択比が7〜8と大きく、加工精度が向
上するという長所もある。
【0033】そこで、実施例2では先ずフッ素樹脂膜2
01を形成後、ベークを行って図2(a)に示されるよ
うな構成としてから同図(b)に示されるようにマスク
フッ素含有シリコン酸化膜202を厚さ3000オング
ストロームで堆積した。
【0034】次に、公知のフォトリソグラフィー技術に
より、フォトレジスト膜203を同図(b)に示される
ようにパターニングした後、フッ素含有シリコン酸化膜
202及びフッ素樹脂膜201の積層膜を同時にO2
ス及びCF4 ガスの混合ガスを用いる反応性イオンエッ
チングにより同図(c)に示すようにパターニングし開
孔部204を形成した。引き続き、同図(d)に示され
るようにフォトレジスト膜203のみを除去した後、実
施例1で説明した図1(f)以降の手順,即ち、図2
(e)〜同図(h)の工程を経るが、最終的にこれらの
各工程を繰り返すことにより同図(i)に示されるよう
なCu膜(第1の金属膜)205及びAlプラグ(第2
の金属膜)207を有する多層配線構造を形成した。
【0035】尚、上述した実施例1及び実施例2では有
機膜としてフッ素樹脂膜101,201を使用している
が、これ以外にもポリイミド系樹脂膜も適用可能であ
る。又、有機膜に溝部を形成するためのマスク材として
実施例1ではチタン膜102を使用しているが、これ以
外にはタングステン膜,チタン含有タングステン膜,モ
リブデン膜,アルミニウム膜,アルミニウム合金膜等の
金属膜のうち少なくとも1つを用いることが可能であ
る。更に、実施例2ではフッ素含有シリコン酸化膜20
2を使用しているが、これに代えてプラズマ化学気相成
長法又はスパッタ法によって形成したシリコン酸化膜,
シリコン窒化膜,シリコン酸化窒化膜等の無機膜のうち
少なくとも1つを用いることが可能である。加えて、フ
ッ素樹脂膜等の有機膜の表面の改質方法としてCF4
ス又はO2 ガスを用いる反応性イオンエッチングを用い
ることも可能である。
【0036】一方、溝配線材料又はビアホール部の埋設
材料として、実施例1,2ではCuを溝配線材料,Al
をビアホール部の埋設材料として使用したが、溝部,ビ
アホール部の何れもCu,Alの双方を用いることが可
能であり、しかもその他にW,Au等の金属膜を用いる
ことが可能である。又、メタルの信頼性向上のため、P
d,Cu,Si等を含有することもできる。更に、メタ
ル材料に応じてバリア膜としてTi,TiN,TiW,
Poly−Si等を単層や組合わせても良い。
【0037】他方、実施例1及び実施例2では有機膜の
形成方法としてスピンコート後にベークを行う方法を採
用したが、これに代えてプラズマCVD法による成膜を
行っても良い。又、フッ素含有シリコン酸化膜は、実施
例1及び実施例2では、高密度プラズマCVD法でSi
4 ,O2 ,Arのガスを用いたが、これに代えて平行
平板型プラズマCVD法としても良く、更にガスはSi
4 に代えてSiH4と添加ガスとしてのC2 6 ,C
4 ,NF3 ,SF6 の4つのうちの何れか一つとの組
合わせとしたり、TEOSと添加ガスとしてのC
2 6 ,CF4 ,NF3 ,SF6 の4つのうちの何れか
一つとの組合わせとしたり、或いはFTESを使用して
も良い。因みに、ここではO2 に代えてN2 O,NO,
CO2 ,CO,O3 ,及びH2 Oの何れかを使用しても
良いが、Arは加えなくても良い。
【0038】
【発明の効果】以上に述べた通り、本発明の半導体装置
及びその製造方法によれば、メタル配線間に発生するク
ロストーク,層間絶縁膜の吸湿による配線接続の抵抗増
加,及びメタル腐食を防止し得ると共に、層間膜の埋設
性が高集積化されて信頼性が向上されるようになる。こ
れらの長所について、具体的に云えば、ビアホール部に
有機膜を露出させない多層配線構造としているため、ビ
アホール部の接続抵抗増加の防止が計られてメタルの信
頼性が向上されること、有機膜形成を配線形成以前に行
って溝部配線構造を採用して有機膜の埋設性を良くする
必要がないようにしているため、ボイド発生等によるデ
バイスの信頼性低下を防止できること、垂直方向のメタ
ル間の膜にSiOF膜を使用してその比誘電率を3.0
〜3.7まで低下できる(従来の他例で使用されている
SiO2 膜では4〜4.5)ため、上下配線間の信号処
理速度に遅延があって例えば0.6μmの配線幅で約1
0%のクロストーク不良の減少が具現されること、有機
膜を配線形成に先立って形成し、その後に溝部を加工し
ているため、メタルの加工限界よりも優れた絶縁膜の加
工限界に依ってくるためにマージンがより拡大されるた
め、メタル加工限界を気にすることなく微細な配線が形
成できること等が挙げられる。
【図面の簡単な説明】
【図1】(a)〜(j)は、本発明の半導体装置の製造
方法を具体的に説明した実施例1に係る多層配線工程に
おける各工程を示した側面断面図である。
【図2】(a)〜(i)は、本発明の半導体装置の製造
方法を具体的に説明した実施例2に係る多層配線工程に
おける各工程を示した側面断面図である。
【図3】(a)〜(h)は、従来の半導体装置の製造方
法を具体的に説明した一例に係る多層配線工程における
各工程を示した側面断面図である。
【図4】(a)〜(d)は、従来の半導体装置の製造方
法を具体的に説明した他例に係る多層配線工程における
各工程を示した側面断面図である。
【符号の説明】 101,201,305 フッ素樹脂膜 102,306 チタン膜 103,203,307 フォトレジスト膜 104,204,308 開孔部 105,205 Cu膜 106,202,206 フッ素含有シリコン酸化膜 107,207 Alプラグ 301 半導体素子基板 302 絶縁膜 303 第1のアルミニウム配線 304 シリコン含有ポリイミド 309 第2のアルミニウム配線 401 SiO2 402 Metal 403 Polymer 404 SiO2 Over layer 405 Via

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 ポリイミド系樹脂又はフッ素樹脂により
    比誘電率が1.8〜3.5で形成されると共に、所望の
    位置に形成された溝部に第1の金属膜が埋設された有機
    膜と、前記有機膜及び前記第1の金属膜上に形成される
    と共に、所望の位置に開孔された開孔部に第2の金属膜
    が埋設されたフッ素含有シリコン酸化膜とが多層化され
    て多層配線形成されたことを特徴とする半導体装置。
  2. 【請求項2】 ポリイミド系樹脂又はフッ素樹脂を用い
    て比誘電率が1.8〜3.5の有機膜を形成する有機膜
    形成工程と、前記有機膜における所望の位置に溝部を形
    成する溝形成工程と、前記溝部に第1の金属膜を埋設す
    る第1の金属膜埋設工程と、前記有機膜及び前記第1の
    金属膜上にフッ素含有シリコン酸化膜を形成する酸化膜
    形成工程と、前記フッ素含有シリコン酸化膜における所
    望の位置を開孔して開孔部を形成する開孔工程と、前記
    開孔部に第2の金属膜を埋設する第2の金属膜埋設工程
    とを繰り返し行って多層化することで多層配線を形成す
    ることを特徴とする半導体装置の製造方法。
  3. 【請求項3】 請求項2記載の半導体装置の製造方法に
    おいて、前記有機膜形成工程では、前記有機膜を回転塗
    布法で形成した後、熱処理又はプラズマ化学気相成長法
    を導入することを特徴とする半導体装置の製造方法。
  4. 【請求項4】 請求項2又は3記載の半導体装置の製造
    方法において、前記酸化膜形成工程では、前記フッ素含
    有シリコン酸化膜をプラズマ化学気相成長により形成す
    ることを特徴とする半導体装置の製造方法。
  5. 【請求項5】 請求項2〜4の何れか一つに記載の半導
    体装置の製造方法において、前記第1の金属膜埋設工程
    及び前記第2の金属膜埋設工程では、前記第1の金属膜
    又は前記第2の金属膜を銅,アルミニウム合金,タング
    ステン,及び金のうちの少なくとも1つとすると共に、
    チタン,窒化チタン,チタンタングステン,及びポリシ
    リコンのうちの少なくとも1つを用いて埋め込み選択C
    VD法又はブランケットCVD法の導入後のエッチバッ
    ク法又はブランケットCVD法を経たCMP法により該
    第1の金属膜又は該第2の金属膜をバリアメタルとして
    形成することを特徴とする半導体装置の製造方法。
JP7281602A 1995-10-30 1995-10-30 半導体装置及びその製造方法 Pending JPH09129727A (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP7281602A JPH09129727A (ja) 1995-10-30 1995-10-30 半導体装置及びその製造方法
TW085113042A TW307040B (ja) 1995-10-30 1996-10-24
US08/739,746 US5939771A (en) 1995-10-30 1996-10-29 Semiconductor device having an organic resin layer and silicon oxide layer containing fluorine for preventing crosstalk between metal lines and a method of manufacturing the same
KR1019960049363A KR100226950B1 (ko) 1995-10-30 1996-10-29 금속라인 사이의 크로스토크를 방지하는 반도체 장치 및 그 제조방법
GB9622642A GB2306778B (en) 1995-10-30 1996-10-30 Semiconductor device and a method of manufacturing the same
CN96122097A CN1073281C (zh) 1995-10-30 1996-10-30 半导体器件及其制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7281602A JPH09129727A (ja) 1995-10-30 1995-10-30 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
JPH09129727A true JPH09129727A (ja) 1997-05-16

Family

ID=17641439

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7281602A Pending JPH09129727A (ja) 1995-10-30 1995-10-30 半導体装置及びその製造方法

Country Status (6)

Country Link
US (1) US5939771A (ja)
JP (1) JPH09129727A (ja)
KR (1) KR100226950B1 (ja)
CN (1) CN1073281C (ja)
GB (1) GB2306778B (ja)
TW (1) TW307040B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990004585A (ko) * 1997-06-28 1999-01-15 김영환 반도체 소자의 다중 금속 배선 형성방법

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6157083A (en) 1996-06-03 2000-12-05 Nec Corporation Fluorine doping concentrations in a multi-structure semiconductor device
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
KR100292403B1 (ko) * 1997-12-30 2001-07-12 윤종용 반도체소자의층간절연막및그제조방법
KR100278657B1 (ko) * 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
US6861670B1 (en) 1999-04-01 2005-03-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having multi-layer wiring
GB2358734A (en) * 1999-08-30 2001-08-01 Lucent Technologies Inc Process for fabricating integrated circuit with multi-layer dielectric having reduced capacitance
GB2358733A (en) * 1999-08-30 2001-08-01 Lucent Technologies Inc Integrated circuit with multi-layer dielectric having reduced capacitance
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
CN100568457C (zh) 2003-10-02 2009-12-09 株式会社半导体能源研究所 半导体装置的制造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05275551A (ja) * 1992-03-25 1993-10-22 Nec Corp 多層配線構造
JPH05308073A (ja) * 1992-04-30 1993-11-19 Clarion Co Ltd 半導体装置の製造方法
JPH0677209A (ja) * 1992-08-28 1994-03-18 Fujitsu Ltd 弗素樹脂薄膜の製造方法
JPH0774245A (ja) * 1992-07-17 1995-03-17 Toshiba Corp 半導体装置及びその製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061795B2 (ja) * 1986-05-16 1994-01-05 株式会社日立製作所 多層配線構造体
JP3152788B2 (ja) * 1993-03-29 2001-04-03 株式会社東芝 半導体装置の製造方法
JP3225676B2 (ja) * 1993-04-06 2001-11-05 セイコーエプソン株式会社 半導体装置の製造方法
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
JP2917783B2 (ja) * 1993-12-24 1999-07-12 日本電気株式会社 半導体装置及びその製造方法
US5486493A (en) * 1994-02-25 1996-01-23 Jeng; Shin-Puu Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators
JPH08139194A (ja) * 1994-04-28 1996-05-31 Texas Instr Inc <Ti> 半導体デバイス上に電気接続を作製する方法および該方法により作製された電気接続を有する半導体デバイス
US5641711A (en) * 1994-04-28 1997-06-24 Texas Instruments Incorporated Low dielectric constant insulation in VLSI applications
US5753975A (en) * 1994-09-01 1998-05-19 Kabushiki Kaisha Toshiba Semiconductor device with improved adhesion between titanium-based metal wiring layer and insulation film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05275551A (ja) * 1992-03-25 1993-10-22 Nec Corp 多層配線構造
JPH05308073A (ja) * 1992-04-30 1993-11-19 Clarion Co Ltd 半導体装置の製造方法
JPH0774245A (ja) * 1992-07-17 1995-03-17 Toshiba Corp 半導体装置及びその製造方法
JPH0677209A (ja) * 1992-08-28 1994-03-18 Fujitsu Ltd 弗素樹脂薄膜の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990004585A (ko) * 1997-06-28 1999-01-15 김영환 반도체 소자의 다중 금속 배선 형성방법

Also Published As

Publication number Publication date
GB2306778B (en) 1999-11-03
TW307040B (ja) 1997-06-01
KR100226950B1 (ko) 1999-10-15
CN1073281C (zh) 2001-10-17
GB9622642D0 (en) 1997-01-08
CN1158005A (zh) 1997-08-27
US5939771A (en) 1999-08-17
GB2306778A (en) 1997-05-07

Similar Documents

Publication Publication Date Title
US6319809B1 (en) Method to reduce via poison in low-k Cu dual damascene by UV-treatment
KR100321571B1 (ko) 다중층배선을갖는반도체장치의제조방법
JP4177993B2 (ja) 半導体装置及びその製造方法
US7807567B2 (en) Semiconductor device with interconnection structure for reducing stress migration
US5801094A (en) Dual damascene process
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
US7119441B2 (en) Semiconductor interconnect structure
US20020164865A1 (en) Semiconductor device and manufacturing method thereof
US7015133B2 (en) Dual damascene structure formed of low-k dielectric materials
JP3193335B2 (ja) 半導体装置の製造方法
US9870944B2 (en) Back-end-of-line (BEOL) interconnect structure
JPH09129727A (ja) 半導体装置及びその製造方法
US6248665B1 (en) Delamination improvement between Cu and dielectrics for damascene process
US6506680B1 (en) Method of forming connections with low dielectric insulating layers
US6821896B1 (en) Method to eliminate via poison effect
JPH11162982A (ja) 半導体装置の製造方法
US7015589B2 (en) Semiconductor device having low-k dielectric film in pad region
US6319844B1 (en) Method of manufacturing semiconductor device with via holes reaching interconnect layers having different top-surface widths
KR100434508B1 (ko) 변형된 듀얼 다마신 공정을 이용한 반도체 소자의 금속배선 형성방법
KR100914976B1 (ko) 반도체 소자의 제조방법
KR0137434B1 (ko) 반도체 소자의 제조방법
JPH1074837A (ja) 半導体装置及びその製造方法
KR0167282B1 (ko) 반도체 장치의 다층배선 형성방법
JPH10173051A (ja) 配線形成方法
TW423105B (en) Manufacturing method of dual damascene structure

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 19980318