JP3109449B2 - 多層配線構造の形成方法 - Google Patents

多層配線構造の形成方法

Info

Publication number
JP3109449B2
JP3109449B2 JP09109291A JP10929197A JP3109449B2 JP 3109449 B2 JP3109449 B2 JP 3109449B2 JP 09109291 A JP09109291 A JP 09109291A JP 10929197 A JP10929197 A JP 10929197A JP 3109449 B2 JP3109449 B2 JP 3109449B2
Authority
JP
Japan
Prior art keywords
film
forming
insulating film
siof
intermediate insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP09109291A
Other languages
English (en)
Other versions
JPH10303298A (ja
Inventor
孝司 横山
義明 山田
光司 岸本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP09109291A priority Critical patent/JP3109449B2/ja
Priority to CN98101625A priority patent/CN1198015A/zh
Priority to US09/066,115 priority patent/US6287956B2/en
Priority to KR1019980014762A priority patent/KR100327949B1/ko
Publication of JPH10303298A publication Critical patent/JPH10303298A/ja
Application granted granted Critical
Publication of JP3109449B2 publication Critical patent/JP3109449B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は多層配線構造とその
形成方法に関し、特に層間絶縁膜が低誘電率化されると
共に平坦化される半導体装置の多層配線に関する。
【0002】
【従来の技術】近年の半導体集積回路の微細化および高
集積化にともない、配線ピッチの微細化および多層配線
化が顕著に進行している。このような中で、ロジック系
半導体デバイスにおいては、信号の伝搬遅延の短縮が必
須であるため、層間絶縁膜の低誘電率化が求められる。
そこで、高密度プラズマCVD(以下、HDP−CVD
という)装置を用いて成膜時にフッ素含有ガスを導入
し、低誘電率膜であるフッ素含有シリコン酸化膜(以
下、SiOF膜という)を成膜することが検討されてい
る。この低誘電率膜であるHDP−CVDのSiOF膜
を使用すると、従来のTEOS(テトラエチルオルソシ
リケート)等の反応ガスを用いるプラズマ励起CVD
(以下、PE−CVDという)法で形成したシリコン酸
化膜の場合では、埋設することが難しい0.25μm以
下の配線間スペースへも良好に埋め込むことが可能であ
る。これは、HDP−CVD法による膜の堆積時、基板
にバイアスを印加し成膜と同時にスパッタエッチングが
行われるためである。ここでは、特に配線上に堆積する
シリコン酸化膜のエッジ部分が選択的にエッチングされ
てその埋め込み性が向上するようになる。
【0003】ロジック系半導体デバイスと同様にメモリ
ー系半導体デバイス、特にダイナミックランダムアクセ
スメモリー(DRAM)においても、配線の微細化およ
び多層化が進んでおり、特に層間絶縁膜の埋設性が問題
になっている。しかし、HDP−CVD装置のような高
密度なプラズマを発生させる装置では、上記のようなス
パッタエッチング効果が大きいため、膜の堆積が非常に
遅く製造コストが高くなる傾向にある。そこで、平行平
板型のPE−CVD装置にフッ素系のガスを導入しSi
OF膜を形成して層間絶縁膜の埋設性を向上させる方法
が検討されている。
【0004】通常、ロジック系半導体デバイスの場合で
は、化学機械的研磨(CMP)法による研磨でSiOF
膜は簡単に平坦化できる。しかし、メモリー系半導体デ
バイスその中でも特にDRAMでは、メモリセル部にお
いてMOSトランジスタ上にキャパシタ部を形成する場
合が多い。そして、狭い領域に容量電極の大きな表面積
が得られるように、容量電極部は垂直方向に伸びる傾向
にある。このために、メモリセル部と周辺回路部との段
差が大きくなる。そして、CMP法で配線上の層間絶縁
膜を研磨する場合、上記の段差を低減することは難しく
なる。このことについて図6に従って説明する。図6は
DRAMの一部を模式化した断面図である。
【0005】図6(a)に示すように、半導体基板上に
第1の下地絶縁膜101が形成される。そして、メモリ
セル部ではキャパシタ部を被覆するように第2の下地絶
縁膜102が形成される。そして、DRAMの周辺回路
部には第1の下地絶縁膜101表面に配線層103が形
成されることになる。また、DRAMのメモリセル部に
は第2の絶縁膜102上に配線層103aが形成される
ようになる。
【0006】以上のようにして段差のあるメモリセル部
と周辺回路部に配設された配線層103,103aを被
覆するようにして層間絶縁膜104が堆積される。ここ
で、層間絶縁膜104はSiOF膜である。
【0007】次に、図6(b)に示すように層間絶縁膜
104はCMP法で研磨される。しかし、周辺回路部の
配線層103とメモリセル部の配線層103aとに段差
が形成されているため、メモリセル部の配線層103a
上の層間絶縁膜104が研磨され平坦化しても、周辺回
路部上の層間絶縁膜104が研磨されないことが生じ
る。そして、周辺回路部上の層間絶縁膜104に配線層
パターンに対応した凹凸が残存するようになる。これ
は、上述したように半導体デバイス構造が微細になると
共により顕著になることである。
【0008】以上のような層間絶縁膜の形成方法以外
に、層間絶縁膜上に平坦化膜を積層した後にエッチバッ
クして層間絶縁膜の表面を平坦化する方法がある。ここ
で、平坦化膜としてフォトレジストあるいはSOG(ス
ピン・オン・グラス)が用いられる。平坦化膜としてフ
ォトレジストを使用する場合については特開平5−22
6480号公報に詳細な説明がある。そこで、SOGを
平坦化膜としてエッチバックする方法について図7に基
づいて説明する。図7はこのような層間絶縁膜の形成工
程順の断面図である。
【0009】図7(a)に示すように、シリコン基板2
01上にシリコン酸化膜等で下地絶縁膜202が形成さ
れる。次に、下地絶縁膜202上に第1の配線層203
が形成されその上部に反射防止膜204が積層して形成
される。そして、全体を被覆するように第1の保護絶縁
膜205が堆積される。ここで、保護絶縁膜205はP
E−CVD法で堆積されるシリコン酸化膜である。
【0010】次に、保護絶縁膜205上にSiOF膜2
06が堆積される。そして、このSiOF膜206上に
SOG膜207が形成されてSiOF膜206の表面が
平坦にされる。
【0011】次に、図7(b)に示すようにSOG膜2
07とSiOF膜206表面とのエッチバックが施され
る。ここで、エッチバックはフッ素系ガスによるドライ
エッチングの方法でなされる。次に、図7(c)に示す
ようにエッチバックされたSiOF膜206上に第2の
保護絶縁膜208が堆積される。ここで、第2の保護絶
縁膜208もPE−CVD法で形成されるシリコン酸化
膜である。
【0012】次に、図7(d)に示すように第2の保護
絶縁膜208およびSiOF膜206の所定の領域にス
ルーホール209が形成される。そして、第1の配線層
203に電気接続する第2の配線層210が形成され
る。
【0013】
【発明が解決しようとする課題】上記従来の技術におい
ては、エッチバック工程でSOG膜のエッチングが進行
し、SiOF膜とSOG膜とが露出してくると、SiO
F膜表面から出てくるフッ素によりSOG膜が急速にエ
ッチングされるようになる。
【0014】このために、SiOF膜上にSOG膜を形
成しドライエッチングでエッチバックする工程におい
て、SiOF膜の段差を平坦化することが難しくなる。
そして、SiOF膜上に形成される上層配線が断線した
り短絡したりする。あるいは、次工程でのパターン形成
におけるフォトリソグラフィ工程で良好な結像性能が得
られにくくなる。また、SiOF膜成膜後に大気中の水
分やSOG膜中の水分がSiOF膜中に入り込みSiO
F膜中に残りやすくなる。このため、SiOF膜中に含
まれる水分量が多くなる。そして、スルーホール内に金
属膜を充填する際に、このような水分がスルーホール側
壁から出てくる。このために、スルーホールでの配線の
抵抗が高くなったり、配線が断線したりするようにな
る。また、このようなSiOF膜中の水分量の増加はこ
の膜の比誘電率を増加させ、配線層間の低誘電率化を阻
害するようになる。
【0015】本発明の目的は、SiOF膜を層間絶縁膜
として、平坦性に優れ信頼性の高い多層配線構造とその
形成方法を提供することにある。
【0016】
【課題を解決するための手段】このために本発明の多層
配線構造は、半導体基板上に形成された複数の配線層
と、前記複数の配線層の間を埋めるフッ素を含有する酸
化膜と、前記フッ素を含有する酸化膜上に形成され表面
が平坦化されたフッ素を含まない酸化膜とを有する。
【0017】あるいは、本発明の多層配線構造は、半導
体基板上に形成された複数の配線層と、前記複数の配線
層の間を埋めるフッ素を含有する酸化膜と、前記フッ素
を含有する酸化膜上にプラズマCVD法で形成され表面
が平坦化されたフッ素を含まない酸化膜と、前記フッ素
を含まない酸化膜上に形成されるようになるSOG膜と
を有する。ここで、前記フッ素を含有する酸化膜中のフ
ッ素濃度は10at%を越えないように形成されてい
る。
【0018】本発明の多層配線構造の形成方法は、半導
体基板上に絶縁膜を介して第1の配線層を形成する工程
と、フッ素を含有する酸化膜であるSiOF膜を成膜す
る工程と、フッ素を含まない酸化膜である中間絶縁膜を
成膜する工程と、SOG塗布溶液を全面に回転塗布しS
OG膜を形成して前記中間絶縁膜の表面を平坦化する工
程と、前記SOG膜および中間絶縁膜表面をフッ素系ガ
スでのドライエッチングでエッチバックする工程と、所
定位置に前記第1の配線層に達するスルーホールを形成
する工程と、前記第1の配線層に電気接続する第2の配
線層を形成する工程とを含む。
【0019】ここで、前記SiOF膜と前記中間絶縁膜
とは同一の成膜装置内で連続して堆積される。あるい
は、前記エッチバックの工程後にシリコン酸化膜が全面
に成膜される。あるいは、前記第1の配線上にSiOF
膜を形成する前に前記第1の配線層がシリコン酸化膜で
被覆される。
【0020】そして、前記SiOF酸化膜、中間絶縁膜
およびシリコン酸化膜は平行平板型のPE−CVD装置
あるいは、高密度プラズマ装置を用いて形成される。ま
た、前記SiOF膜はシラン系ガス或いはTEOSと、
フッ素系ガス或いはTEFS(トリエトキシフルオロソ
ラン)と、酸素をソースガスとして形成される。
【0021】また、前記フッ素系ガスとしてCF4 、C
2 6 、NF3 、SiF4 等が使用される。そして、前
記エッチバックの工程において、配線層間に形成される
領域に埋め込まれたSOG膜が残存するようにドライエ
ッチングがなされる。あるいは、前記エッチバックの工
程において、前記第1の配線層上の前記SiOF膜が現
れる前にドライエッチングが終了し、前記SiOF膜上
に前記中間絶縁膜が残存するようになる。そして、前記
エッチバックの工程において、前記SOG膜および中間
絶縁膜表面のエッチング速度が同一になるように設定さ
れる。
【0022】このように本発明では、配線層上にSiO
F膜が形成され、このSiOF膜上にフッ素を含まない
酸化膜すなわち中間絶縁膜が形成され、この中間絶縁膜
上に平坦化膜であるSOG膜等が形成される。
【0023】ここで、中間絶縁膜は次のような2つの大
きな機能を有している。すなわち、その第1はSOG膜
等の平坦化膜を用いるエッチバックの精度を大幅に向上
させることである。これは、中間絶縁膜7にフッ素が含
まれていないため、エッチバック工程で平坦化膜と中間
絶縁膜のエッチング速度が容易に同程度にできるように
なるためである。
【0024】そして、その第2は、吸湿性の高いSiO
F膜中に水分が進入するのを防止するようになることで
ある。このために、SiOF膜の誘電率の上昇するのが
防止され、スルーホール部の配線のこのような水分によ
る腐食は無くなる。
【0025】
【発明の実施の形態】次に、本発明の第1の実施の形態
を図1と図2に基づいて説明する。図1および図2は本
発明の製造工程順の断面図である。なお、本発明の多層
配線構造についてはこの製造工程の中で説明される。
【0026】図1(a)に示すように、従来の技術と同
様にシリコン基板1上に下地絶縁膜2が形成される。次
に、下地絶縁膜2上に第1のバリア層3、第1の配線層
4および反射防止膜5の積層した配線層が形成される。
そして、全体を被覆するように膜厚が300〜800n
mのSiOF膜6が堆積される。ここで、SiOF膜6
は次のようにして堆積される。
【0027】すなわち、SiOF膜6は通常の平行平板
型PE−CVD装置にTEOSあるいはSiH4 系のガ
スとフッ素系のガス(例えばC26 、TEFS等のガ
ス)、酸素、ヘリウムの混合ガスを反応ガスとして成膜
される。ここで、TEOSとC26 を使用する場合の
SiOF膜中のフッ素濃度は、成膜時のPE−CVD装
置のパワー(RFパワー)、基板温度、混合ガス流量比
等で2〜10at%になるように調整する。このSiO
F膜中のフッ素濃度については図3に基づきその効果の
ところで後述される。
【0028】なお、具体的な成膜条件としては、TEO
S原料ガスを50〜200SCCM、C26 ガスを3
00〜600SCCM、酸素ガスを500〜2000S
CCM、ヘリウムガスを500〜2500SCCM、R
Fパワーを800〜1000W、基板温度を300〜4
00℃で調整する。また、TEOSとTEFSを使用す
る場合は、TEOS原料ガスを50〜150SCCM、
TEFSガスを10〜100SCCM、酸素ガスを50
0〜2000SCCM、ヘリウムガスを500〜250
0SCCM、RFパワーを400〜800W、基板温度
を300〜400℃で調整する。
【0029】続いて、同一の平行平板型PE−CVD装
置内で、TEOSガスを反応ガスとして上記のSiOF
膜6上に中間絶縁膜7が形成される。ここで、この中間
絶縁膜7は膜厚が500nm程度のフッ素を含まないシ
リコン酸化膜である。
【0030】ここで、SiOF膜6が堆積された時点で
は、第1の配線層4a,4b間のように配線間スペース
が狭いところでは、SiOF膜6表面の段差はなくほぼ
平坦になっているが、第1の配線層4,4a間のように
配線間スペースが広い部分や孤立配線層に近いところで
は、配線層の膜厚とほぼ同程度の段差が生じる。
【0031】なお、SiOF膜6は堆積と同時に添加ガ
スのC26 から発生するフッ素ラジカルやフッ素イオ
ンよるエッチングも同時に進行する。そして、このエッ
チングは配線層上の肩部で速いため、SiOF膜6は
0.25μm程度の配線間スペースでも隙間無く良好に
埋設されるようになる。しかし、SiOF膜6の膜厚が
300nmより薄いと、配線層間をSiOF膜6で埋め
込むことができない場所において段差肩部のエッチング
が不十分となる。そして、SiOF膜6で埋め込まれて
いない配線層間にボイドが形成されてしまう。また、S
iOF膜6の膜厚が800nmより厚くなると、SiO
F膜6は第1の配線層4,4a,4b上にそのままそっ
くり残るため第1の配線層上の膜厚が厚くなり過ぎる。
以上のことから、SiOF膜6の膜厚は300〜800
nmとするのが望ましい。
【0032】そして、このようなSiOF膜6上に中間
絶縁膜7が堆積された時点では、このような段差はある
程度軽減されるようになる。
【0033】次に、図1(b)に示すようにこの中間絶
縁膜7上に膜厚が200nm程度のSOG膜8が形成さ
れて中間絶縁膜7の表面が完全に平坦化されるようにな
る。ここで、SOG膜8は無機系のSOG膜塗布液の回
転塗布とその後の焼成で形成される。なお、1回のSO
G膜塗布液で段差がなくならない場合には、SOG膜は
複数回の塗布と焼成を経て形成される。
【0034】次に、図1(c)に示すように、フッ素系
ガス(例えば、CHF3 、CF4 、C26 、NF3
SiF4等)を用いて、SOG膜8および中間絶縁膜7
表面がドライエッチングでエッチバックされる。具体的
には、C26 ガス流量を10〜30SCCM,ヘリウ
ムガス流量を100SCCM程度にガス圧力を200〜
300Paとし、エッチングパワーを350〜400ワ
ットにしてエッチバックを行う。このような条件にすれ
ば、中間絶縁膜7とSOG膜8のエッチング速度には大
きな差がないため一様なエッチバックが進行し、図1
(c)に示すように完全に平坦な表面が形成される。こ
のエッチバック工程では、まず、第1の配線層上部の膜
厚の薄いSOG膜部がエッチング除去されその下の中間
絶縁膜7の表面が現れる。そして、エッチバックは中間
絶縁膜7が所望の膜厚になるまで継続される。最終的に
は、第1の配線層間にはSOG膜8が残るようになる。
このように中間絶縁膜7は、SOG膜8を用いるエッチ
バックの精度を大幅に向上させる。ここで、中間絶縁膜
7とSOG膜8のエッチング速度が同一になるように設
定されると平坦化の精度が大幅に向上する。
【0035】次に、図2(a)に示すように全面に上層
絶縁膜9が堆積される。この上層絶縁膜9は膜厚が20
0程度のシリコン酸化膜である。SOG膜8上に接して
配線層が形成されるとSOG膜8中の不純物や水分の影
響により配線層に腐食が発生したり剥がれたりすること
があるが、この上層絶縁膜9はこれらの悪影響を防ぐよ
うになる。なお、この時点で配線段差が十分に解消され
ていない場合には、再びSOG膜が塗布され、エッチバ
ック工程が繰り返されて更なる平坦化がなされる。
【0036】その後は、図2(b)に示すように周知の
フォトリソグラフィ技術およびエッチング技術により、
第1の配線層4,4a,4b上のSiOF膜3と中間絶
縁膜7および上層絶縁膜9にスルーホール10が形成さ
れる。続いて、第2のバリア層11がチタン系金属のス
パッタ法やCVD法で形成される。そして、タングステ
ン(W)等の金属が450℃程度での減圧CVD法で堆
積された後、スルーホール10内にのみにWが残るまで
エッチバックが施され金属プラグ12が形成される。こ
の金属プラグ上に第2の配線層13が公知の技術により
形成される。さらに多層化する場合は、先述した工程が
繰り返される。
【0037】なお、本実施の形態では、SiOF膜が平
行平板型のPE−CVD装置で成膜される例が示された
が、SiOF膜の形成方法はこれに限定するものではな
く、通常のICPやECR等の高密度プラズマ発生源を
用いたHDP−CVD装置で形成する場合でもよい。
【0038】上記実施の形態で用いられる中間絶縁膜7
の機能は先述したようにSOG膜8を用いるエッチバッ
クの精度を大幅に向上させることである。これが可能に
なる理由は、中間絶縁膜7にフッ素が含まれていないた
め、エッチバック工程でSOG膜8と中間絶縁膜7のエ
ッチング速度が容易に同程度にできるようになるためで
ある。そして、この中間絶縁膜の別の機能は、水分の量
が多いSOG膜からSiOF膜中に水分が進入するのを
防止することである。このために、SiOF膜の比誘電
率の上昇が防止され、スルーホール部の配線のこのよう
な水分による腐食はなくなる。
【0039】しかし、上記のようなSiOF膜6では、
フッ素濃度が増大するとその吸湿性が高くなる。そし
て、中間絶縁膜7中に水分があるとそれが吸収されSi
OF膜の誘電率が高くなってしまう。そこで、発明者は
SiOF膜中のフッ素濃度とその吸湿性について検討し
た。
【0040】図3は、SiOF膜の吸湿量とフッ素濃度
の関係を示す。ここで、SiOF膜は実施の形態で説明
した方法で形成された。また、吸湿量は、成膜後大気中
に1日中放置した後の吸水量の重さ変化で測定された。
【0041】図3から判るように、SiOF膜中のフッ
素濃度が10at%を越えるとこの膜の吸湿量は急激の
増大するようになる。このことは、上記の実施の形態の
ように水分が多く含まれる中間絶縁膜の場合には、Si
OF膜中のフッ素濃度が10at%以下になるように設
定するのがよいことを示す。
【0042】次に、本発明の第2の実施の形態を図4に
基づいて説明する。図4はこの実施の形態の主な製造工
程順の断面図である。なお、図4で本発明の第1の実施
の形態と同一のものは同一符号で示される。
【0043】図4(a)に示すように、シリコン基板1
上に下地絶縁膜2が形成される。そして、第1の実施の
形態と同様に、第1のバリア層3、第1の配線層4およ
び反射防止膜5の積層した配線層が形成される。また、
全体を被覆するように膜厚が400nm程度のSiOF
膜6が堆積される。ここで、SiOF膜6は第1の実施
の形態で説明したのと同様の方法で堆積される。但し、
この場合にはSiOF膜6に含まれるフッ素の濃度は1
0at%を越えるようになってもよい。
【0044】次に、このSiOF膜6上に中間絶縁膜7
aが堆積される。ここで、中間絶縁膜7aは膜厚が10
00nm程度のシリコン酸化膜である。このシリコン酸
化膜は、SiOF膜6を成膜した平行平板型PE−CV
D装置内で連続して、SiH4 とN2 Oの混合ガスを反
応ガスとしIn−Situに形成される。この場合に
は、水分および水酸基は中間絶縁膜7aに含まれない。
しかし、中間絶縁膜7aの成膜時のカバレッジは中間絶
縁膜7のそれに比べて悪くなる。
【0045】次に、図4(b)に示すようにこの中間絶
縁膜7a上に膜厚が500nm程度のSOG膜8aが形
成されて中間絶縁膜7aの表面が完全に平坦化されるよ
うになる。ここで、SOG膜8aは有機系のSOG膜塗
布液の回転塗布とその後の焼成で形成される。
【0046】次に、図4(c)に示すように、CF4
微量の酸素との混合ガスを用いて、SOG膜8aおよび
中間絶縁膜7a表面がドライエッチングでエッチバック
される。このエッチバック工程では、まず、第1の配線
層4,4a,4b上部の膜厚の薄いSOG膜がエッチン
グ除去されその下の中間絶縁膜7aの表面が現れる。そ
して、エッチバックは中間絶縁膜7aが所望の膜厚にな
るまで継続されSOG膜8aは全て除去される。このエ
ッチバック工程では、SOG膜8aのエッチング速度が
中間絶縁膜7aのそれより少し大きくなるように設定さ
れる。
【0047】以下、図2(b)に基づいて説明した第1
の実施の形態と同様に、スルーホール、第2のバリア
層、金属プラグおよび第2の配線層が形成される。
【0048】膜厚が500nm程度の第1の配線層上の
SiOF膜上にSOG膜を形成し、エッチバック後、さ
らにシリコン酸化膜を形成するような従来の技術で層間
絶縁膜を形成した場合、Wプラグでスルーホールを充填
すると、直径0.6μmのスルーホールまではほぼ10
0%の良品率で形成可能であったが、それより小さいス
ルーホールでは不良が発生した。この不良率は直径の小
さいスルーホールで大きい。これは、スルーホール開口
後のWプラグの形成の際SiOF膜中に大気やSOG膜
から入り込んだ水分が、スルーホール側壁に露出してい
るSiOF膜からWの下地膜としてTiN/Tiをスパ
ッタ法により形成する時や、その上にWをCVD法によ
り形成する時に放出されてTi,TiN,Wを酸化した
り成膜が行えなくなったためである。
【0049】これに対して、同一のPE−CVD装置内
でSiOF膜、中間絶縁膜7aを連続形成した後SOG
膜を形成し、エッチバックを行いこのSOG膜を完全に
除去し、第1の配線上の層間絶縁膜の膜厚を従来の技術
と同じくし、Wプラグでスルーホールを充填した場合
は、直径0.4μmのスルーホールにおいても良品率は
ほぼ100%であった。これは、SiOF膜の成膜後に
大気やSOG膜に触れることが無くSiOF膜中にはほ
とんど水分が含まれないためである。さらに、SiOF
膜中に水分が含まれると比誘電率が上昇するが、本発明
の方法では水分によるこの比誘電率の上昇はなく、Si
OF膜本来の比誘電率が維持され配線容量も小さくでき
るようになる。
【0050】次に、本発明の第3の実施の形態を図5に
基づいて説明する。図5はこの実施の形態の主な製造工
程の断面図である。なお、図5で本発明の第2の実施の
形態と同一のものは同一符号で示される。
【0051】図5に示すように、シリコン基板1上に下
地絶縁膜2が形成される。そして、第2の実施の形態と
同様に、第1のバリア層3、第1の配線層4および反射
防止膜5の積層した配線層が形成される。
【0052】そして、この積層した配線層と下地絶縁膜
2を被覆するように保護絶縁膜14が形成される。ここ
で、保護絶縁膜14はSiH4 とN2 Oの混合ガスを反
応ガスとするPE−CVD法で堆積される膜厚が100
nm以下のシリコン酸化膜である。次に、全体を被覆す
るように膜厚が300nm程度のSiOF膜6が堆積さ
れる。ここで、第2の実施の形態で説明したのと同様
に、SiOF膜6に含まれるフッ素の濃度は10at%
を越えるように形成される。
【0053】次に、このSiOF膜6上に中間絶縁膜7
aが堆積される。ここで、中間絶縁膜7aは膜厚が60
0nm程度のシリコン酸化膜である。このシリコン酸化
膜は第2の実施の形態と同様にして形成される。この場
合も、水分および水酸基は中間絶縁膜7aに含まれな
い。そして、以後の工程で、第2の実施の形態で説明し
たのと同様の工程を経て配線層間の層間絶縁膜が平坦化
され第2の配線層が形成される。
【0054】この場合には、SiOF膜中のフッ素濃度
が高い場合でも、このフッ素とアルミ等の配線層との反
応による腐食は皆無になる。また、アルミや反射防止膜
であるTiNとの密着性が悪くSiOF膜が剥がれやす
いというような問題も皆無になる。
【0055】
【発明の効果】以上に説明したように本発明では、Si
OF膜上に中間絶縁膜としてPE−CVD法等でシリコ
ン酸化膜が形成される。ここで、このシリコン酸化膜に
はフッ素原子は含有されない。そして、この中間絶縁膜
上に平坦化膜が形成される。ここで、平坦化膜は無機系
あるいは有機系のSOG膜である。このようにした後、
ドライエチングにより全面のエッチバックが施される。
このエッチバックでは、中間絶縁膜と平坦化膜のエッチ
ング速度はほぼ同一になるように設定される。
【0056】このために、SiOF膜上に中間絶縁膜を
介してSOG膜を形成しドライエッチングでエッチバッ
クする工程において、SiOF膜上の段差を平坦化する
ことが容易になる。そして、SiOF膜上に形成される
上層配線が断線したり短絡したりすることは皆無にな
る。あるいは、次工程でのパターン形成におけるフォト
リソグラフィ工程で良好な結像性能が容易に得られるよ
うになる。また、SiOF膜中に含まれる水分によりス
ルーホールでの配線の抵抗が高くなったり、配線が断線
したりするようなこともなくなる。さらに、SiOF膜
中のフッ素とアルミ等の配線層との反応による腐食は皆
無になる。また、アルミや反射防止膜であるTiNとの
密着性が悪くSiOF膜が剥がれやすいというような問
題も皆無になる。
【0057】このようにして、SiOF膜を層間絶縁膜
とした配線において、層間絶縁膜の誘電率が低くなり平
坦性に優れ且つ信頼性の高い多層配線構造の形成が容易
になる。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態を説明するための製
造工程順の断面図である。
【図2】本発明の第1の実施の形態を説明するための製
造工程順の断面図である。
【図3】上記実施の形態の条件を説明するためのグラフ
である。
【図4】本発明の第2の実施の形態を説明するための製
造工程順の断面図である。
【図5】本発明の第3の実施の形態を説明するための製
造工程順の断面図である。
【図6】従来の技術を説明するための製造工程順の模式
的断面図である。
【図7】従来の技術を説明するための製造工程順の断面
図である。
【符号の説明】
1,201 シリコン基板 2,202 下地絶縁膜 3 第1のバリア層 4,4a,4b,103,103a,203 第1の
配線層 5,204 反射防止膜 6,104,206 SiOF膜 7,7a 中間絶縁膜 8,8a,207 SOG膜 9 上層絶縁膜 10,209 スルーホール 11 第2のバリア層 12 金属プラグ 13,210 第2の配線層 14 保護絶縁膜 101 第1の下地絶縁膜 102 第2の下地絶縁膜 205 第1の保護絶縁膜 208 第2の保護絶縁膜
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平6−283485(JP,A) 特開 平7−201985(JP,A) 特開 平10−56009(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/31 - 21/3213 H01L 21/768

Claims (10)

    (57)【特許請求の範囲】
  1. 【請求項1】 半導体基板上に絶縁膜を介して第1の配
    線層を形成する工程と、フッ素を含有する酸化膜である
    SiOF膜を成膜する工程と、フッ素を含まない酸化膜
    である中間絶縁膜を成膜する工程と、SOG塗布溶液を
    全面に回転塗布しSOG膜を形成して前記中間絶縁膜の
    表面を平坦化する工程と、前記SOG膜および中間絶縁
    膜表面をフッ素系ガスでのドライエッチングでエッチバ
    ックする工程と、所定位置に前記第1の配線層に達する
    スルーホールを形成する工程と、前記第1の配線層に電
    気接続する第2の配線層を形成する工程と、を含むこと
    を特徴とする多層配線構造の形成方法。
  2. 【請求項2】 前記SiOF膜と前記中間絶縁膜とが同
    一の成膜装置内で連続して堆積されることを特徴とする
    請求項1記載の多層配線構造の形成方法。
  3. 【請求項3】 前記エッチバックの工程後にシリコン酸
    化膜が全面に成膜されることを特徴とする請求項1また
    は請求項2記載の多層配線構造の形成方法。
  4. 【請求項4】 前記第1の配線上にSiOF膜を形成す
    る前に前記第1の配線層がシリコン酸化膜で被覆される
    ことを特徴とする請求項1、請求項2または請求項3記
    載の多層配線構造の形成方法。
  5. 【請求項5】 前記SiOF膜、中間絶縁膜およびシリ
    コン酸化膜が平行平板型のプラズマ励起CVD装置ある
    いは、高密度プラズマ励起CVD装置を用いて形成され
    ることを特徴とする請求項1、請求項2、請求項3また
    は請求項4記載の多層配線構造の形成方法。
  6. 【請求項6】 前記SiOF膜がシラン系ガス或いはテ
    トラエチルオルソシリケ―ト(TEOS)と、フッ素系
    ガス或いはトリエトキシフルオロシラン(TEFS)
    と、酸素をソースガスとして形成されることを特徴とす
    る請求項3から請求項5に記載のうち1つの請求項に記
    載の多層配線構造の形成方法。
  7. 【請求項7】 前記フッ素系ガスがCF、C
    NF、SiFのうちの少なくとも1つであることを
    特徴とする請求項1から請求項6に記載のうち1つの請
    求項に記載の多層配線構造の形成方法。
  8. 【請求項8】 前記エッチバックの工程において、配線
    層間に形成される領域に埋め込まれたSOG膜が残存す
    るようにドライエッチングがなされることを特徴とする
    請求項1から請求項7に記載のうち1つの請求項に記載
    の多層配線構造の形成方法。
  9. 【請求項9】 前記エッチバックの工程において、前記
    第1の配線層上の前記SiOF膜が現れる前にドライエ
    ッチングが終了し、前記SiOF膜上に前記中間絶縁膜
    が残存することを特徴とする請求項1から請求項8に記
    載のうち1つの請求項に記載の多層配線構造の形成方
    法。
  10. 【請求項10】 前記エッチバックの工程において、前
    記SOG膜および中間絶縁膜表面のエッチング速度が同
    一になるように設定されていることを特徴とする請求項
    1から請求項9に記載のうち1つの請求項に記載の多層
    配線構造の形成方法。
JP09109291A 1997-04-25 1997-04-25 多層配線構造の形成方法 Expired - Fee Related JP3109449B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP09109291A JP3109449B2 (ja) 1997-04-25 1997-04-25 多層配線構造の形成方法
CN98101625A CN1198015A (zh) 1997-04-25 1998-04-22 半导体器件中的多层互连结构及其形成方法
US09/066,115 US6287956B2 (en) 1997-04-25 1998-04-23 Multilevel interconnecting structure in semiconductor device and method of forming the same
KR1019980014762A KR100327949B1 (ko) 1997-04-25 1998-04-24 반도체장치에서의다층배선구조및이의형성방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP09109291A JP3109449B2 (ja) 1997-04-25 1997-04-25 多層配線構造の形成方法

Publications (2)

Publication Number Publication Date
JPH10303298A JPH10303298A (ja) 1998-11-13
JP3109449B2 true JP3109449B2 (ja) 2000-11-13

Family

ID=14506459

Family Applications (1)

Application Number Title Priority Date Filing Date
JP09109291A Expired - Fee Related JP3109449B2 (ja) 1997-04-25 1997-04-25 多層配線構造の形成方法

Country Status (4)

Country Link
US (1) US6287956B2 (ja)
JP (1) JP3109449B2 (ja)
KR (1) KR100327949B1 (ja)
CN (1) CN1198015A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101569932B1 (ko) 2013-06-18 2015-11-17 이성현 목욕용 안전 회전의자

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6432808B1 (en) * 1999-12-03 2002-08-13 Xilinx, Inc. Method of improved bondability when using fluorinated silicon glass
KR100339433B1 (ko) 1999-12-30 2002-05-31 박종섭 반도체소자의 금속층 및 그 형성방법
US6645873B2 (en) * 2000-06-21 2003-11-11 Asm Japan K.K. Method for manufacturing a semiconductor device
JP4011870B2 (ja) * 2001-08-09 2007-11-21 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
KR20030039286A (ko) * 2001-11-12 2003-05-17 아남반도체 주식회사 반도체 소자 제조 방법
KR100443148B1 (ko) * 2001-12-21 2004-08-04 동부전자 주식회사 반도체소자의 제조방법
KR100417687B1 (ko) * 2002-05-07 2004-02-11 아남반도체 주식회사 반도체 소자의 금속전 절연막 형성 방법
JP4387654B2 (ja) * 2002-10-10 2009-12-16 パナソニック株式会社 半導体装置およびその製造方法
KR100557577B1 (ko) * 2002-12-07 2006-03-03 주식회사 하이닉스반도체 반도체소자의 형성 방법
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7232766B2 (en) 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US6939796B2 (en) * 2003-03-14 2005-09-06 Lam Research Corporation System, method and apparatus for improved global dual-damascene planarization
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
KR100650835B1 (ko) * 2004-10-29 2006-11-27 에스티마이크로일렉트로닉스 엔.브이. 반도체 소자의 소자분리막 형성방법
US8952458B2 (en) 2011-04-14 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Gate dielectric layer having interfacial layer and high-K dielectric over the interfacial layer
US9188544B2 (en) * 2012-04-04 2015-11-17 Kla-Tencor Corporation Protective fluorine-doped silicon oxide film for optical components
CN103788736B (zh) * 2014-01-14 2016-04-20 深圳先进技术研究院 绝缘层用组合物及在硅晶圆的硅通孔上制备绝缘层的方法
CN103956331B (zh) * 2014-04-29 2016-09-28 复旦大学 一种用于多孔互连介质表面封孔的薄膜及其制备方法
CN115662903B (zh) * 2022-11-14 2023-05-26 合肥晶合集成电路股份有限公司 半导体器件的制作方法以及半导体器件

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4920071A (en) * 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
GB8907898D0 (en) * 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US5225372A (en) * 1990-12-24 1993-07-06 Motorola, Inc. Method of making a semiconductor device having an improved metallization structure
US5279976A (en) * 1991-05-03 1994-01-18 Motorola, Inc. Method for fabricating a semiconductor device having a shallow doped region
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
JP2737478B2 (ja) * 1991-09-30 1998-04-08 日本電気株式会社 半導体装置の表面保護膜の形成方法
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5393708A (en) * 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5444016A (en) * 1993-06-25 1995-08-22 Abrokwah; Jonathan K. Method of making ohmic contacts to a complementary III-V semiconductor device
US5442235A (en) * 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US5753975A (en) * 1994-09-01 1998-05-19 Kabushiki Kaisha Toshiba Semiconductor device with improved adhesion between titanium-based metal wiring layer and insulation film
US5567658A (en) * 1994-09-01 1996-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for minimizing peeling at the surface of spin-on glasses
US5607773A (en) * 1994-12-20 1997-03-04 Texas Instruments Incorporated Method of forming a multilevel dielectric
JPH0982799A (ja) * 1995-09-13 1997-03-28 Hitachi Ltd 配線基板およびその製造方法
US6001728A (en) * 1996-03-15 1999-12-14 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
US5710454A (en) * 1996-04-29 1998-01-20 Vanguard International Semiconductor Corporation Tungsten silicide polycide gate electrode formed through stacked amorphous silicon (SAS) multi-layer structure.
US5763010A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US5817571A (en) * 1996-06-10 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multilayer interlevel dielectrics using phosphorus-doped glass
US5773360A (en) * 1996-10-18 1998-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of surface contamination in post-CMP cleaning
US5693547A (en) * 1996-10-22 1997-12-02 Advanced Micro Devices, Inc. Method of making vertical MOSFET with sub-trench source contact
US5888905A (en) * 1997-11-06 1999-03-30 Texas Instruments Incorporated Integrated circuit insulator and method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101569932B1 (ko) 2013-06-18 2015-11-17 이성현 목욕용 안전 회전의자

Also Published As

Publication number Publication date
KR19980081721A (ko) 1998-11-25
CN1198015A (zh) 1998-11-04
KR100327949B1 (ko) 2002-07-02
JPH10303298A (ja) 1998-11-13
US6287956B2 (en) 2001-09-11
US20010003060A1 (en) 2001-06-07

Similar Documents

Publication Publication Date Title
JP3109449B2 (ja) 多層配線構造の形成方法
KR100265256B1 (ko) 반도체 장치와 그의 제조방법
US6162583A (en) Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers
US6879042B2 (en) Semiconductor device and method and apparatus for manufacturing the same
US6316351B1 (en) Inter-metal dielectric film composition for dual damascene process
JP3193335B2 (ja) 半導体装置の製造方法
US6277764B1 (en) Interlayered dielectric layer of semiconductor device and method of manufacturing the same
US6734116B2 (en) Damascene method employing multi-layer etch stop layer
US6211570B1 (en) Semiconductor device having a multilayer interconnection structure
US6436850B1 (en) Method of degassing low k dielectric for metal deposition
US6376367B1 (en) Method for manufacturing multilayer interconnects by forming a trench with an underlying through-hole in a low dielectric constant insulator layer
JP2001185614A (ja) 半導体装置およびその製造方法
JP3186998B2 (ja) 半導体装置および半導体装置の製造方法
JP3729731B2 (ja) 半導体素子の製造方法
KR100567021B1 (ko) 반도체 장치의 fsg의 층간 절연막 형성방법
KR100265051B1 (ko) 반도체 소자의 제조방법
US6709975B2 (en) Method of forming inter-metal dielectric
JPH09139428A (ja) 半導体装置
KR100457740B1 (ko) 반도체소자의 다층 금속배선 형성방법
JP3467393B2 (ja) 半導体装置の配線形成方法
JP3442064B2 (ja) 半導体装置の製造方法
KR100367499B1 (ko) 반도체소자의제조방법
KR100419878B1 (ko) 반도체소자의제조방법
KR100427539B1 (ko) 반도체소자의다중금속층형성방법
JPH09246374A (ja) 多層配線構造体及びその製造方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 19991124

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20000815

LAPS Cancellation because of no payment of annual fees