KR20170089943A - 평탄화된 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들 - Google Patents

평탄화된 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들 Download PDF

Info

Publication number
KR20170089943A
KR20170089943A KR1020177020626A KR20177020626A KR20170089943A KR 20170089943 A KR20170089943 A KR 20170089943A KR 1020177020626 A KR1020177020626 A KR 1020177020626A KR 20177020626 A KR20177020626 A KR 20177020626A KR 20170089943 A KR20170089943 A KR 20170089943A
Authority
KR
South Korea
Prior art keywords
planarization layer
extreme ultraviolet
layer
top surface
blanks
Prior art date
Application number
KR1020177020626A
Other languages
English (en)
Other versions
KR102060035B1 (ko
Inventor
카라 배슬리
랄프 호프만
마지드 에이. 포아드
티모시 마이클슨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170089943A publication Critical patent/KR20170089943A/ko
Application granted granted Critical
Publication of KR102060035B1 publication Critical patent/KR102060035B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Abstract

통합형 극자외선(EUV) 블랭크 생산 시스템은, 기판을 진공에 두기 위한 진공 챔버; 평탄화된 최상부 표면을 갖는 평탄화 층을 기판 위에 증착시키기 위한 제 1 증착 시스템; 및 기판을 진공으로부터 제거하지 않고, 평탄화 층 상에 다층 스택을 증착시키기 위한 제 2 증착 시스템을 포함한다. EUV 리소그래피 시스템은, 극자외선 광 소스; EUV 소스로부터의 광을 지향시키기 위한 거울; 평탄화 층을 갖는 EUV 마스크 블랭크를 위치시키기 위한 레티클(reticle) 스테이지; 및 웨이퍼를 위치시키기 위한 웨이퍼 스테이지를 포함한다. EUV 블랭크는, 기판; 기판의 표면과 관련된 결점들을 보완하기 위한 평탄화 층 ― 평탄화 층은 편평한 최상부 표면을 가짐 ―; 및 평탄화 층 상의 다층 스택을 포함한다.

Description

평탄화된 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들{PLANARIZED EXTREME ULTRAVIOLET LITHOGRAPHY BLANK, AND MANUFACTURING AND LITHOGRAPHY SYSTEMS THEREFOR}
본 발명은 일반적으로, 극자외선 리소그래피 블랭크들, 및 그러한 극자외선 리소그래피 블랭크들을 위한 제조 및 리소그래피 시스템들에 관한 것이다.
극자외선 리소그래피(EUV, 또한, 연질 x-선 투사 리소그래피(soft x-ray projection lithography)로 알려짐)는, 0.13 미크론 및 그보다 더 작은, 최소 피쳐(feature) 크기의 반도체 디바이스들의 제조를 위해 심자외선(deep ultraviolet) 리소그래피를 대체할 경쟁자이다.
그러나, 일반적으로 5 내지 40 나노미터 파장 범위인 극자외선 광은 사실상 모든 재료들에서 강하게 흡수된다. 그러한 이유 때문에, 극자외선 시스템들은 광의 투과(transmission)에 의해서보다 반사(reflection)에 의해서 작동(work)된다. 비-반사성 흡수체(absorber) 마스크 패턴으로 코팅된 마스크 블랭크, 또는 반사성 엘리먼트, 및 일련의 거울들 또는 렌즈 엘리먼트들의 사용을 통해, 패터닝된 화학선 광(actinic light)은 레지스트 코팅된 반도체 웨이퍼 상으로 반사된다.
극자외선 리소그래피 시스템들의 마스크 블랭크들 및 렌즈 엘리먼트들은, 몰리브덴 및 실리콘과 같은 재료들의 반사성 다층 코팅들로 코팅된다. 렌즈 엘리먼트, 또는 마스크 블랭크당 대략 65%의 반사 값들은, 매우 좁은 자외선 통과대역(bandpass); 예를 들어, 13 나노미터 자외선 광에 대해 12 내지 14 나노미터의 통과대역 내의 본질적으로 단일 파장의 광을 강하게 반사하는 다층 코팅들로 코팅된 기판들을 사용함으로써 획득되어왔다.
반도체 프로세싱 기술에서, 문제들을 야기하는 다양한 종류들(classes)의 결함들이 존재한다. 흑결함들(opaque defects)은 전형적으로, 광이 반사되어야 할 때에 광을 흡수하는, 마스크 패턴 또는 다층 코팅들의 최상부 상의 입자들에 의해 야기된다. 백결함들(clear defects)은 전형적으로, 광이 흡수되어야 할 때 광이 반사되게 하는, 다층 코팅들의 최상부 상의 마스크 패턴의 핀홀들에 의해 야기된다. 그리고 상 결함들(phase defects)은 전형적으로, 반사된 광의 상의 전이들(transitions)을 야기하는, 다층 코팅들 아래의 표면 변화들 및 스크래치들에 의해 야기된다. 이러한 상 전이들은, 반도체 웨이퍼의 표면 상의 레지스트에서 노출될 패턴을 왜곡(distort)시키거나 변경(alter)시키는 광파 간섭 효과들(light wave interference effects)을 초래한다. 0.13 미크론 미만의 최소 피쳐 크기에 대해서 사용되어야 하는, 방사선(radiation)의 더 짧은 파장들 때문에, 이전에는 대수롭지 않았던 표면 변화들 및 스크래치들이, 이제는 허용 불가능하게(intolerable) 된다.
입자 결함들을 감소시키거나 제거하는 데에 진전(progress)이 이루어지고 마스크들에서의 흑결함 및 백결함의 수리(repair)에 대한 작업이 이루어지기는 했지만, 지금까지는 상 결함들의 문제를 다루기 위한 어떠한 조치도 취해지지 않았다. 심자외선 리소그래피의 경우, 표면들은 60도 미만의 상 전이들을 유지하도록 프로세싱된다. 극자외선 리소그래피에 대한 유사한 프로세싱이 아직 개발되지 않았다.
13 나노미터의 화학선 파장의 경우, 다층 코팅으로부터 반사된 광에서의 180도 상 전이는, 기저 표면에서의, 깊이가 3 나노미터만큼 작은 스크래치 때문에 발생할 수 있다. 더 짧은 파장들의 경우, 이러한 깊이는 더 얕게 된다. 유사하게, 동일한 파장에서, 백(100) 나노미터 런(run)에 대해 일(1) 나노미터 상승(rise)보다 더 급격한 표면 변화들은 유사한 상 전이들을 야기할 수 있다. 이러한 상 전이들은 반도체 웨이퍼의 표면에서 상 결함을 야기할 수 있고, 반도체 디바이스들을 수리 불가능하게(irreparably) 손상시킬 수 있다.
과거에, 심자외선 리소그래피를 위한 마스크 블랭크들은 일반적으로 유리로 만들어졌지만, 극자외선 리소그래피를 위해서는, 실리콘 또는 초-저 열팽창(ultra-low thermal expansion) 재료들이 대안들로서 제안되어왔다. 블랭크가 유리로 만들어지든, 실리콘으로 만들어지든, 또는 초-저 열팽창 재료로 만들어지든, 마스크 블랭크의 표면은, 화학적 기계적 폴리싱, 자기-유동 유체 연마(magneto-rheological finishing), 또는 이온 빔 폴리싱과 같은 프로세스들에 의해, 가능한 평활하게(smooth) 만들어진다. 그러한 프로세스 뒤에 남는 스크래치들은 종종, "스크래치-딕(dig)" 마크들로서 지칭되며, 이들의 깊이 및 폭은 마스크 블랭크를 폴리싱하는 데에 사용된 연마재(abrasive)의 입자들의 크기에 따른다. 가시광선(visible) 및 심자외선 리소그래피의 경우, 이러한 스크래치들은, 반도체 웨이퍼 상의 패턴에 상 결함들을 야기하기에는 너무 작다. 그러나, 극자외선 리소그래피의 경우에, 스크래치-딕 마크들은, 이들이 상 결함들로서 나타날 것이기 때문에, 상당한 문제이다.
EUV 리소그래피를 위해 요구되는 짧은 조사(illumination) 파장들 때문에, 사용되는 패턴 마스크들은, 현재의 리소그래피에서 사용되는 투과성 마스크들 대신에, 반사성 마스크여야 한다. 반사성 마스크는 몰리브덴 및 실리콘의 교번하는 얇은 층들의 정밀한 스택으로 구성되고, 이는 Bragg 반사체(reflector) 또는 거울을 생성한다. 작은 피쳐 크기 및 다층 스택의 성질 때문에, 다층 스택이 증착되는 기판의 표면에서의 임의의 결점들(imperfections)이 확대될 것이고, 최종 제품에 영향을 줄 것이다. 수 나노미터 스케일의 결점들은, 마감처리된(finished) 마스크 상에서, 프린트 가능한(printable) 결함들로서 나타날 수 있으며, 다층 스택의 증착 이전에, 마스크 블랭크의 표면으로부터 제거될 필요가 있다.
일반적인 결점들은 피트들(pits), 스크래치들, 및 입자들을 포함한다. 일반적인 세정 기술들은 많은 입자들을 제거하지만, 새로운 피트들을 생성하거나 이미 존재하는 피트들을 확장시킨다. 피트들은 폴리싱 또는 세정 프로세스로부터 기인할 수 있거나, 컷팅 및 폴리싱 프로세스 동안 노출되는, 기판 재료 자체의 흠들(flaws) 또는 함유물들(inclusions)로부터 유래할 수 있다. 추가적인 폴리싱이, 표면의 피트들을 제거하는 데에 사용될 수 있지만, 그러한 프로세스에서 새로운 피트들이 노출되거나 야기될 위험성이 존재하며, 이는, 기판 표면을 평활화하고 평탄화하는 데에 폴리싱을 단독으로 사용하는 것의 유용성을 제한한다. 기판을 평활화하기 위한 다른 방법은 레이저 또는 플라즈마 어닐링이다. 이러한 기술들은 유리 기판의 얇은 표면 층을 용융시키고(melt) 리플로우(reflow)시켜서, 국부적인 결함들을 제거한다. 문제는, 이러한 기술들은 기판 표면에 더 긴 범위의 거칠기 또는 리플들(ripples)을 유도하여, EUV 마스크 블랭크들을 위해 요구되는 기판 편평도(flatness)를 제공하지 않는다는 점이다.
전자 컴포넌트들의 점점 더 작은 피쳐 크기에 대한 필요성을 고려하여, 이러한 문제들에 대한 답들을 찾는 것이 점점 더 중요해진다. 성장하고 있는 소비자들의 기대들과 함께, 계속 증가하는 상업적인 경쟁 압박들을 고려하여, 이러한 문제들에 대한 답들을 찾는 것이 중요하다. 부가적으로, 비용들을 절감하고, 효율성들과 성능을 개선하며, 경쟁 압박들을 충족시키기 위한 필요성은, 이러한 문제들에 대한 답들을 찾기 위한 중요한 필요성에, 훨씬 더 큰(even greater) 긴급성을 부가한다.
이러한 문제들에 대한 해결책들이 오랫동안 탐색되어 왔지만, 이전의 발전들은 어떠한 해결책들도 교시하거나 제안하지 않았고, 따라서, 이러한 문제들에 대한 해결책들은 오랫동안 당업자에게 발견되지 않았다.
본 발명의 실시예는 통합형 극자외선(EUV) 블랭크 생산 시스템으로서, 기판을 진공에 두기 위한 진공 챔버; 평탄화된 최상부 표면을 갖는 평탄화 층을 기판 위에 증착시키기 위한 제 1 증착 시스템; 및 기판을 진공으로부터 제거하지 않고, 평탄화 층 상에 다층 스택을 증착시키기 위한 제 2 증착 시스템을 포함한다.
본 발명의 실시예는 EUV 리소그래피 시스템으로서, 극자외선 광 소스; EUV 소스로부터의 광을 지향시키기 위한 거울; 평탄화 층을 갖는 EUV 마스크 블랭크를 위치시키기 위한 레티클(reticle) 스테이지; 및 웨이퍼를 위치시키기 위한 웨이퍼 스테이지를 포함한다.
본 발명의 실시예는 EUV 블랭크로서, 기판; 기판의 표면과 관련된 결점들을 보완하기 위한 평탄화 층 ― 평탄화 층은 편평한 최상부 표면을 가짐 ―; 및 평탄화 층 상의 다층 스택을 포함한다.
본 발명의 특정 실시예들은 상기 언급된 것을 대신하여 또는 그에 부가하여 다른 단계들 또는 엘리먼트들을 갖는다. 단계들 또는 엘리먼트는, 첨부된 도면들을 참조하여 고려할 때 이하의 상세한 설명을 읽음으로써 당업자에게 자명해질 것이다.
도 1은 통합형 극자외선(EUV) 마스크 생산 시스템이다.
도 2는 본 발명의 실시예에 따른 EUV 마스크 블랭크이다.
도 3은 EUV 마스크이다.
도 4는, 초-저 결함들을 갖는 EUV 마스크 블랭크를 만들기 위한 방법이다.
도 5는, 초-저 결함들을 갖는 EUV 마스크 블랭크를 만들기 위한 대안적인 방법이다.
도 6은 EUV 리소그래피 시스템을 위한 광학 트레인(train)이다.
도 7은 EUV 리소그래피 시스템이다.
이하의 실시예들은, 당업자가 본 발명을 실시 및 사용할 수 있도록, 충분히 상세하게 설명된다. 본 개시물에 기초하여 다른 실시예들이 명백할 것이고, 본 발명의 범위에서 벗어나지 않고, 시스템, 프로세스, 또는 기계적 변화들이 이루어질 수 있음이 이해되어야 한다.
이하의 설명에서, 본 발명의 철저한 이해를 제공하기 위해 다수의 구체적인 세부 사항들이 주어진다. 그러나, 본 발명은 이러한 구체적인 세부 사항들 없이 실시될 수 있음이 자명할 것이다. 본 발명을 불분명하게 하는 것을 피하기 위해, 몇몇 잘 알려진 회로들, 시스템 구성들, 및 프로세스 단계들은 상세하게 개시되지 않는다.
시스템의 실시예들을 나타내는 도면들은 반-도식적(semi-diagrammatic)이고 실척이 아니며(not to scale), 특히, 치수들 중 몇몇은, 표현의 명료함을 위한 것이고, 도시된 도면들에서 과장되게 도시된다. 유사하게, 설명의 용이함을 위해 도면들의 뷰들(views)은 일반적으로 유사한 배향들을 보여주지만, 도면들에서의 이러한 묘사는 대부분의 경우에 임의적인 것이다. 일반적으로, 본 발명은 임의의 배향으로 작동될 수 있다.
공통으로 몇몇 특징들을 갖는 다수의 실시예들이 개시되고 설명되는 경우, 이들의 예시, 설명, 및 이해를 명료하고 용이하게 하기 위해서, 유사한 그리고 비슷한 특징들은 유사한 참조 번호들로 설명될 것이다.
설명의 목적들을 위해, 본원에서 사용되는 "수평의" 라는 용어는, 그 배향과 상관없이, 마스크 블랭크의 표면 또는 평면에 평행한 평면으로 정의된다. "수직의" 라는 용어는, 이제 막 정의된 수평에 수직인 방향을 지칭한다. "위(above)", "밑(below)", "바닥부(bottom)", "최상부(top)", "("측벽"에서 처럼) 측(side)", "더 높은(higher)", "더 낮은(lower)", "상부(upper)", "위에(over)", 및 "아래에(under)" 와 같은 용어들은, 도면들에서 도시된 바와 같이, 수평 평면에 대해서 정의된다. "상에서(on)" 라는 용어는 엘리먼트들 간의 직접 접촉이 있음을 나타낸다.
본원에서 사용되는 "프로세싱" 이라는 용어는, 설명되는 구조를 형성할 때 요구되는 바와 같이, 포토레지스트 또는 재료의 증착, 패터닝, 노출, 현상(development), 에칭, 세정, 및/또는 포토레지스트 또는 재료의 제거를 포함한다.
본 발명의 실시예들은, 피트들을 충진(fill)하고 결함들을 매립(bury)하기 위해서 CVD, PVD, ALD, 및 유동성 CVD(flowable CVD)에 의해, 실리콘, 실리콘 산화물, 및 양립 가능한 열 팽창 계수를 갖는 관련된 필름들을 증착시키기 위한 다양한 확립된 기술들을 사용한다. 일단 증착되면, 필름들 표면은 추가적인 다층 스택 증착을 할 만큼 충분히 평활하고 편평할 수 있거나, 또는 그러한 증착 후에, CMP, 어닐링, 또는 이온 빔 폴리싱을 포함하는, 다양한 확립된 평활화 또는 폴리싱 기술들을 사용하여 추가적으로 평활화될 수 있다.
이제 도 1을 참조하면, 통합형 극자외선(EUV) 마스크 생산 시스템(100)이 도 1에 도시된다. 통합형 EUV 마스크 생산 시스템(100)은 마스크 블랭크 로딩 및 캐리어 취급(handling) 시스템(102)을 포함하고, 마스크 블랭크들(104)이 그 시스템(102)으로 로딩된다. 에어록(airlock; 106)은 웨이퍼 취급 진공 챔버(108)로의 액세스를 제공한다. 도시된 실시예에서, 웨이퍼 취급 진공 챔버(108)는 2개의 진공 챔버들, 즉, 제 1 진공 챔버(110) 및 제 2 진공 챔버(112)를 포함한다. 제 1 진공 챔버(110) 내에는 제 1 웨이퍼 취급 시스템(114)이 있고, 제 2 진공 챔버(112) 내에는 제 2 웨이퍼 취급 시스템(116)이 있다.
웨이퍼 취급 진공 챔버(108)는, 다양한 다른 시스템들의 부착을 위해, 챔버 주변(periphery) 둘레에 복수의 포트들을 갖는다. 제 1 진공 챔버(110)는 디가스(degas) 시스템(118), 제 1 물리 기상 증착 시스템(120), 제 2 물리 기상 증착 시스템(122), 및 사전세정(preclean) 시스템(124)을 갖는다.
제 2 진공 챔버(112)는, 제 2 진공 챔버(112)에 연결된, 제 1 다중-캐소드(multi-cathode) 소스(126), 유동성 화학 기상 증착(FCVD) 시스템(128), 경화 시스템(130), 및 제 2 다중-캐소드 소스(132)를 갖는다.
제 1 웨이퍼 취급 시스템(114)은, 연속적인 진공(continuous vacuum)에서, 슬릿 밸브들을 통해서 그리고 제 1 진공 챔버(110)의 주변 둘레의 다양한 시스템들 및 에어록(106) 사이에서, 웨이퍼(134)와 같은 웨이퍼들을 이동시킬 수 있다. 제 2 웨이퍼 취급 시스템(116)은 웨이퍼(136)와 같은 웨이퍼들을 연속적인 진공에서 유지하면서 그러한 웨이퍼들을 제 2 진공 챔버(112) 둘레에서 이동시킬 수 있다.
통합형 EUV 마스크 생산 시스템(100)은 EUV 마스크 블랭크들을 제조하기 위한 이상적인 환경을 제공한다는 것이 밝혀졌다.
이제 도 2를 참조하면, 본 발명의 실시예에 따른 EUV 마스크 블랭크(200)가 도 2에 도시된다. EUV 마스크 블랭크(200)는, 유리, 실리콘, 또는 다른 초-저 열 팽창 재료로 만들어진 초-저 열 팽창 기판(202)을 갖는다. 초-저 열 팽창 재료들은 용융(fused) 실리카, 용융 석영, 플루오르화 칼슘, 실리콘 탄화물, 실리콘 산화물-티타늄 산화물 합금, 또는 열 팽창 계수가 이러한 재료들의 범위 내에 있는 다른 재료를 포함한다.
초-저 팽창 기판(202)의 최상부 표면은, 범프들, 피트들, 및 입자들과 같은 결점들(203)을 갖는데, 이 결점들은, 연마재를 이용하는 화학적 기계적 폴리싱(CMP)으로부터 초래된다. 그러한 프로세스 이후에 남는 스크래치들은 종종, "피트들" 및/또는 "스크래치-딕" 마크들로 지칭되고, 이들의 깊이 및 폭은, EUV 마스크 블랭크(200)를 폴리싱하는 데에 사용되는 연마재의 입자들의 크기에 따른다.
일반적으로 피트들로 지칭되는, EUV 마스크 블랭크(200)의 피트 및 스크래치 결점들은 평탄화 층(204)의 증착에 의해 충진됨으로써 제거될 수 있음이 밝혀졌다. 평탄화 층(204) 또는 유동성 필름은, 100Å 내지 10,000Å 범위의 두께로, CVD, PVD 또는 유사한 프로세스들에 의해 실리콘, 실리콘 산화물 또는 관련된 필름들을 증착시키거나, 유동성 CVD 필름을 증착시킴으로써 형성될 수 있다.
필름의 증착은, 문제들을 야기할, 기판의 표면에 있는 표면 결점들, 예컨대 1:6 내지 30:1의 종횡비들 및 최대 32nm의 깊이 및 220nm의 폭을 갖는 피트들을 충진하고 평평하게(level out)한다는 것이 밝혀졌다.
또한, 초-저 팽창 기판(202) 상에 있는, 다른 표면 결점들, 예컨대, 입자들, 범프들, 및 다른 결함들은, 이들이 야기할 수 있는 임의의 문제들을 제거하기 위해, 평탄화될 수 있다는 것이 밝혀졌다. 평탄화 층(204)은 범프를 평평하게 할 수 있거나, 10nm 내지 300nm의 입자를 완전히 캡슐화(encapsulate)할 수 있다.
평탄화 층(204)은, EUV 어플리케이션들을 위해 충분한 편평한 최상부 표면, 또는 평탄화 층(204) 아래의 결점들(203)을 갖는 표면들보다 더 큰 평탄도(planarity)를 갖는 평탄화 층(204)의 평활한 최상부 표면(205)을 제공할 수 있다는 것이 밝혀졌다.
유동성 CVD 필름들의 경우에, EUV 마스크 블랭크(200)를 위한, 초-저 팽창 기판(202) 상에 용인 가능하게 평활하고 편평한 표면을 달성하기 위해, 어떠한 추가적인 프로세싱도 요구되지 않을 수 있다. 실리콘, 실리콘 산화물, 또는 관련된 필름들의 경우, 증착 후 평활화가 요구될 수 있다. 이러한 평활화는 다양한 폴리싱 방법들에 의해 완료될 수 있는데, 그러한 방법들은 CMP, 화학적 폴리싱, 이온 빔 폴리싱 또는 어닐링을 포함하지만, 이에 제한되지는 않는다. 이러한 평활화 기술들은 또한, 추가적인 평활화가 요구되는 경우, 유동성 CVD 필름에 적용될 수 있다.
본 발명의 평탄화 층(204)의 평활한 최상부 표면(205)의 평활도(smoothness)는 0.5nm(나노미터) RMS 미만일 수 있음이 밝혀졌다.
따라서, 평탄화 층(204)은 기저 층 또는 기판의 결함들 및/또는 피트들을 충진하기 위해, 기저 층 또는 기판의 최상부 상의 입자들을 커버하거나, 또는 이미 평탄화된 기저 층 또는 기판을 평활화하기 위해 사용될 수 있다.
다층 스택(206)이 평탄화 층(204) 위에 형성되어 Bragg 반사체를 형성한다. EUV에서 사용되는 조사 파장들 및 광학계(optics)의 투과적인 성질 때문에, 반사성 광학계가 사용되고, 다층 스택(206)은, 반사체를 형성하는 몰리브덴 및 실리콘과 같은 높은-Z 및 낮은-Z 재료들의 교번하는 층들로 만들어질 수 있다.
캐핑 층(capping layer; 208)이 다층 스택(206) 위에 형성된다. 캐핑 층은, 마스크 프로세싱 동안 EUV 마스크 블랭크(200)가 노출될 수 있는 임의의 화학적 에천트들(etchants) 및 산화로부터 다층 스택(206)을 보호하는 것을 돕기 위한 루테늄(Ru)과 같은 재료 또는 그의 비-산화된 화합물일 수 있다. 티타늄 질화물, 붕소 탄화물, 실리콘 질화물, 루테늄 산화물, 및 실리콘 탄화물과 같은 다른 재료가 또한, 캐핑 층(208)에서 사용될 수 있다.
흡수체 층(210)은 캐핑 층(208) 위에 위치된다. 흡수체 층(210)은 EUV 광(예를 들어, 13.5nm)의 특정 주파수에 대해 높은 흡수 계수를 갖는 재료로 이루어지고, 크롬, 탄탈륨, 또는 그의 질화물들과 같은 재료일 수 있다.
반사-방지(anti-reflective) 코팅(ARC)(212)이 흡수체 층(210) 상에 증착된다. ARC(212)는 탄탈륨 산질화물 또는 탄탈륨 붕소 산화물과 같은 재료로 이루어질 수 있다.
후면 척킹 층(backside chucking layer; 214)은, 기판을 정전 척(도시되지 않음) 상에 또는 정전 척으로 척킹하기 위해, 초-저 팽창 기판(202)의 배면(rear surface) 상에 형성된다.
이제 도 3을 참조하면, EUV 마스크(300)가 도 3에 도시된다. EUV 마스크(300)는 정사각형이고, 그의 최상부 표면 상에 패턴(302)을 갖는다.
이제 도 4를 참조하면, 초-저 결함들을 갖는 EUV 마스크 블랭크(200)를 만들기 위한 방법(400)이 도 4에 도시된다. 초-저 결함들은 실질적으로 제로(zero) 결함들이다. 방법(400)은, 단계(402)에서, 유리 블랭크가 공급되는 것을 포함한다. 유리 블랭크는 단계(404)에서 후면 세정되고, 단계(406)에서 디가싱되고 사전세정된다.
단계(408)에서 도 2의 후면 척킹 층(214)이 적용되고, 단계(410)에서 전면 세정이 수행된다. 몇몇 단계들(412)은, 주위 조건들(ambient conditions)로부터 오염을 피하기 위해, 연속적인 진공 하에 있으면서, 도 1의 통합형 EUV 마스크 생산 시스템(100)에서 더 잘 수행된다.
단계(414)에서 디가스 및 사전세정이 수행되고, 단계(416)에서 평탄화가 수행된다. 평탄화 층 경화 단계(418)에서 평탄화 층이 경화되고, 단계(420)에서 다층 증착이 수행된다. 캐핑 층 단계(422)에서 캐핑 층(208)이 증착된다.
그 후에 통합형 EUV 마스크 생산 시스템(100)을 빠져나가고, 단계(424)에서 심자외선(DUV)/화학선 검사가 수행되며, 선택적으로, 단계(426)에서 마스크 블랭크가 세정되고, 단계(428)에서 흡수체 층 및 반사-방지 코팅이 증착된다.
이제 도 5를 참조하면, 초-저 결함들을 갖는 EUV 마스크 블랭크(200)를 만들기 위한 대안적인 방법(500)이 도 5에 도시된다. 초-저 결함들은 실질적으로 제로 결함들이다. 대안적인 방법(500)은 단계(502)에서 유리 블랭크가 공급되면서 시작한다. 유리 블랭크는 단계(504)에서 후면 세정되고, 단계(506)에서 전면 세정된다.
몇몇 단계들(508)은, 주위 조건들로부터 오염을 피하기 위해, 연속적인 진공 하에 있으면서, 도 1의 통합형 EUV 마스크 생산 시스템(100)에서 더 잘 수행된다.
단계(510)에서 마스크 블랭크가 디가싱되고 사전세정된다. 단계(512)에서 후면 척킹 층(214)이 증착되고, 단계(514)에서 평탄화가 이뤄진다. 단계(516)에서 평탄화 층이 경화된다. 단계(518)에서 다층 증착이 수행되고, 단계(520)에서 캐핑 층이 적용된다.
DUV/화학선 검사는 통합형 EUV 마스크 생산 시스템(100) 내부에서 수행될 수 있는 반면, 단계(522)에서, 그러한 검사는 또한 외부에서 이뤄질 수 있다. 선택적으로, 단계(524)에서 마스크 블랭크가 세정되고, 단계(526)에서 흡수체 층 및 반사-방지 코팅이 증착될 수 있다.
이제 도 6을 참조하면, EUV 리소그래피 시스템을 위한 광학 트레인(600)이 도 6에 도시된다. 광학 트레인(600)은, EUV 광을 생성하고 그러한 광을 수집기(collector; 604)에 수집하기 위해, 플라즈마 소스(602)와 같은 극자외선 광 소스를 갖는다. 수집기(604)는, 조사기 시스템(606)의 일부인 필드 패싯 거울(field facet mirror, 608)에 광을 제공하고, 조사기 시스템(606)은 동공 패싯 거울(pupil facet mirror, 610)을 추가로 포함한다. 조사기 시스템(606)은 EUV 광을 (도 1의 마스크 블랭크(104)의 완전히 프로세싱된 버전인) 레티클(612)에 제공하고, 레티클(612)은, 투사 광학계(614)를 통해 웨이퍼(616) 상으로 EUV 광을 반사시킨다.
이제 도 7을 참조하면, EUV 리소그래피 시스템(700)이 도 7에 도시된다. EUV 리소그래피 시스템(700)은 광학 트레인(600)에 대한 부속물들로서 EUV 광 소스 영역(702), 레티클 스테이지(704) 및 웨이퍼 스테이지(706)를 포함한다.
본 발명의 실시예들은, 기판 표면 상의 모든 피트들, 결함들, 및 입자들을 제거하기 위해, EUV 블랭크들을 평탄화 및 평활화하고, 이로써, 표면은 원자적으로(atomically) 편평하고 평활하다. 아이디어(idea)는 결함이 없는(defect free) 재료를 EUV 블랭크 기판의 표면 상에 증착시키는 것이고, 그러면 그러한 기판은 어떠한 결함들도 유발하지 않고 프로세싱되어 원자적으로 편평하고 평활한 표면을 달성할 수 있다. 도 3의 EUV 마스크(300)는 EUV 리소그래피 시스템(700)의 중요한 컴포넌트이고, EUV 리소그래피 시스템(700)은, 적절하게 평탄화된, 편평하고 평활한 EUV 블랭크 상의 EUV 마스크 없이는 그 시스템의 기능을 수행할 수 없다.
제 1 단계는, 존재하는 임의의 피트들을 충진하는 것인데; 이는, CVD, PVD, 또는 유사한 프로세스를 통해 실리콘, 실리콘 산화물 또는 관련된 필름들을 증착시키거나, 또는 유동성 CVD 필름인 평탄화 층을 증착시킴으로써 완료될 수 있다. 이러한 평탄화 단계는 또한, EUV 블랭크 기판 표면 상에 또는 내에 존재하는 입자들, 범프들, 피트들, 및 다른 결함들을 매립할 것이다. 유동성 CVD 필름들의 경우, EUV 블랭크 기판 상의 용인 가능하게 평활하고 편평한 표면을 달성하는 데에 어떠한 추가적인 프로세싱도 요구되지 않을 수 있다.
실리콘, 실리콘 산화물, 또는 관련된 필름들의 경우, 증착 후 평활화가 아마도 요구될 것이다. 이러한 평활화는 다양한 폴리싱 방법들에 의해 이뤄질 수 있는데, 그러한 방법들은 CMP, 화학적 폴리싱, 이온 빔 폴리싱, 또는 어닐링을 포함하지만 이에 제한되지는 않는다. 이러한 기술들은 또한, 추가적인 평활화가 요구되는 경우, 유동성 CVD 필름들에 적용될 수 있다.
이러한 방법의 하나의 이점은, 방법이 기판 독립적이고, 그래서 방법은 다양한 기판들 및 기판 품질들에 대해 사용될 수 있다는 것이다. 방법은, EUV 블랭크들을 위해 요구되는 특성들을 가지고 있지만 폴리싱 이후 원자적으로 편평하고 평활한 표면들을 갖지 않는 유리 기판들을 사용하는 것을 가능하게 하는 가능성을 갖는다. 이러한 독립성은, 상이한 기판 공급기들을 사용하는 것을 가능하게 만들고, 공급기들에 의한, 기판 준비(preparation) 및 폴리싱에 대한 예상치 못한 변화들의 영향들을 최소화한다.
본 발명의 실시예들은, EUV 마스크 블랭크들의 제조를 위해 원자적으로 편평하고 평활한 기판 표면을 제공하는 것을 주로 목표로 하지만, 본 발명은, EUV 거울들(608, 610) 또는 다른 것들과 같이, 원자적으로 편평하고 평활한 표면을 요구하는 임의의 어플리케이션에 대해 사용될 수 있다.
다른 접근법은, 다층 스택을 성장시키기 위해, 편평한 높은 열 전도 표면들을 사용하는 것일 것이다. 역사적으로, 사용되는 조사 파장들 및 광학계의 투과적인 성질 때문에, 마스크들을 위한 기판으로서 유리가 사용된다. EUV는 모든 재료들에 의해 흡수되고, 따라서 반사성 광학계가 사용된다. 그러나, 반사율은 100%가 아니고(현재의 Mo/Si 스택의 경우, <70%), 방사선의 흡수된 부분은 기판을 가열시킬 것이다.
현재의 마스크 유리 기판 조성은, 레지스트 노출 동안 패턴 왜곡을 피하기 위해, 작동 온도에서 제로 열 팽창 계수를 제공하도록 최적화된다. 유리보다 더 열 전도성인 기판들, 예를 들어, 금속성 또는 실리콘 기판들이 사용되는 경우, EUV 노출로부터의 열이 냉각된(cooled) 척에 전달될 수 있고, 따라서 특화된 유리에 대한 필요성을 없앨 수 있다. 또한, 마스크 기판 표면은, 위의 설명된 바와 같은 층(실리콘, 실리콘 이산화물)의 증착과 같은 반도체 호환 가능한 프로세스들을 사용하여, 또는 CMP에 의해서, 또는 양자 모두의 조합에 의해 평활화될 수 있다.
결과적인 방법, 프로세스, 장치, 디바이스, 제품, 및/또는 시스템은, 간단하고, 비용-효과적이며, 복잡하지 않고, 매우 다용도적이며, 정확하고, 섬세하며, 효과적이고, 그리고, 편리한, 효율적인, 그리고 경제적인 제조, 어플리케이션 및 활용을 위해, 공지된 컴포넌트들을 적응시킴으로써(adapt) 구현될 수 있다.
본 발명의 다른 중요한 양태는, 본 발명이, 비용들을 감소시키고, 시스템들을 단순화하며, 성능을 증가시키는 역사적인 경향을 유용하게 지원하고 서비스한다는 점이다.
본 발명의 이러한 그리고 다른 유용한 양태들은 결과적으로 기술의 상태를 적어도 다음 레벨로 진일보시킨다(further).
본 발명은 특정한 최상의 모드와 함께 설명되었지만, 전술한 설명을 고려하여, 많은 대안들, 수정들, 및 변형들이 당업자에게 자명할 것이라는 점이 이해되어야 한다. 따라서, 본 발명은, 포함된 청구항들의 범위 내에 있는 그러한 모든 대안들, 수정들, 및 변형들을 포괄하도록 의도된다. 지금까지 본원에서 열거되거나 첨부된 도면들에 도시된 모든 사항들은 예시적이고 비-제한적인 의미로 이해되어야 한다.

Claims (32)

  1. 통합형 극자외선 블랭크 생산 시스템(integrated extreme ultraviolet blank production system)으로서,
    기판을 진공에 위치시키기 위한 진공 챔버;
    평탄화된(planarized) 정상부 표면을 갖는 평탄화 층을 상기 기판 위에 증착시키기 위한 제 1 증착 시스템; 및
    상기 기판을 상기 진공으로부터 제거하지 않고, 상기 평탄화 층 상에 다층 스택을 증착시키기 위한 제 2 증착 시스템을 포함하는,
    통합형 극자외선 블랭크 생산 시스템.
  2. 제 1 항에 있어서,
    상기 제 1 증착 시스템은, 상기 평탄화 층 아래에 놓인 표면보다 더 큰 평탄화도(planarity)를 갖는 상기 평탄화 층의 정상부 표면을 형성하기 위해, 유동 가능한 기상(vapor) 증착 필름의 평탄화 층을 증착시키기 위한 것인,
    통합형 극자외선 블랭크 생산 시스템.
  3. 제 1 항에 있어서,
    상기 제 1 증착 시스템은, 상기 평탄화 층의 평활한(smooth) 정상부 표면을 제공하기 위해, 상기 평탄화 층의 정상부 표면 아래에 놓인 표면 상의 피트 결함(pit imperfection)을 충진(fill)하도록, 상기 평탄화 층을 증착시키기 위한 것인,
    통합형 극자외선 블랭크 생산 시스템.
  4. 제 1 항에 있어서,
    상기 제 1 증착 시스템은, 상기 평탄화 층의 평활한 정상부 표면을 제공하기 위해, 상기 평탄화 층 아래에 놓인 표면 상의 입자를 캡슐화(encapsulate)하도록, 상기 평탄화 층을 증착시키기 위한 것인,
    통합형 극자외선 블랭크 생산 시스템.
  5. 제 1 항에 있어서,
    상기 제 1 증착 시스템은, 상기 평탄화 층의 평활한 정상부 표면을 제공하기 위해, 상기 평탄화 층 아래에 놓인 표면 내의, 1:6 내지 30:1의 종횡비를 갖는 피트를 충진하도록, 상기 평탄화 층을 증착시키기 위한 것인,
    통합형 극자외선 블랭크 생산 시스템.
  6. 제 1 항에 있어서,
    상기 평탄화 층을 증착시키는 것은, 상기 평탄화 층의 평활한 정상부 표면을 제공하기 위해, 상기 평탄화 층 아래에 놓인 표면 상의, 10nm 내지 30nm 높이의, 입자를 캡슐화하거나, 범프(bump)를 고르게 레벨링(level out)하는,
    통합형 극자외선 블랭크 생산 시스템.
  7. 제 1 항에 있어서,
    상기 제 1 증착 시스템은, 0.5nm RMS 미만의 표면 평활도(smoothness)를 갖도록 평탄화된 상기 평탄화 층을 증착시키기 위한 것인,
    통합형 극자외선 블랭크 생산 시스템.
  8. 제 1 항에 있어서,
    상기 제 2 증착 시스템은, 극자외선 마스크 블랭크를 형성하기 위해, 상기 평탄화 층 위에 상기 다층 스택을 증착시키기 위한 것인,
    통합형 극자외선 블랭크 생산 시스템.
  9. 제 1 항에 있어서,
    상기 제 2 증착 시스템은, 극자외선 거울을 형성하기 위해, 상기 평탄화 층 위에 상기 다층 스택을 증착시키기 위한 것인,
    통합형 극자외선 블랭크 생산 시스템.
  10. 극자외선 리소그래피 시스템으로서,
    플라즈마 소스와 같은 극자외선 광 소스;
    상기 극자외선 광 소스로부터의 광을 지향시키기 위한 극자외선 거울;
    상기 극자외선 거울로부터 극자외선 광을 수신하기 위한 극자외선 마스크 블랭크를 위치시키기 위한 레티클(reticle) 스테이지 ― 상기 극자외선 마스크 블랭크는 평탄화 층을 포함함 ―; 및
    웨이퍼를 위치시키기 위한 웨이퍼 스테이지를 포함하는,
    극자외선 리소그래피 시스템.
  11. 제 10 항에 있어서,
    상기 극자외선 마스크 블랭크는, 상기 평탄화 층 아래에 놓인 표면보다 더 큰 평탄화도를 갖는 유동 가능한 화학 기상 증착 필름의 상기 평탄화 층을 갖는,
    극자외선 리소그래피 시스템.
  12. 제 10 항에 있어서,
    상기 극자외선 마스크 블랭크는, 상기 평탄화 층의 평활한 정상부 표면을 제공하기 위해, 상기 평탄화 층의 정상부 표면 아래에 놓인 표면 상의 피트 결함을 충진하기 위한 상기 평탄화 층을 갖는,
    극자외선 리소그래피 시스템.
  13. 제 10 항에 있어서,
    상기 극자외선 마스크 블랭크는, 상기 평탄화 층 아래에 놓인 표면 상의 입자를 캡슐화하기 위한 상기 평탄화 층을 갖는,
    극자외선 리소그래피 시스템.
  14. 제 10 항에 있어서,
    상기 극자외선 마스크 블랭크는, 상기 평탄화 층의 평활한 정상부 표면을 제공하기 위해, 상기 평탄화 층 아래에 놓인 표면 내의, 1:6 내지 30:1의 종횡비를 갖는 피트를 충진하기 위한 상기 평탄화 층을 갖는,
    극자외선 리소그래피 시스템.
  15. 제 10 항에 있어서,
    상기 극자외선 마스크 블랭크는, 상기 평탄화 층의 평활한 정상부 표면을 제공하기 위해, 상기 평탄화 층 아래에 놓인 표면 상의, 10nm 내지 300nm 높이의, 입자를 캡슐화하기 위한, 또는 범프를 커버하기 위한 상기 평탄화 층을 갖는,
    극자외선 리소그래피 시스템.
  16. 제 10 항에 있어서,
    상기 극자외선 마스크 블랭크는, 0.5nm RMS 미만의 표면 평활도를 갖도록 평탄화된 상기 평탄화 층을 갖는,
    극자외선 리소그래피 시스템.
  17. 제 10 항에 있어서,
    상기 거울은, 상기 평탄화 층의 평활한 정상부 표면을 제공하기 위해, 상기 평탄화 층 아래에 놓인 표면 내의, 6:1 내지 30:1의 종횡비를 갖는 피트를 충진하기 위한 거울 평탄화 층을 갖는,
    극자외선 리소그래피 시스템.
  18. 제 10 항에 있어서,
    상기 거울은, 상기 평탄화 층의 평활한 정상부 표면을 제공하기 위해, 상기 평탄화 층 아래에 놓인 표면 상의, 10nm 내지 300nm 높이의 입자를 캡슐화하기 위한 거울 평탄화 층을 갖는,
    극자외선 리소그래피 시스템.
  19. 제 10 항에 있어서,
    상기 거울은, 0.5nm RMS 미만의 표면 평활도를 갖도록 평탄화된 상기 평탄화 층이 있는 거울을 갖는,
    극자외선 리소그래피 시스템.
  20. 극자외선 블랭크로서,
    기판;
    상기 기판의 표면과 관련된 결함들을 보상하기 위한 평탄화 층 ― 상기 평탄화 층은 편평한 정상부 표면을 가짐 ―; 및
    상기 평탄화 층 상의 다층 스택을 포함하는,
    극자외선 블랭크.
  21. 제 20 항에 있어서,
    상기 평탄화 층은, 상기 평탄화 층 아래에 놓인, 결함들을 갖는 표면보다 더 큰 평탄화도를 갖는 유동 가능한 화학 기상 증착 필름의 상기 평탄화 층의 정상부 표면을 형성하는,
    극자외선 블랭크.
  22. 제 20 항에 있어서,
    상기 평탄화 층은, 100Å 내지 10,000Å 범위의 두께로 형성되는,
    극자외선 블랭크.
  23. 제 20 항에 있어서,
    상기 평탄화 층은, 상기 평탄화 층의 정상부 표면 아래에 놓인 표면 상의 피트 결함들을 충진하는,
    극자외선 블랭크.
  24. 제 20 항에 있어서,
    상기 평탄화 층은, 상기 평탄화 층 아래에 놓인 표면 상의 입자를 캡슐화하는,
    극자외선 블랭크.
  25. 제 20 항에 있어서,
    상기 평탄화 층은, 상기 평탄화 층의 평활한 정상부 표면을 제공하기 위해, 상기 평탄화 층 아래에 놓인 표면 내의, 6:1 내지 30:1의 종횡비를 갖는 피트를 충진하는,
    극자외선 블랭크.
  26. 제 20 항에 있어서,
    상기 평탄화 층은, 상기 평탄화 층의 평활한 정상부 표면을 제공하기 위해, 상기 평탄화 층 아래에 놓인 표면 상의, 10nm 내지 300nm 높이의 입자를 캡슐화하는,
    극자외선 블랭크.
  27. 제 20 항에 있어서,
    상기 평탄화 층은, 상기 평탄화 층의 평활한 정상부 표면을 제공하기 위해, 상기 평탄화 층 아래에 놓인 표면 상의, 10nm 내지 300nm 높이의 범프를 커버하는,
    극자외선 블랭크.
  28. 제 20 항에 있어서,
    상기 평탄화 층은, 0.5nm RMS 미만의 표면 평활도를 갖도록 평탄화되는,
    극자외선 블랭크.
  29. 제 20 항에 있어서,
    상기 다층 스택은 극자외선 마스크 블랭크를 형성하는,
    극자외선 블랭크.
  30. 제 20 항에 있어서,
    상기 다층 스택은 극자외선 거울을 형성하는,
    극자외선 블랭크.
  31. 제 20 항에 있어서,
    상기 기판은 초-저(ultra-low) 열 팽창 재료로 이루어지는,
    극자외선 블랭크.
  32. 제 20 항에 있어서,
    상기 기판은 유리인,
    극자외선 블랭크.
KR1020177020626A 2013-03-12 2014-03-12 평탄화된 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들 KR102060035B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361778335P 2013-03-12 2013-03-12
US61/778,335 2013-03-12
US14/139,307 US9354508B2 (en) 2013-03-12 2013-12-23 Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US14/139,307 2013-12-23
PCT/US2014/025110 WO2014165295A1 (en) 2013-03-12 2014-03-12 Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020157027661A Division KR20150130370A (ko) 2013-03-12 2014-03-12 평탄화된 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들

Publications (2)

Publication Number Publication Date
KR20170089943A true KR20170089943A (ko) 2017-08-04
KR102060035B1 KR102060035B1 (ko) 2019-12-27

Family

ID=51525874

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177020626A KR102060035B1 (ko) 2013-03-12 2014-03-12 평탄화된 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들
KR1020157027661A KR20150130370A (ko) 2013-03-12 2014-03-12 평탄화된 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020157027661A KR20150130370A (ko) 2013-03-12 2014-03-12 평탄화된 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들

Country Status (7)

Country Link
US (2) US9354508B2 (ko)
JP (2) JP2016514288A (ko)
KR (2) KR102060035B1 (ko)
CN (2) CN105027258A (ko)
SG (1) SG11201506465QA (ko)
TW (1) TWI589985B (ko)
WO (1) WO2014165295A1 (ko)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
US9581889B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
KR20230023066A (ko) * 2016-04-25 2023-02-16 에이에스엠엘 네델란즈 비.브이. Euv 리소그래피를 위한 멤브레인
US10018919B2 (en) * 2016-05-29 2018-07-10 Kla-Tencor Corporation System and method for fabricating metrology targets oriented with an angle rotated with respect to device features
TWI774375B (zh) 2016-07-27 2022-08-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
TWI821984B (zh) 2016-07-27 2023-11-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料及製造極紫外線遮罩坯料的方法
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
CN111656510A (zh) * 2018-02-22 2020-09-11 应用材料公司 处理掩模基板以实现更佳的膜质量的方法
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
TWI767070B (zh) * 2018-10-17 2022-06-11 台灣積體電路製造股份有限公司 光微影系統及清潔光微影系統的方法
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
TW202028495A (zh) 2018-12-21 2020-08-01 美商應用材料股份有限公司 極紫外線遮罩吸收器及用於製造的方法
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
TW202037742A (zh) 2019-03-01 2020-10-16 美商應用材料股份有限公司 物理氣相沉積系統與處理
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202111420A (zh) 2019-05-22 2021-03-16 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11387071B2 (en) 2019-10-06 2022-07-12 Applied Materials, Inc. Multi-source ion beam etch system
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202142949A (zh) * 2020-04-23 2021-11-16 美商應用材料股份有限公司 極紫外光遮罩毛胚缺陷之減少
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040151988A1 (en) * 2003-02-05 2004-08-05 Silverman Peter J. EUV mask blank defect mitigation
US20060245057A1 (en) * 2005-04-27 2006-11-02 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20070020903A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Hybrid PVD-CVD system

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4842675A (en) 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH05335215A (ja) 1991-03-06 1993-12-17 Hitachi Ltd 反射ミラー、その作製方法及び縮小投影露光装置
JP3281173B2 (ja) 1993-03-15 2002-05-13 健 増本 高硬度薄膜及びその製造方法
DE69406963T2 (de) 1993-03-15 1998-05-20 Tsuyoshi Masumoto Hochharte Dünnschicht, sowie Verfahren zu deren Herstellung
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6228512B1 (en) 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
EP1190276A2 (en) 1999-06-07 2002-03-27 The Regents of the University of California Coatings on reflective mask substrates
TW561279B (en) 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (ja) 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6610447B2 (en) 2001-03-30 2003-08-26 Intel Corporation Extreme ultraviolet mask with improved absorber
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
JP3454259B2 (ja) 2001-09-07 2003-10-06 セイコーエプソン株式会社 マスクデータの生成方法、マスクおよび記録媒体、ならびに半導体装置の製造方法
JP4009102B2 (ja) 2001-12-19 2007-11-14 独立行政法人科学技術振興機構 半導体特性を示すアモルファス鉄シリサイド膜とその作製方法
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US6835503B2 (en) * 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) * 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
JP2005066781A (ja) * 2003-08-26 2005-03-17 Hoya Corp 電子デバイス用ガラス基板の製造方法及びマスクブランクスの製造方法並びに転写マスクの製造方法
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4792146B2 (ja) * 2004-02-25 2011-10-12 Hoya株式会社 マスクブランクス用ガラス基板の製造方法、マスクブランクスの製造方法、露光用マスクの製造方法、反射型マスクブランクスの製造方法、及び反射型マスクの製造方法
TW200535138A (en) 2004-03-15 2005-11-01 Ube Industries Metal complex comprising β-diketonato as ligand
JP4542807B2 (ja) 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
EP1791168A1 (en) 2004-09-17 2007-05-30 Asahi Glass Company, Limited Reflective mask blank for euv lithography and method for producing same
JP2006177740A (ja) * 2004-12-22 2006-07-06 Nikon Corp 多層膜反射鏡及びeuv露光装置
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
DE102005052000B3 (de) 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE112006003221T5 (de) * 2005-12-22 2008-10-23 Asahi Glass Co., Ltd. Glassubstrat für eine Maskenvorform und Polierverfahren zur Herstellung desselben
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
TWI366876B (en) 2006-05-30 2012-06-21 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
JP4801550B2 (ja) 2006-09-26 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、及び半導体装置の製造方法
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
EP2087510A4 (en) 2006-11-27 2010-05-05 Nikon Corp OPTICAL ELEMENT, ASSOCIATED EXPOSURE UNIT AND METHOD FOR PRODUCING THE DEVICE
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7960701B2 (en) * 2007-12-20 2011-06-14 Cymer, Inc. EUV light source components and methods for producing, using and refurbishing same
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
JP5369640B2 (ja) 2008-02-19 2013-12-18 旭硝子株式会社 Euvl用光学部材、およびその平滑化方法
WO2009123172A1 (ja) 2008-03-31 2009-10-08 Hoya株式会社 フォトマスクブランク、フォトマスクおよびフォトマスクブランクの製造方法
US7901843B2 (en) * 2008-05-16 2011-03-08 Asahi Glass Company, Limited Process for smoothing surface of glass substrate
NL2003305A (en) * 2008-08-21 2010-03-10 Asml Holding Nv Euv reticle substrates with high thermal conductivity.
DE102008042212A1 (de) 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010170011A (ja) 2009-01-26 2010-08-05 Hoya Corp フォトマスクの修正方法
JP2011053566A (ja) 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
CN102019266A (zh) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 涂层材料的涂布方法
CN102782531B (zh) 2009-12-15 2014-12-17 卡尔蔡司Smt有限责任公司 用于极紫外光刻的反射光学元件
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP5559948B2 (ja) * 2010-03-12 2014-07-23 Hoya株式会社 多層反射膜付基板の製造方法および反射型マスクブランクの製造方法
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
JP2011222958A (ja) 2010-03-25 2011-11-04 Komatsu Ltd ミラーおよび極端紫外光生成装置
EP4328647A2 (en) 2010-04-02 2024-02-28 Nikon Corporation Light source apparatus, optical apparatus, exposure apparatus, device manufacturing method, illuminating method, exposure method, and method for manufacturing optical apparatus
US8526104B2 (en) 2010-04-30 2013-09-03 Corning Incorporated Plasma ion assisted deposition of Mo/Si multilayer EUV coatings
WO2012009371A2 (en) 2010-07-12 2012-01-19 Applied Materials, Inc. Compartmentalized chamber
KR20130111524A (ko) 2010-07-27 2013-10-10 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사층 형성 기판, 및 euv 리소그래피용 반사형 마스크 블랭크
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (ko) 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 액정 표시 장치 및 그 제조 방법
JP6013720B2 (ja) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
CN103069056B (zh) 2011-03-14 2015-11-25 富士电机株式会社 氧化物基材及其制备方法
CN103649830B (zh) 2011-07-08 2018-06-01 Asml荷兰有限公司 光刻图案化过程和其中使用的抗蚀剂
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (ko) 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US8735252B2 (en) * 2012-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US8969932B2 (en) * 2012-12-12 2015-03-03 Globalfoundries Inc. Methods of forming a finfet semiconductor device with undoped fins
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040151988A1 (en) * 2003-02-05 2004-08-05 Silverman Peter J. EUV mask blank defect mitigation
US20060245057A1 (en) * 2005-04-27 2006-11-02 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20070020903A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Hybrid PVD-CVD system

Also Published As

Publication number Publication date
JP7285682B2 (ja) 2023-06-02
CN105027258A (zh) 2015-11-04
SG11201506465QA (en) 2015-09-29
US20160274454A1 (en) 2016-09-22
CN110262181A (zh) 2019-09-20
US20140268080A1 (en) 2014-09-18
US10209613B2 (en) 2019-02-19
JP2016514288A (ja) 2016-05-19
TW201443548A (zh) 2014-11-16
KR20150130370A (ko) 2015-11-23
KR102060035B1 (ko) 2019-12-27
JP2019164362A (ja) 2019-09-26
TWI589985B (zh) 2017-07-01
US9354508B2 (en) 2016-05-31
WO2014165295A1 (en) 2014-10-09

Similar Documents

Publication Publication Date Title
US10209613B2 (en) System and method for manufacturing planarized extreme ultraviolet lithography blank
US11493841B2 (en) Glass ceramic for ultraviolet lithography and method of manufacturing thereof
US9417515B2 (en) Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
KR102207245B1 (ko) 비결정질 층 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들
KR102401043B1 (ko) 극자외선 리소그래피 마스크 블랭크 제조 시스템 및 그를 위한 작동 방법
KR20180019775A (ko) 흡수체를 갖는 평탄화된 극자외선 리소그래피 블랭크 및 그의 제조 시스템

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right