KR102401043B1 - 극자외선 리소그래피 마스크 블랭크 제조 시스템 및 그를 위한 작동 방법 - Google Patents

극자외선 리소그래피 마스크 블랭크 제조 시스템 및 그를 위한 작동 방법 Download PDF

Info

Publication number
KR102401043B1
KR102401043B1 KR1020217012331A KR20217012331A KR102401043B1 KR 102401043 B1 KR102401043 B1 KR 102401043B1 KR 1020217012331 A KR1020217012331 A KR 1020217012331A KR 20217012331 A KR20217012331 A KR 20217012331A KR 102401043 B1 KR102401043 B1 KR 102401043B1
Authority
KR
South Korea
Prior art keywords
forming
substrate
mask blank
extreme ultraviolet
euv
Prior art date
Application number
KR1020217012331A
Other languages
English (en)
Other versions
KR20210048604A (ko
Inventor
랄프 호프만
카라 배슬리
마지드 에이. 포아드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210048604A publication Critical patent/KR20210048604A/ko
Application granted granted Critical
Publication of KR102401043B1 publication Critical patent/KR102401043B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3417Arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3447Collimators, shutters, apertures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

프로세싱 시스템은, 진공 챔버; 진공 챔버 주위에 부착된 복수의 프로세싱 시스템들; 및 진공으로부터 빠져나가지(exit) 않으면서, 복수의 프로세싱 시스템들 사이에서 웨이퍼를 이동시키기 위한, 진공 챔버의 웨이퍼 취급(handling) 시스템을 포함한다. 극자외선 블랭크를 제조하기 위한 물리 기상 증착 시스템은, 몰리브덴, 몰리브덴 합금, 또는 이들의 조합을 포함하는 타겟을 포함한다.

Description

극자외선 리소그래피 마스크 블랭크 제조 시스템 및 그를 위한 작동 방법{EXTREME ULTRAVIOLET LITHOGRAPHY MASK BLANK MANUFACTURING SYSTEM AND METHOD OF OPERATION THEREFOR}
본 발명은 일반적으로, 극자외선 리소그래피 블랭크들, 및 그러한 극자외선 리소그래피 블랭크들을 위한 제조 및 리소그래피 시스템들에 관한 것이다.
극자외선 리소그래피(EUV, 또한, 연질 x-선 투사 리소그래피(soft x-ray projection lithography)로 공지됨)는, 0.13 미크론의, 및 그보다 더 작은, 최소 피쳐(feature) 크기의 반도체 디바이스들의 제조를 위한, 심자외선(deep ultraviolet) 리소그래피를 대체할 경쟁자이다.
그러나, 일반적으로 5 내지 40 나노미터 파장 범위인 극자외선 광은 사실상 모든 재료들에서 강하게 흡수된다. 그러한 이유 때문에, 극자외선 시스템들은 광의 투과(transmission)에 의해서보다 반사(reflection)에 의해서 작업(work)한다. 비-반사성 흡수체(absorber) 마스크 패턴으로 코팅된, 반사성 엘리먼트, 또는 마스크 블랭크, 및 거울들 또는 렌즈 엘리먼트들의 시리즈의 사용을 통해, 패터닝된 화학선 광(actinic light)은 레지스트 코팅된 반도체 웨이퍼 상으로 반사된다.
극자외선 리소그래피 시스템들의 마스크 블랭크들 및 렌즈 엘리먼트들은, 몰리브덴 및 실리콘과 같은 재료들의 반사성 다층 코팅들로 코팅된다. 렌즈 엘리먼트, 또는 마스크 블랭크당 대략 65%의 반사 값들은, 매우 좁은 자외선 통과대역(bandpass); 예를 들어, 13 나노미터 자외선 광에 대해 12 내지 14 나노미터의 통과대역 내의, 본질적으로 단일 파장의 광을 강하게 반사하는 다층 코팅들로 코팅된 기판들을 사용함으로써 획득되어왔다.
반도체 프로세싱 기술에서, 문제들을 야기하는 다양한 종류들(classes)의 결함들이 존재한다. 흑결함들(opaque defects)은 전형적으로, 광이 반사되어야 할 때에 광을 흡수하는, 마스크 패턴 또는 다층 코팅들의 정상부 상의 입자들에 의해 야기된다. 백결함들(clear defects)은 전형적으로, 다층 코팅들의 정상부 상의 마스크 패턴의 핀홀들에 의해 야기되는데, 광이 흡수되어야 할 때 이러한 핀홀들을 통해 광이 반사된다. 그리고 상 결함들(phase defects)은 전형적으로, 반사된 광의 상의 전이부들(transitions)을 야기하는, 다층 코팅들 아래의 표면 변화들 및 스크래치들에 의해 야기된다. 이러한 상 전이부들은, 반도체 웨이퍼의 표면 상의 레지스트에서 노출될 패턴을 왜곡(distort)시키거나 변경(alter)시키는, 광 파 간섭 효과들(light wave interference effects)을 초래한다. 서브-0.13 미크론 최소 피쳐 크기에 대해서 사용되어야 하는, 방사선(radiation)의 더 짧은 파장들 때문에, 이전에는 대수롭지 않았던 표면 변화들 및 스크래치들이, 이제는 허용 불가능하게(intolerable) 된다.
입자 결함들을 감소시키거나 제거하는 데에 진전(progress)이 이루어지고 마스크들에서의 흑결함 및 백결함의 보수(repair)에 대한 작업이 이루어지기는 했지만, 상 결함들의 문제를 다루기 위해서 지금까지 아무것도 이루어지지 않았다. 심자외선 리소그래피의 경우, 표면들은 60도 미만의 상 전이부들을 유지하도록 프로세싱된다. 극자외선 리소그래피에 대한 유사한 프로세싱 아직 개발되지 않았다.
13 나노미터의 화학선 파장의 경우, 다층 코팅으로부터 반사된 광에서의 180도 상 전이부는, 아래에 놓인 표면에서의, 깊이가 3 나노미터만큼 작은 스크래치 때문에 발생할 수 있다. 이러한 깊이는 더 짧은 파장들에서는 더 얇아진다. 유사하게, 동일한 파장에서, 일백(100) 나노미터 런(run)에 대해 일(1) 나노미터 상승(rise)보다 더 급격한 표면 변화들은 유사한 상 전이부들을 야기할 수 있다. 이러한 상 전이부들은 반도체 웨이퍼의 표면에서 상 결함을 야기할 수 있고, 반도체 디바이스들을 보수 불가능하게(irreparably) 손상시킬 수 있다.
과거에, 심자외선 리소그래피를 위한 마스크 블랭크들은 일반적으로 유리로 만들어졌지만, 극자외선 리소그래피를 위해서는, 실리콘 또는 초 저 열팽창(ultra low thermal expansion) 재료들이 대안들로서 제안되어왔다. 블랭크가 유리로 만들어지든, 실리콘으로 만들어지든, 또는 초 저 열팽창 재료로 만들어지든, 마스크 블랭크의 표면은, 화학적 기계적 폴리싱, 자기-유동성 마감처리(magneto-rheological finishing), 또는 이온 빔 폴리싱과 같은 프로세스들에 의해, 가능한 평활하게(smooth) 만들어진다. 그러한 프로세스 뒤에 남는 스크래치들은 종종, "스크래치-딕(dig)" 마크들로서 지칭되며, 이들의 깊이 및 폭은 마스크 블랭크를 폴리싱하는 데에 사용된 연마재(abrasive)의 입자들의 크기에 따른다. 가시광선(visible) 및 심자외선 리소그래피의 경우, 이러한 스크래치들은, 반도체 웨이퍼 상의 패턴에 상 결함들을 야기하기에는 너무 작다. 그러나, 극자외선 리소그래피의 경우에, 스크래치-딕 마크들은, 이들이 상 결함들로서 나타날 것이기 때문에, 상당한 문제이다.
EUV 리소그래피를 위해 요구되는 짧은 조사(illumination) 파장들 때문에, 사용되는 패턴 마스크들은, 현재의 리소그래피에서 사용되는 투과성 마스크들 대신에, 반사성 마스크여야 한다. 반사성 마스크는 몰리브덴 및 실리콘의 교번하는 얇은 층들의 정밀한 스택으로 구성되고, 이는 Bragg 반사체(reflector) 또는 거울을 생성한다. 작은 피쳐 크기 및 다층 스택의 본성 때문에, 상부에 다층 스택이 증착되는 기판의 표면에서의 임의의 결함들(imperfections)이 확대될 것이고, 최종 생성물에 영향을 줄 것이다. 수 나노미터 스케일의 결함들은, 마감처리된(finished) 마스크 상에, 프린트 가능한(printable) 결함들로서 나타날 수 있으며, 다층 스택의 증착 이전에, 마스크 블랭크의 표면으로부터 제거될 필요가 있다.
광학 리소그래피에서 사용되는 전형적인 마스크들은, 광 투과를 차단하는 패터닝된 크롬 층 및 유리 블랭크로 구성된다. 반면에 EUV 리소그래피에서는, 마스크는 반사성 층 및 패터닝된 흡수체 층으로 구성된다. 이러한 아키텍쳐(architectural) 변화는, 대부분의 재료들에서의 EUV 광의 높은 흡광도(absorbance)에 기인하여, 필수적이다.
반사체 층은 몰리브덴과 실리콘의 80개 또는 그 초과의 교번하는 층들의 스택이다. 이러한 스택의 평활도(smoothness) 및 층 두께에 대한 정밀도는, 각각, 라인 엣지 거칠기뿐만 아니라 마스크의 높은 반사율을 달성하기 위해 중요하다.
현재의 기술은, 반사체 층들을 위한 이온 빔 증착 및 평활한 기판 표면을 획득하기 위해, 유리 폴리싱 및 세정 프로세스들을 채용한다.
이러한 프로세스 흐름은 엄격한 결함 사양들(specifications)을 충족시키지 않는다. 결함들의 주요한 원인들은, 폴리싱 프로세스뿐만 아니라 후속하는 세정에 의해 이후에 남은, 유리 기판의 피트들(pits) 및 범프들(bumps)이다. 이온 빔 증착 프로세스는, 다층 스택의 정상부 상에 그리고 그 내부에 매립된(embedded) 입자들을 추가로 남긴다.
따라서, 이러한 문제들에 대한 답들을 찾고, 이러한 질문들을 해결하는 시스템이 개발되는 것이 점점 더 중요해진다. 성장하고 있는 소비자들의 기대들과 함께, 계속 증가하는 상업적인 경쟁 압박들을 고려하여, 이러한 문제들에 대한 답들을 찾는 것이 중요하다. 부가적으로, 비용들을 절감하고, 효율성들과 성능을 개선하며, 경쟁 압박들을 충족시키기 위한 필요성은, 이러한 문제들에 대한 답들을 찾기 위한 중요한 필요성에, 훨씬 더 큰(even greater) 긴급성을 부가한다.
이러한 문제들에 대한 해결책들이 오랫동안 탐색되어 왔지만, 이전의 발전들은 어떠한 해결책들도 교시하거나 제안하지 않았고, 따라서, 이러한 문제들에 대한 해결책들은 오랫동안 당업자에게 발견되지 않았다.
본 발명의 실시예는, 진공 챔버; 진공 챔버 주위에 부착된 복수의 프로세싱 시스템들; 및 진공으로부터 빠져나가지(exit) 않으면서, 복수의 프로세싱 시스템들 사이에서 웨이퍼를 이동시키기 위한, 진공 챔버의 웨이퍼 취급(handling) 시스템을 포함하는 프로세싱 시스템을 제공한다.
본 발명의 실시예는, 몰리브덴, 몰리브덴 합금, 또는 이들의 조합을 포함하는 타겟을 포함하는, 극자외선 블랭크를 제조하기 위한 물리 기상 증착 시스템을 제공한다.
본 발명의 특정 실시예들은 상기 언급된 것을 대신에 또는 그에 부가하여 다른 단계들 또는 엘리먼트들을 갖는다. 그러한 단계들 또는 엘리먼트는, 첨부된 도면들을 참조하여 취해질 때 이하의 상세한 설명을 읽음으로써 당업자에게 자명해질 것이다.
도 1은 본 발명의 실시예에 따른, 통합형(integrated) 극자외선(EUV) 마스크 생산 시스템이 도시된다.
도 2는 본 발명의 실시예에 따른, 제 1 다수-캐소드(multi-cathode) 소스이다.
도 3은 본 발명의 실시예에 따른, 제 1 다수-캐소드 소스의 단면이다.
도 4는 본 발명의 실시예에 따른, 작동 시의 제 1 다수-캐소드 소스의 단면이다.
도 5는 본 발명의 실시예에 따른, 형상이 정사각형이고, 다층 스택을 갖는 마스크 블랭크이다.
도 6은 본 발명의 실시예에 따른, 캐리어 상에서 지지된 포지션(supported position)에 있는 마스크 블랭크이다.
도 7은 본 발명의 실시예에 따른, 캐리어 상에서 지지된 포지션에 있는 마스크 블랭크이다.
도 8은 본 발명의 실시예에 따른, 캐리어 상에서 지지된 포지션에 있는 마스크 블랭크이다.
도 9는 본 발명의 실시예에 따른, 캐리어 상에서 지지된 포지션에 있는 마스크 블랭크이다.
도 10은 본 발명의 실시예에 따른, 캐리어 상에서 지지된 포지션에 있는 마스크 블랭크이다.
도 11은 본 발명의 실시예에 따른, 캐리어 상에서 지지된 포지션에 있는 마스크 블랭크이다.
도 12는 초-저(ultra-low) 결함들을 갖는 마스크 블랭크를 만들기 위한 방법이다.
이하의 실시예들은, 당업자가 본 발명품을 만들고 사용할 수 있도록, 충분히 상세하게 설명된다. 본 개시물에 기초하여 다른 실시예들이 명백할 것이고, 본 발명의 범위에서 벗어나지 않고, 시스템, 프로세스, 또는 기계적 변화들이 이루어질 수 있음이 이해되어야 한다.
이하의 설명에서, 본 발명의 완전한 이해를 제공하기 위해 다수의 구체적인 세부 사항들이 주어진다. 그러나, 본 발명은 이러한 구체적인 세부 사항들 없이 실시될 수 있음이 자명할 것이다. 본 발명을 불분명하게 하는 것을 피하기 위해, 몇몇 잘 공지된 회로들, 시스템 구성들, 및 프로세스 단계들은 상세하게 개시되지 않는다.
시스템의 실시예들을 나타내는 도면들은 반-도식적(semi-diagrammatic)이고 실척이 아니며(not to scale), 특히, 치수들 중 몇몇은, 표현의 명료함을 위해, 도면들을 도시할 때 과장되게 도시된다. 유사하게, 도면들의 시점들(views)은 설명의 용이함을 위해 일반적으로 유사한 배향들을 보여주지만, 도면들에서의 이러한 묘사는 대부분의 경우에 임의적인 것이다. 일반적으로, 본 발명은 임의의 배향으로 작동될 수 있다.
다수의 실시예들이 공통으로 몇몇 피쳐들을 갖는 것으로 개시되고 설명되는 경우, 예시, 설명, 및 이들의 이해에 대한 명료함 및 용이함를 위해, 유사한 그리고 동일한 피쳐들은 유사한 참조 번호들로 설명될 것이다.
설명의 목적들을 위해, 본원에서 사용되는 "수평의" 라는 용어는, 마스크 블랭크의 배향과 상관없이, 마스크 블랭크의 표면 또는 평면에 평행한 평면으로 정의된다. "수직하는" 이라는 용어는, 그렇게 정의된 수평에 수직인 방향을 지칭한다. "위(above)", "아래(below)", "바닥부(bottom)", "정상부(top)", "측(side)("측벽"에서 처럼)", "더 높은(higher)", "더 낮은(lower)", "상부(upper)", "위에(over)", 및 "하에서(under)" 와 같은 용어들은, 도면들에서 도시된 바와 같이, 수평 평면에 대해서 정의된다. "상에서(on)" 라는 용어는 엘리먼트들 간의 직접 접촉이 있음을 나타낸다.
본원에서 사용되는 "프로세싱" 이라는 용어는, 설명되는 구조를 형성할 때 요구되는 바와 같이, 포토레지스트 또는 재료의 증착, 패터닝, 노출, 현상(development), 에칭, 세정, 및/또는 포토레지스트 또는 재료의 제거를 포함한다.
본 발명의 실시예들은, 피트들을 충진(fill)하고 결함들을 매립(bury)하기 위해, 실리콘, 실리콘 산화물, 및 양립 가능한 열 팽창 계수를 갖는 관련된 필름들을 증착시키기 위한, CVD, PVD, ALD, 및 유동 가능한 CVD에 의해 확립된 다양한 기술들을 사용한다. 일단 증착되면, 필름들 표면은 추가적인 다층 스택 증착을 위해 충분히 평활하고 편평할 수 있거나, 또는 그러한 증착 후에, CMP, 어닐링, 또는 이온 빔 폴리싱을 포함하는, 확립된 다양한 평활화 또는 폴리싱 기술들을 사용하여 추가적으로 평활화될 수 있다.
이제 도 1을 참조하면, 본 발명의 실시예에 따른 통합형 극자외선(EUV) 마스크 생산 시스템(100)이 도면에 도시된다. 통합형 EUV 마스크 생산 시스템(100)은, 캐리어 상의 블랭크들 또는 웨이퍼들을 프로세싱하고, 그리고 마스크 블랭크들(104)이 내부로 로딩되는 마스크 블랭크 로딩 및 캐리어 취급 시스템(102)을 포함하는 프로세싱 시스템이다.
에어록(airlock; 106)은 웨이퍼 취급 진공 챔버(108)로의 액세스를 제공한다. 도시된 실시예에서, 웨이퍼 취급 진공 챔버(108)는 2개의 진공 챔버들, 즉, 제 1 진공 챔버(110) 및 제 2 진공 챔버(112)를 포함한다. 제 1 진공 챔버(110) 내에는 제 1 웨이퍼 취급 시스템(114)이 있고, 제 2 진공 챔버(112) 내에는 제 2 웨이퍼 취급 시스템(116)이 있다.
웨이퍼 취급 진공 챔버(108)는, 다양한 다른 시스템들의 부착을 위해, 챔버 둘레(periphery) 주위에 복수의 포트들을 갖는다. 제 1 진공 챔버(110)는 디가스(degas) 시스템(118), 제 1 물리 기상 증착 시스템(120), 제 2 물리 기상 증착 시스템(122), 및 사전세정(preclean) 시스템(124)을 갖는다.
제 2 진공 챔버(112)는, 제 2 진공 챔버(112)에 연결된, 제 1 다수-캐소드 소스(126), 유동 가능한 화학 기상 증착(FCVD) 시스템(128), 경화 시스템(130), 및 제 2 다수-캐소드 소스(132)를 갖는다. FCVD 시스템(128)은 기판, 블랭크, 또는 웨이퍼(136) 상에 평탄화(planarization) 층을 증착시킬 수 있고, 경화 챔버는 평탄화 층을 경화할 수 있다. 제 2 다수-캐소드 소스(132)는 반사성 재료의 다층 스택을 증착시킬 수 있고, 다른 시스템들은 캐핑(capping) 층을 증착시킬 수 있다. 평탄화 층, 다층 스택, 및 캐핑 층은 모두 웨이퍼(136)의 일부가 된다.
제 1 웨이퍼 취급 시스템(114)은, 제 1 진공 챔버(110)의 둘레 주위의 다양한 시스템들 중 하나 또는 그 초과로, 및 에어록(106) 사이에서, 그리고 연속적인 진공(continuous vacuum)의 슬릿 밸브들을 통해서, 웨이퍼(134)와 같은 웨이퍼들을 이동시킬 수 있다. 제 2 웨이퍼 취급 시스템(116)은, 제 2 진공 챔버(112) 주위에서 웨이퍼(136)와 같은 웨이퍼들을, 그러한 웨이퍼들을 연속적인 진공에서 유지하면서 이동시킬 수 있다. 제 1 웨이퍼 취급 시스템(114) 및 제 2 웨이퍼 취급 시스템(116)은, 웨이퍼(136)가 에어록(106)을 통해 제거되기 전까지 웨이퍼(136)가 진공으로부터 빠져나가지 않으면서 다양한 프로세스들이 수행되는 것을 허용하기 위해, 제 1 진공 챔버(110) 및 제 2 진공 챔버(114)의 둘레 주위의 시스템들 중 하나 또는 전부를 통해, 선택적으로 웨이퍼(136)를 이동시킬 수 있다.
이제 도 2를 참조하면, 본 발명의 실시예에 따른 제 1 다수-캐소드 소스(126)가 도면에 도시된다. 제 1 다수-캐소드 소스(126)는 정상부 어댑터(adapter)(204)에 의해 캐핑된 원통형 본체 부분(202)을 갖는 베이스 구조(200)를 포함한다.
정상부 어댑터(204)는, 정상부 어댑터(204) 주위의 포지션에, 캐소드 소스들(206, 208, 210, 212, 및 214)과 같은 다수의 캐소드 소스들을 위한 설비들(provisions)을 갖는다.
이제 도 3을 참조하면, 본 발명의 실시예에 따른 제 1 다수-캐소드 소스(126)의 단면이 도면에 도시된다. 제 1 다수-캐소드 소스(126)는, 베이스 구조(200), 원통형 본체 부분(202), 및 정상부 어댑터(204)를 갖는다.
웨이퍼(136)와 같은 웨이퍼가 상부에 고정될 수 있는 회전식(rotating) 페데스탈(300)이 베이스 구조(200) 내에 있다. 커버 링(302)이 회전식 페데스탈(300) 위에 있고, 중간 링(304)은 커버 링(302) 위에 있다. 원뿔형 쉴드(306)가 중간 링(304) 위에 있고, 원뿔형 어댑터(308)에 의해 둘러싸인다.
물리 기상 증착(PVD)에 의해 웨이퍼(136) 상에 재료를 증착시키기 위한 증착 지역(310)은, 슈라우드(shroud; 314)가 부착되는 회전식 쉴드(312)에 의해 둘러싸인다. 캐소드(318), 및 증착 재료의 소스인, 타겟(316)과 같은, 다수의 타켓들 중 하나가 슈라우드(314) 위에 있다.
대안적인 실시예에서, 다수의 개별 슈라우드들(314)은 각각 개별 소스에 부착되고, 회전식 쉴드(312)가 회전할 때 고정된 상태(stationary)로 남는다.
이제 도 4를 참조하면, 본 발명의 실시예에 따른, 작동 시의 제 1 다수-캐소드 소스(126)의 단면이 도면에 도시된다. 제 1 다수-캐소드 소스(126)의 단면은, 오프-각을 갖는(off-angled) 원뿔형 증착 패턴(400)을 도시하고, 회전식 페데스탈(300)은, 타겟(316)으로부터의, 웨이퍼(402) 상의 재료 증착을 위한 포지션 내로 이동된 것으로 도시된다.
작동 시에, 웨이퍼(136)가 있는 회전식 페데스탈(300)은 포지션 내로 상향으로 이동되는데, 이러한 포지션에서 웨이퍼(136)는 도 3의 슈라우드(314)의 개구부가 보이는 곳에 있다. 제 1 다수-캐소드 소스(126)의 설계에 따라, 정상부 어댑터(204)에 부착된 다수의 슈라우드들(314)이 있을 수 있어서, 각각의 소스가 소스 자신의 독자적인 슈라우드를 갖거나, 또는 회전식 쉴드(312)와 함께 회전하는 하나의 슈라우드를 갖거나, 또는 슈라우드가 없는 단일의 대형 회전식 쉴드를 갖는다.
그러면, 회전식 쉴드(312)는, 회전식 페데스탈(300) 상의 웨이퍼(136) 상에 어떠한 각도로(at an angle) 재료를 증착시키기 위해, 적합한 캐소드(318)와 타겟(316)이 포지셔닝될 때까지, 다양한 캐소드들 사이에서 회전된다.
페데스탈(300)을 회전시킴으로써, 웨이퍼(136)는 웨이퍼의 표면 상에 타겟 재료의 균일한 증착을 받을 것이다.
이제 도 5를 참조하면, 본 발명의 실시예에 따른, 형상이 정사각형이고, 다층 스택(502)을 갖는 마스크 블랭크(500)가 도면에 도시된다.
이제 도 6을 참조하면, 본 발명의 실시예에 따른, 캐리어(600) 상에서 지지된 포지션에 있는 마스크 블랭크(500)가 도면에 도시된다. 마스크 블랭크(500)는, 상향으로 대면하는(facing up) 다층 스택(502)을 갖고, 캐리어(600) 상에서 지지 핀들(602) 상에 지지되며, 유지 핀들(604)에 의해 측방향으로(laterally) 제자리에(in place) 유지된다. 웨지-형상의 지지부(606)가 또한, 마스크 블랭크(500)의 바닥부 엣지에서 사용될 수 있다.
이제 도 7을 참조하면, 본 발명의 실시예에 따른, 캐리어(700) 상에서 지지된 포지션에 있는 마스크 블랭크(500)가 도면에 도시된다. 마스크 블랭크(500)는, 상향으로 대면하는 다층 스택(502)을 갖고, 캐리어(700) 상에서 지지 핀들(702) 상에 지지되며, 유지 핀들(704)에 의해 측방향으로 제자리에 유지된다. 웨지-형상의 지지부(706)가 또한, 마스크 블랭크(500)의 바닥부 엣지에서 사용될 수 있다.
이제 도 8을 참조하면, 본 발명의 실시예에 따른, 캐리어(800) 상에서 지지된 포지션에 있는 마스크 블랭크(500)가 도면에 도시된다. 마스크 블랭크(500)는, 상향으로 대면하는 다층 스택(502)을 갖고, 캐리어(800) 상에서 지지 핀들(802) 상에 지지되며, 유지 핀들(804)에 의해 측방향으로 제자리에 유지된다. 캐리어(800)는 지지 핀들(802)의 두께 및 마스크 블랭크(500)의 두께보다 약간 더 두껍다. 다층 스택(502)의 엣지 지역들에서의 재료의 증착을 방지하기 위해, 엣지 배제(exclusion) 커버 마스크(806)가 마스크 블랭크(500)의 엣지들을 커버한다. 웨지-형상의 지지부(808)가 또한, 마스크 블랭크(500)의 바닥부 엣지에서 사용될 수 있다.
이제 도 9를 참조하면, 본 발명의 실시예에 따른, 캐리어(900) 상에서 지지된 포지션에 있는 마스크 블랭크(500)가 도면에 도시된다. 마스크 블랭크(500)는, 하향으로 대면하는(facing down) 다층 스택(502)을 갖고, 캐리어(900) 상에서 지지 핀들(902) 상에 지지되며, 유지 핀들(904)에 의해 측방향으로 제자리에 유지된다. 캐리어(900)의 바닥부 측은, 아래로부터의 증착을 허용하기 위한 개구부(906)를 갖는다.
이제 도 10을 참조하면, 본 발명의 실시예에 따른, 캐리어(1000) 상에서 지지된 포지션에 있는 마스크 블랭크(500)가 도면에 도시된다. 마스크 블랭크(500)는, 하향으로 대면하는 다층 스택(502)을 갖고, 캐리어(1000) 상에서 지지 핀들(1002) 상에 지지되며, 유지 핀들(1004)에 의해 측방향으로 제자리에 유지된다. 캐리어(1000)의 바닥부 측은, 아래로부터의 증착을 허용하기 위한 개구부(1006)를 갖는다.
이제 도 11을 참조하면, 본 발명의 실시예에 따른, 캐리어(1100) 상에서 지지된 포지션에 있는 마스크 블랭크(500)가 도면에 도시된다. 마스크 블랭크(500)는, 하향으로 대면하는 다층 스택(502)을 갖고, 캐리어(1100) 상에서 지지 핀들(1102) 상에 지지되며, 유지 핀들(1104)에 의해 측방향으로 제자리에 유지된다. 캐리어의 바닥부 측은, 아래로부터의 증착을 허용하기 위한 개구부(1106)를 갖는다.
이제 도 12를 참조하면, 초-저 결함들을 갖는 도 5의 EUV 마스크 블랭크(500)를 만들기 위한 방법(1200)이 도면에 도시된다. 방법(1200)은, 도 1의 EUV 마스크 생산 시스템(100)의 진공에 마스크 블랭크가 제공되면서 시작한다.
단계(1202)에서, 마스크 블랭크는 디가싱 및 사전세정된다. 단계(1204)에서 평탄화가 이뤄진다. 평탄화 층은 CVD에 의해 증착되고, 단계(1206)에서 경화된다. 단계(1208)에서 PVD에 의해 다층 증착이 수행되고, 단계(1210)에서 캐핑 층이 적용된다. 디가싱, 사전세정, 평탄화, 다층 증착, 및 캐핑 층 적용은, 마스크 블랭크를 진공으로부터 제거하지 않고, 모두 EUV 마스크 생산 시스템(100)에서 수행된다.
도 1의 통합형 EUV 마스크 생산 시스템(100)은, 마스크 블랭크들 및 거울 블랭크들과 같은 임의의 유형의 리소그래픽 블랭크뿐만 아니라 리소그래픽 반도체 제조 프로세스를 위한 마스크들을 만드는 데에 사용될 수 있다.
본 발명의 실시예들은, 요구되는 층 구조를 EUV 마스크 블랭크 상에 증착시키기 위한 통합형 툴 개념을 제공한다. 실시예들은 유리 블랭크의 결함들(수에서 수십 nm의 크기 범위의 피트들, 스크래치들, 및 입자들)을 평탄화하기 위한 평활화 층들, Bragg 반사체를 위한 몰리브덴 및 실리콘 다층 스택 증착뿐만 아니라, (몰리브덴/실리콘 스택을 산화로부터 보호하는 데이 사용되는)루테늄 캐핑 층을 포함한다.
이러한 단계들을 하나의 프로세스 툴에 통합시킴으로써, 취급 단계들의 수를 제한하는 것에 의해, 더 양호한 인터페이스 제어뿐만 아니라 더 양호한 결함 성능을 달성하는 것이 가능하다는 것이 밝혀졌다.
기판이 캐리어 상에 위치되어서 마스크 블랭크의 취급이 다수의 프로세스 단계들을 통해 최소화된다. 이는, 기판 상의, 취급-관련 입자들의 가능성을 감소시킬 것이다.
클러스터 툴의 사용은 또한, 진공을 파괴하지 않고, 기판 청정도(cleanliness)를, 그리고 따라서 층 스택의 접착력(adhesion)을 개선하기 위해, 건식 세정 프로세스들의 통합을 허용한다.
기판을 통합형 극자외선(EUV) 마스크 생산 시스템 내에 로딩한 이후, 기판 표면 상의 피트들 및 스크래치들을 충진할뿐만 아니라 남아있는 임의의 작은 입자들을 평탄화하기 위해, 먼저, 마스크 블랭크는, 유동 가능한 CVD 프로세스에서 평탄화 층으로, 이를테면 AMAT Eterna 필름들로 코팅된다.
다음으로, 기판은 다층 증착을 위한 증착 챔버로 이동된다. 기판을 이송할 필요없이 하나의 챔버에서 전체 스택이 증착될 수 있도록, 챔버는 다수의 타겟들을 통합한다.
결과적인 시스템은, 간단하고, 비용-효과적이며, 복잡하지 않고, 매우 다용도이며, 그리고, 공지된 기술들을 적응시킴으로써(adapt) 놀랍게(surprisingly) 그리고 불확실하게(unobviously) 구현될 수 있으며, 따라서 쉽게, 효율적으로 그리고 경제적으로 EUV 마스크 블랭크들을 제조하기에 적합하다.
본 발명의 실시예들은, EUV 마스크 블랭크를 위한, 원자적으로 편평하고, 낮은 결함의, 평활한 표면을 제공한다. 그러나, 본 발명의 실시예들은 또한, 거울들과 같은 다른 유형들의 블랭크들을 제조하는 데에 사용될 수 있다. 유리 기판 위에, 본 발명의 실시예들은 EUV 거울을 형성하는 데에 사용될 수 있다. 또한, 본 발명의 실시예들은, UV, DUV, e-빔, 가시광선, 적외선, 이온-빔, x-선, 및 다른 유형들의 반도체 리소그래피에서 사용되는 다른 원자적으로 편평하고, 낮은 결함의, 평활한 표면 구조들에 적용될 수 있다. 본 발명의 실시예들은 또한, 웨이퍼-스케일로부터 디바이스 수준까지, 그리고 심지어 더 대면적의 디스플레이들 및 태양광(solar) 어플리케이션들까지의 범위일 수 있는, 다양한 크기의 구조들을 형성하는 데에 사용될 수 있다.
본 발명의 다른 중요한 양태는, 본 발명이 가치있게, 비용들을 감소시키고, 시스템들을 단순화하며, 성능을 증가시키는 역사적인 경향을 지원하고 서비스한다는 점이다.
본 발명의 이러한 그리고 다른 가치있는 양태들은 결과적으로 기술의 상태를 적어도 다음 수준으로 발전시킨다(further).
본 발명은 특정한 최상의 모드와 함께 설명되었지만, 전술한 설명을 고려하여, 많은 대안들, 수정들, 및 변형들이 당업자에게 자명할 것이라는 점이 이해되어야 한다. 따라서, 본 발명은, 포함된 청구항들의 범위 내에 있는 그러한 모든 대안들, 수정들, 및 변형들을 포괄하도록 의도된다. 지금까지 본원에서 열거되거나 첨부된 도면들에 도시된 모든 사항들은 예시적인 것이며 비-제한적인 의미로 이해되어야 한다.

Claims (22)

  1. 극자외선(EUV) 마스크 블랭크를 형성하는 방법으로서,
    통합형 생산 시스템에 기판을 로딩하는 단계;
    디가싱(degassing) 또는 사전세정(precleaning) 중 적어도 하나를 포함하는 사전 프로세스를 수행하는 단계;
    유동 가능한 화학 기상 증착 프로세스에 의해 상기 기판 상에 평탄화(planarization) 층을 형성하는 단계 ― 상기 단계는, 상기 사전 프로세스 이후에 남은 상기 기판 표면 상의 피트들(pits) 및 스크래치들을 충진하고, 상기 사전 프로세스 이후에 남은 상기 기판 표면 상의 작은 입자들을 평탄화함 ―;
    경화된 평탄화 층을 제공하기 위해 경화 챔버에서 상기 평탄화 층을 경화하는 단계; 및
    물리 기상 증착에 의해 상기 경화된 평탄화 층 위에 다층 스택을 형성하는 단계 ― 상기 평탄화 층 형성 단계, 상기 평탄화 층 경화 단계 및 상기 다층 스택 형성 단계는, 상기 기판을 진공으로부터 제거하지 않으면서 생산 시스템에서 수행됨 ―;를 포함하는,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 다층 스택 형성 단계는, 상기 기판을 다른 챔버로 이송하지 않으면서 하나의 챔버에서 전체 다층 스택이 형성될 수 있도록, 복수의 타겟들을 가지는 증착 챔버에서 수행되는,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  3. 제 2 항에 있어서,
    상기 복수의 타겟들은 실리콘 타겟 및 몰리브덴 타겟을 포함하고, 상기 다층 스택 형성 단계는 몰리브덴 및 실리콘의 교번하는 층들을 증착하는 단계를 포함하는,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  4. 제 3 항에 있어서,
    상기 다층 스택의 접착력(adhesion)을 개선하기 위해 상기 기판을 건조 세정하는 단계를 더 포함하고, 상기 건조 세정 단계 및 상기 다층 스택 형성 단계는 진공을 파괴하지 않으면서 발생하는,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  5. 제 3 항에 있어서,
    상기 기판은 상기 교번하는 층들의 증착 동안 회전식(rotating) 페데스탈 상에 배치되는,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  6. 제 5 항에 있어서,
    상기 교번하는 층들은 상기 페데스탈이 회전하는 동안 상기 기판에 일정 각도로(at an angle) 증착되는,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  7. 제 3 항에 있어서,
    상기 기판의 취급을 복수의 프로세스 단계들을 통해 최소화하고, 그리고 상기 기판 상의 취급-관련 입자들을 감소시키기 위해, 기판을 캐리어 상에 배치하는 단계 및 복수의 프로세싱 시스템들을 통해 상기 캐리어 상에서 상기 기판을 이동시키는 단계를 더 포함하고,
    상기 복수의 프로세스 단계들은, 상기 평탄화 층 형성 단계, 상기 평탄화 층 경화 단계 및 상기 경화된 평탄화 층 위에 다층 스택 형성 단계를 포함하는,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  8. 제 7 항에 있어서,
    지지 핀들로 상기 캐리어에서 상기 기판을 지지하는 단계 및 유지 핀들로 상기 기판을 측방향으로 제자리에 유지하는 단계를 더 포함하는,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  9. 제 8 항에 있어서,
    상기 캐리어는 상기 지지 핀들의 두께 및 상기 기판의 두께보다 두꺼운,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  10. 제 9 항에 있어서,
    상기 다층 스택의 엣지 영역들에서의 재료의 증착을 방지하도록 상기 기판의 엣지를 커버하는 단계를 더 포함하는,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  11. 제 9 항에 있어서,
    상기 다층 스택은 상기 기판 아래로부터의 증착에 의해 형성되고, 상기 다층 스택은, 상기 기판이 상기 캐리어에서 지지되는 경우, 하향으로 대면하는,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  12. 제 9 항에 있어서,
    상기 다층 스택은, 상기 기판이 상기 캐리어에서 지지되는 경우, 상향으로 대면하는,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  13. 제 4 항에 있어서,
    상기 기판을 상기 진공으로부터 제거하지 않으면서 캐핑(capping) 층을 상기 다층 스택에 적용하는 단계를 더 포함하는,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  14. 제 13 항에 있어서,
    상기 기판의 취급을 복수의 프로세스 단계들을 통해 최소화하고, 그리고 상기 기판 상의 취급-관련 입자들을 감소시키기 위해, 기판을 캐리어 상에 배치하는 단계 및 복수의 프로세싱 시스템들을 통해 상기 캐리어 상에서 상기 기판을 이동시키는 단계를 더 포함하고,
    상기 복수의 프로세스 단계들은, 상기 평탄화 층 형성 단계, 상기 평탄화 층 경화 단계, 상기 경화된 평탄화 층 위에 다층 스택 형성 단계 및 상기 캐핑 층 형성 단계를 포함하는,
    극자외선(EUV) 마스크 블랭크를 형성하는 방법.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
KR1020217012331A 2013-03-12 2014-03-12 극자외선 리소그래피 마스크 블랭크 제조 시스템 및 그를 위한 작동 방법 KR102401043B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361778402P 2013-03-12 2013-03-12
US61/778,402 2013-03-12
US14/139,415 US20140272684A1 (en) 2013-03-12 2013-12-23 Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US14/139,415 2013-12-23
PCT/US2014/025124 WO2014165300A1 (en) 2013-03-12 2014-03-12 Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
KR1020157027663A KR102246809B1 (ko) 2013-03-12 2014-03-12 극자외선 리소그래피 마스크 블랭크 제조 시스템 및 그를 위한 작동 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020157027663A Division KR102246809B1 (ko) 2013-03-12 2014-03-12 극자외선 리소그래피 마스크 블랭크 제조 시스템 및 그를 위한 작동 방법

Publications (2)

Publication Number Publication Date
KR20210048604A KR20210048604A (ko) 2021-05-03
KR102401043B1 true KR102401043B1 (ko) 2022-05-20

Family

ID=51528511

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217012331A KR102401043B1 (ko) 2013-03-12 2014-03-12 극자외선 리소그래피 마스크 블랭크 제조 시스템 및 그를 위한 작동 방법
KR1020157027663A KR102246809B1 (ko) 2013-03-12 2014-03-12 극자외선 리소그래피 마스크 블랭크 제조 시스템 및 그를 위한 작동 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020157027663A KR102246809B1 (ko) 2013-03-12 2014-03-12 극자외선 리소그래피 마스크 블랭크 제조 시스템 및 그를 위한 작동 방법

Country Status (7)

Country Link
US (2) US20140272684A1 (ko)
JP (2) JP6625520B2 (ko)
KR (2) KR102401043B1 (ko)
CN (1) CN105144343B (ko)
SG (2) SG11201506470UA (ko)
TW (1) TWI623054B (ko)
WO (1) WO2014165300A1 (ko)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9863036B2 (en) * 2014-04-25 2018-01-09 Plasma-Therm Nes Llc Wafer stage for symmetric wafer processing
WO2017035008A1 (en) * 2015-08-21 2017-03-02 Applied Materials, Inc. Method and apparatus for co-sputtering multiple targets
US10468238B2 (en) * 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
TWI724971B (zh) 2016-06-28 2021-04-11 美商應用材料股份有限公司 包括間隔上臂與交錯腕部的雙機器人以及包括該者之系統及方法
TWI763686B (zh) * 2016-07-27 2022-05-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料、製造極紫外線遮罩坯料的方法以及極紫外線遮罩坯料生產系統
TWI774375B (zh) 2016-07-27 2022-08-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
US11011357B2 (en) 2017-02-21 2021-05-18 Applied Materials, Inc. Methods and apparatus for multi-cathode substrate processing
US10763091B2 (en) * 2017-08-18 2020-09-01 Applied Materials, Inc. Physical vapor deposition chamber particle reduction apparatus and methods
US10504705B2 (en) * 2017-09-15 2019-12-10 Applied Materials, Inc. Physical vapor deposition chamber with static magnet assembly and methods of sputtering
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
TW202244295A (zh) * 2018-06-19 2022-11-16 美商應用材料股份有限公司 具有多陰極的沉積系統
TWI821300B (zh) * 2018-06-19 2023-11-11 美商應用材料股份有限公司 具有護罩座的沉積系統
US11275300B2 (en) * 2018-07-06 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask blank defect reduction
TWI805795B (zh) * 2018-07-20 2023-06-21 美商應用材料股份有限公司 基板定位設備與方法
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
TW202028495A (zh) 2018-12-21 2020-08-01 美商應用材料股份有限公司 極紫外線遮罩吸收器及用於製造的方法
TWI788618B (zh) * 2019-01-25 2023-01-01 美商應用材料股份有限公司 物理氣相沉積靶材組件
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
US11639544B2 (en) 2019-03-01 2023-05-02 Applied Materials, Inc. Physical vapor deposition system and processes
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
TW202104628A (zh) 2019-04-19 2021-02-01 美商應用材料股份有限公司 用於控制pvd沉積均勻性的系統及方法
TW202104957A (zh) 2019-04-19 2021-02-01 美商應用材料股份有限公司 布拉格反射器中的梯度界面
US11557473B2 (en) 2019-04-19 2023-01-17 Applied Materials, Inc. System and method to control PVD deposition uniformity
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202111420A (zh) 2019-05-22 2021-03-16 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
US11850742B2 (en) 2019-06-07 2023-12-26 Applied Materials, Inc. Dual robot including splayed end effectors and systems and methods including same
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11387071B2 (en) * 2019-10-06 2022-07-12 Applied Materials, Inc. Multi-source ion beam etch system
TW202124749A (zh) * 2019-10-25 2021-07-01 美商應用材料股份有限公司 極紫外遮罩毛坯之缺陷減少的方法
TW202122909A (zh) * 2019-10-25 2021-06-16 美商應用材料股份有限公司 減少極紫外遮罩毛坯缺陷之方法
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
JP2022045936A (ja) * 2020-09-10 2022-03-23 信越化学工業株式会社 Euvマスクブランク用多層反射膜付き基板、その製造方法及びeuvマスクブランク
JP7420027B2 (ja) * 2020-09-10 2024-01-23 信越化学工業株式会社 Euvマスクブランク用多層反射膜付き基板、その製造方法及びeuvマスクブランク
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11545347B2 (en) 2020-11-05 2023-01-03 Applied Materials, Inc. Internally divisible process chamber using a shutter disk assembly
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
JPWO2023032721A1 (ko) * 2021-08-30 2023-03-09
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
WO2007111067A1 (en) * 2006-03-29 2007-10-04 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate, and substrate for a reflective mask blank used in euv lithography, obtainable by that method
WO2012121159A1 (ja) * 2011-03-07 2012-09-13 旭硝子株式会社 多層基板、多層基板の製造方法、多層基板の品質管理方法

Family Cites Families (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5843529B2 (ja) 1980-07-03 1983-09-27 寛 菅沼 支線用打込みアンカの打設方法
JPS57143826A (en) 1981-02-28 1982-09-06 Dainippon Printing Co Ltd Formation of resist pattern on gapped semiconductor substrate
JPS61101450A (ja) 1984-10-24 1986-05-20 新技術開発事業団 非晶質強磁性酸化物
US4842675A (en) * 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) * 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH05335215A (ja) 1991-03-06 1993-12-17 Hitachi Ltd 反射ミラー、その作製方法及び縮小投影露光装置
JP3281173B2 (ja) 1993-03-15 2002-05-13 健 増本 高硬度薄膜及びその製造方法
DE69406963T2 (de) 1993-03-15 1998-05-20 Tsuyoshi Masumoto Hochharte Dünnschicht, sowie Verfahren zu deren Herstellung
JPH07106224A (ja) 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JPH07106234A (ja) 1993-10-07 1995-04-21 Mitsubishi Electric Corp レジストパターンの形成方法
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5645646A (en) * 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
JP2000331939A (ja) 1999-05-17 2000-11-30 Applied Materials Inc 成膜装置
US6228512B1 (en) 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
US6352803B1 (en) 1999-06-07 2002-03-05 The Regents Of The University Of California Coatings on reflective mask substrates
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
JP2002090978A (ja) * 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
JP2002090977A (ja) * 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランク、フォトマスクブランク、並びにそれらの製造装置及び製造方法
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
ATE445028T1 (de) 2001-02-07 2009-10-15 Asahi Glass Co Ltd Verfahren zur herstellung eines sputterfilms
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6610447B2 (en) 2001-03-30 2003-08-26 Intel Corporation Extreme ultraviolet mask with improved absorber
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
JP3454259B2 (ja) 2001-09-07 2003-10-06 セイコーエプソン株式会社 マスクデータの生成方法、マスクおよび記録媒体、ならびに半導体装置の製造方法
JP4099328B2 (ja) 2001-11-26 2008-06-11 キヤノンアネルバ株式会社 スパッタリング装置におけるパーティクル発生防止方法、スパッタリング方法、スパッタリング装置及び被覆用部材
JP4009102B2 (ja) 2001-12-19 2007-11-14 独立行政法人科学技術振興機構 半導体特性を示すアモルファス鉄シリサイド膜とその作製方法
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US20030164998A1 (en) * 2002-03-01 2003-09-04 The Regents Of The University Of California Ion-assisted deposition techniques for the planarization of topological defects
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
WO2003085709A1 (en) 2002-04-11 2003-10-16 Hoya Corporation Reflection type mask blank and reflection type mask and production methods for them
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
JP2003315977A (ja) 2002-04-25 2003-11-06 Hoya Corp リソグラフィーマスクブランクの製造方法及び製造装置
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7129010B2 (en) * 2002-08-02 2006-10-31 Schott Ag Substrates for in particular microlithography
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
CN1501442A (zh) 2002-11-12 2004-06-02 阿泰技术有限公社 光刻胶沉积设备以及使用该设备形成光刻胶薄膜的方法
JP2004172272A (ja) 2002-11-19 2004-06-17 Nikon Corp Euv露光装置及びeuv露光方法
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US20040159538A1 (en) * 2003-02-13 2004-08-19 Hans Becker Photo mask blank, photo mask, method and apparatus for manufacturing of a photo mask blank
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
JP3683261B2 (ja) 2003-03-03 2005-08-17 Hoya株式会社 擬似欠陥を有する反射型マスクブランクス及びその製造方法、擬似欠陥を有する反射型マスク及びその製造方法、並びに擬似欠陥を有する反射型マスクブランクス又は反射型マスクの製造用基板
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
WO2005087697A1 (ja) 2004-03-15 2005-09-22 Ube Industries, Ltd. β−ジケトナトを配位子とする金属錯体
JP4542807B2 (ja) 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
JPWO2006030627A1 (ja) 2004-09-17 2008-05-08 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクスおよびその製造方法
JP4692984B2 (ja) 2004-09-24 2011-06-01 Hoya株式会社 反射型マスクブランク、反射型マスク及び多層膜反射鏡並びにこれらの製造方法
WO2006040613A1 (en) * 2004-10-13 2006-04-20 Xenocs Method of deposition with reduction of contaminants in an ion assist beam and associated apparatus
JP2006177740A (ja) 2004-12-22 2006-07-06 Nikon Corp 多層膜反射鏡及びeuv露光装置
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
JP4703354B2 (ja) 2005-10-14 2011-06-15 Hoya株式会社 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
DE102005052000B3 (de) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP4801550B2 (ja) 2006-09-26 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、及び半導体装置の製造方法
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
EP2087510A4 (en) 2006-11-27 2010-05-05 Nikon Corp OPTICAL ELEMENT, ASSOCIATED EXPOSURE UNIT AND METHOD FOR PRODUCING THE DEVICE
JP2008135090A (ja) 2006-11-27 2008-06-12 Canon Inc レジスト、これを用いた光ディスク用スタンパの製造方法、及び光ディスク用スタンパ
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) * 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
JP5190316B2 (ja) * 2007-10-04 2013-04-24 キヤノンアネルバ株式会社 高周波スパッタリング装置
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
JP5393972B2 (ja) * 2007-11-05 2014-01-22 Hoya株式会社 マスクブランク及び転写用マスクの製造方法
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
JP5369640B2 (ja) 2008-02-19 2013-12-18 旭硝子株式会社 Euvl用光学部材、およびその平滑化方法
KR20090103847A (ko) 2008-03-28 2009-10-01 캐논 가부시끼가이샤 노광 장치 및 디바이스 제조 방법
KR101696487B1 (ko) 2008-03-31 2017-01-13 호야 가부시키가이샤 포토마스크 블랭크, 포토마스크 및 포토마스크 블랭크의 제조 방법
NL1036673A1 (nl) * 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
DE102008042212A1 (de) 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010122305A (ja) 2008-11-17 2010-06-03 Sony Corp 露光装置及び露光方法並びに微細加工装置及び微細加工方法
JP2010126789A (ja) * 2008-11-28 2010-06-10 Shibaura Mechatronics Corp スパッタ成膜装置
JP2010170011A (ja) 2009-01-26 2010-08-05 Hoya Corp フォトマスクの修正方法
JP2011053566A (ja) 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
CN102019266A (zh) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 涂层材料的涂布方法
WO2011073157A1 (en) 2009-12-15 2011-06-23 Carl Zeiss Smt Gmbh Reflective optical element for euv lithography
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP5560776B2 (ja) 2010-03-03 2014-07-30 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクスの製造方法
JP5559948B2 (ja) * 2010-03-12 2014-07-23 Hoya株式会社 多層反射膜付基板の製造方法および反射型マスクブランクの製造方法
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
JP2011222958A (ja) 2010-03-25 2011-11-04 Komatsu Ltd ミラーおよび極端紫外光生成装置
US9703204B2 (en) 2010-04-02 2017-07-11 Nikon Corporation Light source apparatus, optical apparatus, exposure apparatus, device manufacturing method, illuminating method, exposure method, and method for manufacturing optical apparatus
US8526104B2 (en) 2010-04-30 2013-09-03 Corning Incorporated Plasma ion assisted deposition of Mo/Si multilayer EUV coatings
US20120009765A1 (en) * 2010-07-12 2012-01-12 Applied Materials, Inc. Compartmentalized chamber
EP2600388B1 (en) 2010-07-27 2014-10-08 Asahi Glass Company, Limited Substrate provided with reflecting layer for euv lithography, and reflective mask blank for euv lithography
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (ko) * 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 액정 표시 장치 및 그 제조 방법
JP6013720B2 (ja) * 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) * 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) * 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
KR20130139856A (ko) 2011-03-14 2013-12-23 후지 덴키 가부시키가이샤 산화물 기판 및 그 제조 방법
JP2012248664A (ja) 2011-05-27 2012-12-13 Hitachi Cable Ltd 気相成長装置及び気相成長方法、並びにエピタキシャルウェハ
JP6236000B2 (ja) 2011-07-08 2017-11-22 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (ko) * 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
DE102012203633A1 (de) 2012-03-08 2013-09-12 Carl Zeiss Smt Gmbh Spiegel für den EUV-Wellenlängenbereich, Herstellungsverfahren für einen solchen Spiegel und Projektionsbelichtungsanlage mit einem solchen Spiegel
US8735252B2 (en) 2012-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US8969932B2 (en) 2012-12-12 2015-03-03 Globalfoundries Inc. Methods of forming a finfet semiconductor device with undoped fins
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
WO2007111067A1 (en) * 2006-03-29 2007-10-04 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate, and substrate for a reflective mask blank used in euv lithography, obtainable by that method
WO2012121159A1 (ja) * 2011-03-07 2012-09-13 旭硝子株式会社 多層基板、多層基板の製造方法、多層基板の品質管理方法

Also Published As

Publication number Publication date
JP2019219671A (ja) 2019-12-26
US20140272684A1 (en) 2014-09-18
US10788744B2 (en) 2020-09-29
JP6625520B2 (ja) 2019-12-25
TWI623054B (zh) 2018-05-01
SG11201506470UA (en) 2015-09-29
TW201442136A (zh) 2014-11-01
CN105144343B (zh) 2018-08-24
WO2014165300A1 (en) 2014-10-09
CN105144343A (zh) 2015-12-09
KR20210048604A (ko) 2021-05-03
US20170115555A1 (en) 2017-04-27
KR102246809B1 (ko) 2021-04-29
JP2016519778A (ja) 2016-07-07
SG10201707081YA (en) 2017-10-30
KR20150127165A (ko) 2015-11-16

Similar Documents

Publication Publication Date Title
KR102401043B1 (ko) 극자외선 리소그래피 마스크 블랭크 제조 시스템 및 그를 위한 작동 방법
KR102060035B1 (ko) 평탄화된 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들
US10551732B2 (en) Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
KR102207245B1 (ko) 비결정질 층 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들
US9417515B2 (en) Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor

Legal Events

Date Code Title Description
A107 Divisional application of patent
AMND Amendment
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant