SG10201707081YA - Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor - Google Patents

Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor

Info

Publication number
SG10201707081YA
SG10201707081YA SG10201707081YA SG10201707081YA SG10201707081YA SG 10201707081Y A SG10201707081Y A SG 10201707081YA SG 10201707081Y A SG10201707081Y A SG 10201707081YA SG 10201707081Y A SG10201707081Y A SG 10201707081YA SG 10201707081Y A SG10201707081Y A SG 10201707081YA
Authority
SG
Singapore
Prior art keywords
manufacturing system
mask blank
extreme ultraviolet
lithography mask
ultraviolet lithography
Prior art date
Application number
SG10201707081YA
Inventor
Ralf Hofmann
Cara Beasley
Majeed A Foad
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of SG10201707081YA publication Critical patent/SG10201707081YA/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3417Arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3447Collimators, shutters, apertures
SG10201707081YA 2013-03-12 2014-03-12 Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor SG10201707081YA (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361778402P 2013-03-12 2013-03-12
US14/139,415 US20140272684A1 (en) 2013-03-12 2013-12-23 Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor

Publications (1)

Publication Number Publication Date
SG10201707081YA true SG10201707081YA (en) 2017-10-30

Family

ID=51528511

Family Applications (2)

Application Number Title Priority Date Filing Date
SG11201506470UA SG11201506470UA (en) 2013-03-12 2014-03-12 Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
SG10201707081YA SG10201707081YA (en) 2013-03-12 2014-03-12 Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
SG11201506470UA SG11201506470UA (en) 2013-03-12 2014-03-12 Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor

Country Status (7)

Country Link
US (2) US20140272684A1 (en)
JP (2) JP6625520B2 (en)
KR (2) KR102246809B1 (en)
CN (1) CN105144343B (en)
SG (2) SG11201506470UA (en)
TW (1) TWI623054B (en)
WO (1) WO2014165300A1 (en)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9863036B2 (en) * 2014-04-25 2018-01-09 Plasma-Therm Nes Llc Wafer stage for symmetric wafer processing
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
WO2017035008A1 (en) * 2015-08-21 2017-03-02 Applied Materials, Inc. Method and apparatus for co-sputtering multiple targets
TWI707754B (en) 2016-06-28 2020-10-21 美商應用材料股份有限公司 Dual robot including spaced upper arms and interleaved wrists and systems and methods including same
TWI763686B (en) * 2016-07-27 2022-05-11 美商應用材料股份有限公司 Extreme ultraviolet mask blank with alloy absorber, method of manufacturing extreme ultraviolet mask blank, and extreme ultraviolet mask blank production system
TWI774375B (en) 2016-07-27 2022-08-11 美商應用材料股份有限公司 Extreme ultraviolet mask blank with multilayer absorber and method of manufacture
US11011357B2 (en) * 2017-02-21 2021-05-18 Applied Materials, Inc. Methods and apparatus for multi-cathode substrate processing
US10763091B2 (en) * 2017-08-18 2020-09-01 Applied Materials, Inc. Physical vapor deposition chamber particle reduction apparatus and methods
US10504705B2 (en) * 2017-09-15 2019-12-10 Applied Materials, Inc. Physical vapor deposition chamber with static magnet assembly and methods of sputtering
KR102374206B1 (en) 2017-12-05 2022-03-14 삼성전자주식회사 Method of fabricating semiconductor device
TWI773904B (en) * 2018-06-19 2022-08-11 美商應用材料股份有限公司 Deposition system with a multi-cathode
TWI821300B (en) 2018-06-19 2023-11-11 美商應用材料股份有限公司 Deposition system with shield mount
US11275300B2 (en) 2018-07-06 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask blank defect reduction
TWI805795B (en) * 2018-07-20 2023-06-21 美商應用材料股份有限公司 Substrate positioning apparatus and methods
TW202026770A (en) 2018-10-26 2020-07-16 美商應用材料股份有限公司 Ta-cu alloy material for extreme ultraviolet mask absorber
TW202028495A (en) 2018-12-21 2020-08-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber and processes for manufacture
TWI788618B (en) * 2019-01-25 2023-01-01 美商應用材料股份有限公司 Physical vapor deposition target assembly
TWI828843B (en) 2019-01-31 2024-01-11 美商應用材料股份有限公司 Extreme ultraviolet (euv) mask blanks and methods of manufacturing the same
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202035792A (en) 2019-01-31 2020-10-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TW202037742A (en) 2019-03-01 2020-10-16 美商應用材料股份有限公司 Physical vapor deposition system and processes
TWI818151B (en) 2019-03-01 2023-10-11 美商應用材料股份有限公司 Physical vapor deposition chamber and method of operation thereof
TW202043905A (en) 2019-03-01 2020-12-01 美商應用材料股份有限公司 Physical vapor deposition system and processes
US11557473B2 (en) 2019-04-19 2023-01-17 Applied Materials, Inc. System and method to control PVD deposition uniformity
TW202104628A (en) 2019-04-19 2021-02-01 美商應用材料股份有限公司 System and method to control pvd deposition uniformity
TW202104957A (en) 2019-04-19 2021-02-01 美商應用材料股份有限公司 Graded interface in bragg reflector
TW202104667A (en) 2019-05-22 2021-02-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TW202104666A (en) 2019-05-22 2021-02-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TW202111420A (en) 2019-05-22 2021-03-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
US11850742B2 (en) 2019-06-07 2023-12-26 Applied Materials, Inc. Dual robot including splayed end effectors and systems and methods including same
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11387071B2 (en) * 2019-10-06 2022-07-12 Applied Materials, Inc. Multi-source ion beam etch system
TW202122909A (en) * 2019-10-25 2021-06-16 美商應用材料股份有限公司 Extreme ultraviolet mask blank defect reduction methods
TW202124749A (en) * 2019-10-25 2021-07-01 美商應用材料股份有限公司 Extreme ultraviolet mask blank defect reduction methods
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202129401A (en) 2020-01-27 2021-08-01 美商應用材料股份有限公司 Extreme ultraviolet mask blank hard mask materials
TW202131087A (en) 2020-01-27 2021-08-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TWI817073B (en) 2020-01-27 2023-10-01 美商應用材料股份有限公司 Extreme ultraviolet mask blank hard mask materials
TW202141165A (en) 2020-03-27 2021-11-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202202641A (en) 2020-07-13 2022-01-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
JP7420027B2 (en) 2020-09-10 2024-01-23 信越化学工業株式会社 Substrate with multilayer reflective film for EUV mask blank, manufacturing method thereof, and EUV mask blank
JP2022045936A (en) * 2020-09-10 2022-03-23 信越化学工業株式会社 Substrate with multilayer reflection film for euv mask blank, manufacturing method thereof, and euv mask blank
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11545347B2 (en) * 2020-11-05 2023-01-03 Applied Materials, Inc. Internally divisible process chamber using a shutter disk assembly
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
JPWO2023032721A1 (en) * 2021-08-30 2023-03-09
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5843529B2 (en) 1980-07-03 1983-09-27 寛 菅沼 How to install a driving anchor for a branch line
JPS57143826A (en) 1981-02-28 1982-09-06 Dainippon Printing Co Ltd Formation of resist pattern on gapped semiconductor substrate
JPS61101450A (en) 1984-10-24 1986-05-20 新技術開発事業団 Amorphous ferromagnetic oxide
US4842675A (en) * 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) * 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH05335215A (en) 1991-03-06 1993-12-17 Hitachi Ltd Reflecting mirror, its manufacture and stepper
EP0616046B1 (en) 1993-03-15 1997-11-26 Ykk Corporation Highly hard thin film and method for production thereof
JP3281173B2 (en) 1993-03-15 2002-05-13 健 増本 High hardness thin film and method for producing the same
JPH07106224A (en) 1993-10-01 1995-04-21 Hitachi Ltd Pattern forming method
JPH07106234A (en) 1993-10-07 1995-04-21 Mitsubishi Electric Corp Formation of resist pattern
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5645646A (en) * 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
JP2000331939A (en) 1999-05-17 2000-11-30 Applied Materials Inc Film-forming device
US6228512B1 (en) 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
JP4959080B2 (en) 1999-06-07 2012-06-20 エクストリーム、ウルトラバイオレット、リミテッド、ライアビリティ、カンパニー Reflective mask substrate coating
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
WO2002020864A2 (en) * 2000-06-16 2002-03-14 Applied Materials, Inc. System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (en) * 2000-09-12 2002-03-27 Hoya Corp Method of manufacturing phase shift mask blank and apparatus for manufacturing phase shift mask blank
JP2002090977A (en) * 2000-09-12 2002-03-27 Hoya Corp Phase shift mask blank, photomask blank as well as manufacturing apparatus and manufacturing method for the same
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (en) 2000-11-22 2007-07-04 Hoya株式会社 SUBSTRATE WITH MULTILAYER FILM, REFLECTIVE MASK BLANK FOR EXPOSURE, REFLECTIVE MASK FOR EXPOSURE AND ITS MANUFACTURING METHOD, AND SEMICONDUCTOR MANUFACTURING METHOD
CN1258616C (en) 2001-02-07 2006-06-07 旭硝子株式会社 Spatter device and spatter film forming method
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6610447B2 (en) 2001-03-30 2003-08-26 Intel Corporation Extreme ultraviolet mask with improved absorber
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
JP2005504885A (en) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド Barrier formation using a novel sputter deposition method
JP3454259B2 (en) 2001-09-07 2003-10-06 セイコーエプソン株式会社 Mask data generation method, mask and recording medium, and semiconductor device manufacturing method
JP4099328B2 (en) 2001-11-26 2008-06-11 キヤノンアネルバ株式会社 Method for preventing particle generation in sputtering apparatus, sputtering method, sputtering apparatus, and covering member
JP4009102B2 (en) 2001-12-19 2007-11-14 独立行政法人科学技術振興機構 Amorphous iron silicide film exhibiting semiconductor characteristics and fabrication method thereof
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US20030164998A1 (en) * 2002-03-01 2003-09-04 The Regents Of The University Of California Ion-assisted deposition techniques for the planarization of topological defects
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US7390596B2 (en) 2002-04-11 2008-06-24 Hoya Corporation Reflection type mask blank and reflection type mask and production methods for them
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
JP2003315977A (en) 2002-04-25 2003-11-06 Hoya Corp Method for producing lithography mask blank and apparatus therefor
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7129010B2 (en) 2002-08-02 2006-10-31 Schott Ag Substrates for in particular microlithography
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
CN1501442A (en) 2002-11-12 2004-06-02 阿泰技术有限公社 Photoresist deposition apparatus and method for forming photoresist film with the same
JP2004172272A (en) 2002-11-19 2004-06-17 Nikon Corp Apparatus and method for euv exposure
DE10302342A1 (en) 2003-01-17 2004-08-05 Schott Glas Production of substrate used in the production of mask or optical component comprises preparing a base layer, applying a first covering layer on the base layer, and post-treating the covering layer
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US20040159538A1 (en) * 2003-02-13 2004-08-19 Hans Becker Photo mask blank, photo mask, method and apparatus for manufacturing of a photo mask blank
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
JP3683261B2 (en) 2003-03-03 2005-08-17 Hoya株式会社 REFLECTIVE MASK BLANK HAVING FALSE DEFECT AND MANUFACTURING METHOD THEREOF, REFLECTIVE MASK HAVING FALSE DEFECT AND MANUFACTURING METHOD THEREOF
DE10317792A1 (en) 2003-04-16 2004-11-11 Schott Glas Blank mask for use in EUV lithography and process for its manufacture
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (en) 2003-06-23 2005-10-11 삼성전자주식회사 Dual gate oxide structure for use in semiconductor device and method therefore
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (en) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Photoresist Composition for EUV and Method for forming Photoresist Pattern using the same
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
US7595414B2 (en) 2004-03-15 2009-09-29 Ube Industries, Ltd. Metal complex compound comprising β-diketonato ligand
JP4542807B2 (en) 2004-03-31 2010-09-15 東京エレクトロン株式会社 Film forming method and apparatus, and gate insulating film forming method
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
WO2006030627A1 (en) 2004-09-17 2006-03-23 Asahi Glass Company, Limited Reflective mask blank for euv lithography and method for producing same
JP4692984B2 (en) 2004-09-24 2011-06-01 Hoya株式会社 REFLECTIVE MASK BLANK, REFLECTIVE MASK, MULTILAYER REFLECTOR AND MANUFACTURING METHOD THEREOF
US20080257715A1 (en) * 2004-10-13 2008-10-23 Peter Hoghoj Method of Deposition with Reduction of Contaminants in An Ion Assist Beam and Associated Apparatus
JP2006177740A (en) 2004-12-22 2006-07-06 Nikon Corp Multilayer film mirror and euv exposure apparatus
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
JP4703354B2 (en) 2005-10-14 2011-06-15 Hoya株式会社 SUBSTRATE WITH MULTILAYER REFLECTIVE FILM, ITS MANUFACTURING METHOD, REFLECTIVE MASK BLANK AND REFLECTIVE MASK
DE102005052000B3 (en) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device having a contact structure based on copper and tungsten
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP4801550B2 (en) 2006-09-26 2011-10-26 富士通株式会社 Resist composition, method for forming resist pattern, and method for manufacturing semiconductor device
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
JP2008135090A (en) 2006-11-27 2008-06-12 Canon Inc Resist, manufacturing method of stamper for optical disk using the same and stamper for optical disk
WO2008065821A1 (en) 2006-11-27 2008-06-05 Nikon Corporation Optical element, exposure unit utilizing the same and process for device production
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (en) * 2007-09-07 2009-03-12 Canon Anelva Corporation Sputtering method and system
JP5039495B2 (en) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 Mask blank inspection method, reflective exposure mask manufacturing method, reflective exposure method, and semiconductor integrated circuit manufacturing method
JP5190316B2 (en) * 2007-10-04 2013-04-24 キヤノンアネルバ株式会社 High frequency sputtering equipment
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
JP5393972B2 (en) * 2007-11-05 2014-01-22 Hoya株式会社 Mask blank and transfer mask manufacturing method
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
JP5369640B2 (en) 2008-02-19 2013-12-18 旭硝子株式会社 EUVL optical member and smoothing method thereof
KR20090103847A (en) 2008-03-28 2009-10-01 캐논 가부시끼가이샤 Exposure apparatus and device manufacturing method
KR101696487B1 (en) 2008-03-31 2017-01-13 호야 가부시키가이샤 Photomask blank, photomask, and method of manufacturing photomask blank
NL1036673A1 (en) * 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
DE102008042212A1 (en) 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflective optical element and method for its production
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010122305A (en) 2008-11-17 2010-06-03 Sony Corp Exposure apparatus, exposure method, micromachining device, and micromachining method
JP2010126789A (en) * 2008-11-28 2010-06-10 Shibaura Mechatronics Corp Sputtering film deposition system
JP2010170011A (en) 2009-01-26 2010-08-05 Hoya Corp Method of correcting photomask
JP2011053566A (en) 2009-09-03 2011-03-17 Sony Corp Developer, etching solution, and method of manufacturing microfabricated body
CN102019266A (en) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 Coating method for coating material
JP5716038B2 (en) 2009-12-15 2015-05-13 カール・ツァイス・エスエムティー・ゲーエムベーハー Reflective optical element for EUV lithography
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP5560776B2 (en) 2010-03-03 2014-07-30 旭硝子株式会社 Method for manufacturing reflective mask blanks for EUV lithography
JP5559948B2 (en) 2010-03-12 2014-07-23 Hoya株式会社 Manufacturing method of substrate with multilayer reflective film and manufacturing method of reflective mask blank
JP2011222958A (en) 2010-03-25 2011-11-04 Komatsu Ltd Mirror and extreme ultraviolet light generation device
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
KR102397041B1 (en) 2010-04-02 2022-05-12 가부시키가이샤 니콘 Illumination optical system, exposure method and device manufacturing method
US8526104B2 (en) 2010-04-30 2013-09-03 Corning Incorporated Plasma ion assisted deposition of Mo/Si multilayer EUV coatings
TW201204868A (en) * 2010-07-12 2012-02-01 Applied Materials Inc Compartmentalized chamber
KR20130111524A (en) 2010-07-27 2013-10-10 아사히 가라스 가부시키가이샤 Substrate provided with reflecting layer for euv lithography, and reflective mask blank for euv lithography
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (en) * 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 Liquid crystal display and manufacturing method of the same
JP6013720B2 (en) * 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 Reflective mask manufacturing method and reflective mask manufacturing apparatus
US8475977B2 (en) * 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) * 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
KR101904560B1 (en) * 2011-03-07 2018-10-04 에이지씨 가부시키가이샤 Multilayer substrate, manufacturing method for multilayer substrate, and quality control method for multilayer substrate
WO2012124506A1 (en) 2011-03-14 2012-09-20 富士電機株式会社 Oxide substrate, and manufacturing method for same
JP2012248664A (en) 2011-05-27 2012-12-13 Hitachi Cable Ltd Device and method for vapor phase growth, and epitaxial wafer
WO2013007442A1 (en) 2011-07-08 2013-01-17 Asml Netherlands B.V. Lithographic patterning process and resists to use therein
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (en) * 2012-02-15 2018-03-21 삼성전자주식회사 Semicoductor devices having through vias and methods for fabricating the same
DE102012203633A1 (en) 2012-03-08 2013-09-12 Carl Zeiss Smt Gmbh Mirror for the EUV wavelength range, manufacturing method for such a mirror and projection exposure apparatus with such a mirror
US8735252B2 (en) 2012-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US8969932B2 (en) 2012-12-12 2015-03-03 Globalfoundries Inc. Methods of forming a finfet semiconductor device with undoped fins
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Also Published As

Publication number Publication date
CN105144343A (en) 2015-12-09
KR102401043B1 (en) 2022-05-20
KR102246809B1 (en) 2021-04-29
US10788744B2 (en) 2020-09-29
JP2016519778A (en) 2016-07-07
JP6625520B2 (en) 2019-12-25
KR20150127165A (en) 2015-11-16
TWI623054B (en) 2018-05-01
US20170115555A1 (en) 2017-04-27
KR20210048604A (en) 2021-05-03
JP2019219671A (en) 2019-12-26
SG11201506470UA (en) 2015-09-29
US20140272684A1 (en) 2014-09-18
TW201442136A (en) 2014-11-01
WO2014165300A1 (en) 2014-10-09
CN105144343B (en) 2018-08-24

Similar Documents

Publication Publication Date Title
SG11201506470UA (en) Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
SG11201610505UA (en) Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
SG11201610504QA (en) Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
SG11201604722WA (en) Glass ceramic for ultraviolet lithography and method of manufacturing thereof
IL246161B (en) Inspection method, lithographic apparatus, mask and substrate
SG11201506465QA (en) Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
KR102163526B9 (en) Metal plate metal plate manufacturing method and method for manufacturing mask using metal plate
SG11201610501PA (en) Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
SG10201408018TA (en) Photomask Blank
EP3025565A4 (en) System and method for generation of extreme ultraviolet light
SG11201602967SA (en) Extreme ultraviolet (euv) substrate inspection system with simplified optics and method of manufacturing thereof
SG11201506468PA (en) Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
SG11201506511PA (en) Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
SG11201508899TA (en) Reflective mask blank, method for manufacturing reflective mask blank, reflective mask, and method for manufacturing semiconductor device
SG11201405526UA (en) Mask blank, transfer mask, and method for manufacturing mask blank and transfer mask
SG10201406183VA (en) Method of manufacturing a mask blank and method of manufacturing a transfer mask
SG11201705165QA (en) Photomask blank, method for manufacturing photomask, and mask pattern formation method
EP2851750A4 (en) Mask blank, photomask, and method for manufacturing same
SG11201508901XA (en) Reflective mask blank and method for manufacturing same, reflective mask, and method for manufacturing semiconductor device
SG11201708585SA (en) Method for producing pellicle, and method for producing pellicle-attached photomask
GB201517095D0 (en) Privacy mask processing method
EP3022125A4 (en) A method of manufacturing and providing lithography on metal closures
SG11201505421SA (en) Method for manufacturing mask blank substrate, method for manufacturing mask blank and method for manufacturing transfer mask
EP3018533A4 (en) Lighting system of step-and-scan projection mask aligner
EP3043375C0 (en) Reflective photomask and production method therefor