SG11201506465QA - Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor - Google Patents

Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Info

Publication number
SG11201506465QA
SG11201506465QA SG11201506465QA SG11201506465QA SG11201506465QA SG 11201506465Q A SG11201506465Q A SG 11201506465QA SG 11201506465Q A SG11201506465Q A SG 11201506465QA SG 11201506465Q A SG11201506465Q A SG 11201506465QA SG 11201506465Q A SG11201506465Q A SG 11201506465QA
Authority
SG
Singapore
Prior art keywords
lithography
manufacturing
extreme ultraviolet
blank
systems therefor
Prior art date
Application number
SG11201506465QA
Inventor
Cara Beasley
Ralf Hofmann
Majeed A Foad
Timothy Michaelson
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of SG11201506465QA publication Critical patent/SG11201506465QA/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
SG11201506465QA 2013-03-12 2014-03-12 Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor SG11201506465QA (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361778335P 2013-03-12 2013-03-12
US14/139,307 US9354508B2 (en) 2013-03-12 2013-12-23 Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
PCT/US2014/025110 WO2014165295A1 (en) 2013-03-12 2014-03-12 Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Publications (1)

Publication Number Publication Date
SG11201506465QA true SG11201506465QA (en) 2015-09-29

Family

ID=51525874

Family Applications (1)

Application Number Title Priority Date Filing Date
SG11201506465QA SG11201506465QA (en) 2013-03-12 2014-03-12 Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Country Status (7)

Country Link
US (2) US9354508B2 (en)
JP (2) JP2016514288A (en)
KR (2) KR20150130370A (en)
CN (2) CN105027258A (en)
SG (1) SG11201506465QA (en)
TW (1) TWI589985B (en)
WO (1) WO2014165295A1 (en)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9581889B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
US10908496B2 (en) * 2016-04-25 2021-02-02 Asml Netherlands B.V. Membrane for EUV lithography
US10018919B2 (en) * 2016-05-29 2018-07-10 Kla-Tencor Corporation System and method for fabricating metrology targets oriented with an angle rotated with respect to device features
TWI763686B (en) 2016-07-27 2022-05-11 美商應用材料股份有限公司 Extreme ultraviolet mask blank with alloy absorber, method of manufacturing extreme ultraviolet mask blank, and extreme ultraviolet mask blank production system
TWI811037B (en) 2016-07-27 2023-08-01 美商應用材料股份有限公司 Extreme ultraviolet mask blank with multilayer absorber and method of manufacture
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
CN111095513B (en) 2017-08-18 2023-10-31 应用材料公司 High-pressure high-temperature annealing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095524B (en) 2017-09-12 2023-10-03 应用材料公司 Apparatus and method for fabricating semiconductor structures using protective barrier layers
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP4321649A2 (en) 2017-11-11 2024-02-14 Micromaterials LLC Gas delivery system for high pressure processing chamber
JP7330181B2 (en) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド High-pressure steam annealing treatment equipment
JP2021503714A (en) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Capacitor system for high pressure processing system
KR102374206B1 (en) 2017-12-05 2022-03-14 삼성전자주식회사 Method of fabricating semiconductor device
JP7299898B2 (en) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド Seam repair using high pressure annealing
KR102539390B1 (en) * 2018-02-22 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 Methods for processing mask substrates to enable better film quality
KR20230079236A (en) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
TWI767070B (en) * 2018-10-17 2022-06-11 台灣積體電路製造股份有限公司 Lithography system and method for cleaning lithography system
TW202026770A (en) 2018-10-26 2020-07-16 美商應用材料股份有限公司 Ta-cu alloy material for extreme ultraviolet mask absorber
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
KR20210077779A (en) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 Film Deposition Using Enhanced Diffusion Process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
TW202028495A (en) 2018-12-21 2020-08-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber and processes for manufacture
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202035792A (en) 2019-01-31 2020-10-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TWI828843B (en) 2019-01-31 2024-01-11 美商應用材料股份有限公司 Extreme ultraviolet (euv) mask blanks and methods of manufacturing the same
TW202037742A (en) 2019-03-01 2020-10-16 美商應用材料股份有限公司 Physical vapor deposition system and processes
TWI818151B (en) 2019-03-01 2023-10-11 美商應用材料股份有限公司 Physical vapor deposition chamber and method of operation thereof
TW202043905A (en) 2019-03-01 2020-12-01 美商應用材料股份有限公司 Physical vapor deposition system and processes
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
TW202104667A (en) 2019-05-22 2021-02-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TW202104666A (en) 2019-05-22 2021-02-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TW202111420A (en) 2019-05-22 2021-03-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11387071B2 (en) 2019-10-06 2022-07-12 Applied Materials, Inc. Multi-source ion beam etch system
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202131087A (en) 2020-01-27 2021-08-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TWI817073B (en) 2020-01-27 2023-10-01 美商應用材料股份有限公司 Extreme ultraviolet mask blank hard mask materials
TW202129401A (en) 2020-01-27 2021-08-01 美商應用材料股份有限公司 Extreme ultraviolet mask blank hard mask materials
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202141165A (en) 2020-03-27 2021-11-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202142949A (en) * 2020-04-23 2021-11-16 美商應用材料股份有限公司 Extreme ultraviolet mask blank defect reduction
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202202641A (en) 2020-07-13 2022-01-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4842675A (en) 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH05335215A (en) 1991-03-06 1993-12-17 Hitachi Ltd Reflecting mirror, its manufacture and stepper
EP0616046B1 (en) 1993-03-15 1997-11-26 Ykk Corporation Highly hard thin film and method for production thereof
JP3281173B2 (en) 1993-03-15 2002-05-13 健 増本 High hardness thin film and method for producing the same
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6228512B1 (en) 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
JP4959080B2 (en) * 1999-06-07 2012-06-20 エクストリーム、ウルトラバイオレット、リミテッド、ライアビリティ、カンパニー Reflective mask substrate coating
TWI267704B (en) 1999-07-02 2006-12-01 Asml Netherlands Bv Capping layer for EUV optical elements
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (en) 2000-09-12 2002-03-27 Hoya Corp Method of manufacturing phase shift mask blank and apparatus for manufacturing phase shift mask blank
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (en) 2000-11-22 2007-07-04 Hoya株式会社 SUBSTRATE WITH MULTILAYER FILM, REFLECTIVE MASK BLANK FOR EXPOSURE, REFLECTIVE MASK FOR EXPOSURE AND ITS MANUFACTURING METHOD, AND SEMICONDUCTOR MANUFACTURING METHOD
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6610447B2 (en) 2001-03-30 2003-08-26 Intel Corporation Extreme ultraviolet mask with improved absorber
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
JP3454259B2 (en) 2001-09-07 2003-10-06 セイコーエプソン株式会社 Mask data generation method, mask and recording medium, and semiconductor device manufacturing method
JP4009102B2 (en) 2001-12-19 2007-11-14 独立行政法人科学技術振興機構 Amorphous iron silicide film exhibiting semiconductor characteristics and fabrication method thereof
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US6835503B2 (en) * 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) * 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
DE10302342A1 (en) 2003-01-17 2004-08-05 Schott Glas Production of substrate used in the production of mask or optical component comprises preparing a base layer, applying a first covering layer on the base layer, and post-treating the covering layer
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (en) 2003-04-16 2004-11-11 Schott Glas Blank mask for use in EUV lithography and process for its manufacture
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (en) 2003-06-23 2005-10-11 삼성전자주식회사 Dual gate oxide structure for use in semiconductor device and method therefore
JP2005066781A (en) * 2003-08-26 2005-03-17 Hoya Corp Manufacturing method for glass substrate for electronic device, manufacturing method for mask blank, and manufacturing method for transfer mask
US7326502B2 (en) * 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (en) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Photoresist Composition for EUV and Method for forming Photoresist Pattern using the same
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4792146B2 (en) * 2004-02-25 2011-10-12 Hoya株式会社 Mask blank glass substrate manufacturing method, mask blank manufacturing method, exposure mask manufacturing method, reflective mask blank manufacturing method, and reflective mask manufacturing method
TW200535138A (en) 2004-03-15 2005-11-01 Ube Industries Metal complex comprising β-diketonato as ligand
JP4542807B2 (en) 2004-03-31 2010-09-15 東京エレクトロン株式会社 Film forming method and apparatus, and gate insulating film forming method
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
KR20070054651A (en) 2004-09-17 2007-05-29 아사히 가라스 가부시키가이샤 Reflective mask blank for euv lithography and method for producing same
JP2006177740A (en) * 2004-12-22 2006-07-06 Nikon Corp Multilayer film mirror and euv exposure apparatus
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) * 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
DE102005052000B3 (en) 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device having a contact structure based on copper and tungsten
DE112006003221T5 (en) * 2005-12-22 2008-10-23 Asahi Glass Co., Ltd. Glass substrate for a mask preform and polishing process for making the same
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
CN101454877B (en) 2006-05-30 2012-07-04 应用材料公司 Novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
JP4801550B2 (en) 2006-09-26 2011-10-26 富士通株式会社 Resist composition, method for forming resist pattern, and method for manufacturing semiconductor device
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
WO2008065821A1 (en) 2006-11-27 2008-06-05 Nikon Corporation Optical element, exposure unit utilizing the same and process for device production
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (en) 2007-09-07 2009-03-12 Canon Anelva Corporation Sputtering method and system
JP5039495B2 (en) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 Mask blank inspection method, reflective exposure mask manufacturing method, reflective exposure method, and semiconductor integrated circuit manufacturing method
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7960701B2 (en) * 2007-12-20 2011-06-14 Cymer, Inc. EUV light source components and methods for producing, using and refurbishing same
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
JP5369640B2 (en) 2008-02-19 2013-12-18 旭硝子株式会社 EUVL optical member and smoothing method thereof
KR101584383B1 (en) 2008-03-31 2016-01-11 호야 가부시키가이샤 Photomask blank, photomask, and method for manufacturing photomask blank
US7901843B2 (en) * 2008-05-16 2011-03-08 Asahi Glass Company, Limited Process for smoothing surface of glass substrate
JP5449358B2 (en) * 2008-08-21 2014-03-19 エーエスエムエル ホールディング エヌ.ブイ. Reticle, lithographic apparatus, and method of generating a reticle
DE102008042212A1 (en) 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflective optical element and method for its production
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010170011A (en) 2009-01-26 2010-08-05 Hoya Corp Method of correcting photomask
JP2011053566A (en) 2009-09-03 2011-03-17 Sony Corp Developer, etching solution, and method of manufacturing microfabricated body
CN102019266A (en) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 Coating method for coating material
CN102782531B (en) 2009-12-15 2014-12-17 卡尔蔡司Smt有限责任公司 Reflective optical element for EUV lithography
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP5559948B2 (en) * 2010-03-12 2014-07-23 Hoya株式会社 Manufacturing method of substrate with multilayer reflective film and manufacturing method of reflective mask blank
JP2011222958A (en) * 2010-03-25 2011-11-04 Komatsu Ltd Mirror and extreme ultraviolet light generation device
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
KR102605356B1 (en) 2010-04-02 2023-11-22 가부시키가이샤 니콘 Illumination optical system, exposure method and device manufacturing method
US8526104B2 (en) 2010-04-30 2013-09-03 Corning Incorporated Plasma ion assisted deposition of Mo/Si multilayer EUV coatings
US20120009765A1 (en) 2010-07-12 2012-01-12 Applied Materials, Inc. Compartmentalized chamber
JP5803919B2 (en) 2010-07-27 2015-11-04 旭硝子株式会社 Substrate with a reflective layer for EUV lithography and reflective mask blank for EUV lithography
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (en) 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 Liquid crystal display and manufacturing method of the same
JP6013720B2 (en) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 Reflective mask manufacturing method and reflective mask manufacturing apparatus
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
KR20130139856A (en) 2011-03-14 2013-12-23 후지 덴키 가부시키가이샤 Oxide substrate, and manufacturing method for same
US9261784B2 (en) 2011-07-08 2016-02-16 Asml Netherlands B.V. Lithographic patterning process and resists to use therein
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (en) 2012-02-15 2018-03-21 삼성전자주식회사 Semicoductor devices having through vias and methods for fabricating the same
US8735252B2 (en) * 2012-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US8969932B2 (en) * 2012-12-12 2015-03-03 Globalfoundries Inc. Methods of forming a finfet semiconductor device with undoped fins
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency

Also Published As

Publication number Publication date
KR20150130370A (en) 2015-11-23
KR20170089943A (en) 2017-08-04
JP7285682B2 (en) 2023-06-02
JP2016514288A (en) 2016-05-19
TWI589985B (en) 2017-07-01
US10209613B2 (en) 2019-02-19
TW201443548A (en) 2014-11-16
CN110262181A (en) 2019-09-20
JP2019164362A (en) 2019-09-26
WO2014165295A1 (en) 2014-10-09
KR102060035B1 (en) 2019-12-27
US9354508B2 (en) 2016-05-31
US20160274454A1 (en) 2016-09-22
CN105027258A (en) 2015-11-04
US20140268080A1 (en) 2014-09-18

Similar Documents

Publication Publication Date Title
SG11201506465QA (en) Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
SG11201610501PA (en) Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
SG10201707331UA (en) Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
EP3167473A4 (en) Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
SG11201506511PA (en) Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
EP2979297A4 (en) Statistical model-based metrology
EP3023294A4 (en) Vehicular seat
EP2978640A4 (en) Vehicular occupancy assessment
EP3068460A4 (en) Smart actuator for valve
EP3091298A4 (en) Air purifier
AU2014338218A1 (en) Air purifier
EP2959197B8 (en) Smart valve
EP2964483A4 (en) Car seat
EP2972093A4 (en) Vehicle range projection
HUE047991T2 (en) Systems for forming and maintaining a high performance frc
SG10201408018TA (en) Photomask Blank
EP3079739A4 (en) Check valve
EP3084646A4 (en) Media service
EP3080915A4 (en) Redundant encoding
EP2989039A4 (en) Mechanism for passenger flow management
EP2982836A4 (en) Valve seat
EP3019214A4 (en) Check valve system
EP3054227A4 (en) Air purifier
EP3064868A4 (en) Expansion valve
EP2987074A4 (en) Application-tailored object pre-inflation