SG11201506468PA - Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor - Google Patents

Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Info

Publication number
SG11201506468PA
SG11201506468PA SG11201506468PA SG11201506468PA SG11201506468PA SG 11201506468P A SG11201506468P A SG 11201506468PA SG 11201506468P A SG11201506468P A SG 11201506468PA SG 11201506468P A SG11201506468P A SG 11201506468PA SG 11201506468P A SG11201506468P A SG 11201506468PA
Authority
SG
Singapore
Prior art keywords
lithography
manufacturing
extreme ultraviolet
amorphous layer
blank
Prior art date
Application number
SG11201506468PA
Inventor
Ralf Hofmann
Kevin Moraes
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of SG11201506468PA publication Critical patent/SG11201506468PA/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/352Sputtering by application of a magnetic field, e.g. magnetron sputtering using more than one target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
SG11201506468PA 2013-03-12 2014-03-12 Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor SG11201506468PA (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361778351P 2013-03-12 2013-03-12
US14/139,371 US9612521B2 (en) 2013-03-12 2013-12-23 Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
PCT/US2014/025116 WO2014165298A1 (en) 2013-03-12 2014-03-12 Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Publications (1)

Publication Number Publication Date
SG11201506468PA true SG11201506468PA (en) 2015-09-29

Family

ID=51525875

Family Applications (2)

Application Number Title Priority Date Filing Date
SG11201506468PA SG11201506468PA (en) 2013-03-12 2014-03-12 Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
SG10201707331UA SG10201707331UA (en) 2013-03-12 2014-03-12 Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Family Applications After (1)

Application Number Title Priority Date Filing Date
SG10201707331UA SG10201707331UA (en) 2013-03-12 2014-03-12 Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Country Status (7)

Country Link
US (2) US9612521B2 (en)
JP (1) JP6599846B2 (en)
KR (1) KR102207245B1 (en)
CN (1) CN105009255B (en)
SG (2) SG11201506468PA (en)
TW (1) TWI609231B (en)
WO (1) WO2014165298A1 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
TWI646576B (en) * 2013-12-22 2019-01-01 美商應用材料股份有限公司 Extreme ultraviolet lithography system with chuck assembly and manufacturing method thereof
US9739913B2 (en) 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9690016B2 (en) 2014-07-11 2017-06-27 Applied Materials, Inc. Extreme ultraviolet reflective element with amorphous layers and method of manufacturing thereof
US10459352B2 (en) 2015-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask cleaning
US10256132B2 (en) * 2016-04-28 2019-04-09 Varian Semiconductor Equipment Associates, Inc. Reticle processing system
US11143951B2 (en) * 2018-04-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
TWI821300B (en) * 2018-06-19 2023-11-11 美商應用材料股份有限公司 Deposition system with shield mount
JP7401356B2 (en) 2019-03-27 2023-12-19 Hoya株式会社 Substrate with multilayer reflective film, reflective mask blank, reflective mask, and semiconductor device manufacturing method
JP7288782B2 (en) * 2019-03-27 2023-06-08 Hoya株式会社 Substrate with multilayer reflective film, reflective mask blank, reflective mask, and method for manufacturing semiconductor device
KR20220065763A (en) 2019-09-26 2022-05-20 호야 가부시키가이샤 A substrate with a multilayer reflective film, a reflective mask blank, a reflective mask, and a method for manufacturing a semiconductor device
US11442356B2 (en) * 2020-05-11 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with an amorphous capping layer

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JP2850580B2 (en) * 1991-07-16 1999-01-27 日本電気株式会社 Thin film manufacturing method
JPH06112009A (en) * 1992-09-28 1994-04-22 Ulvac Japan Ltd High resistance film and manufacture thereof
JP3281173B2 (en) * 1993-03-15 2002-05-13 健 増本 High hardness thin film and method for producing the same
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6319635B1 (en) * 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
WO2002020864A2 (en) 2000-06-16 2002-03-14 Applied Materials, Inc. System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (en) 2000-09-12 2002-03-27 Hoya Corp Method of manufacturing phase shift mask blank and apparatus for manufacturing phase shift mask blank
US6673524B2 (en) * 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (en) 2000-11-22 2007-07-04 Hoya株式会社 SUBSTRATE WITH MULTILAYER FILM, REFLECTIVE MASK BLANK FOR EXPOSURE, REFLECTIVE MASK FOR EXPOSURE AND ITS MANUFACTURING METHOD, AND SEMICONDUCTOR MANUFACTURING METHOD
KR20030077633A (en) * 2001-02-20 2003-10-01 허니웰 인터내셔날 인코포레이티드 Topologically tailored sputtering targets
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6396900B1 (en) * 2001-05-01 2002-05-28 The Regents Of The University Of California Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
JP2003133205A (en) 2001-10-24 2003-05-09 Oki Electric Ind Co Ltd Reflex mask, method of manufacturing the same, and method of cleaning the same
JP4009102B2 (en) * 2001-12-19 2007-11-14 独立行政法人科学技術振興機構 Amorphous iron silicide film exhibiting semiconductor characteristics and fabrication method thereof
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US7390596B2 (en) * 2002-04-11 2008-06-24 Hoya Corporation Reflection type mask blank and reflection type mask and production methods for them
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
DE10302342A1 (en) 2003-01-17 2004-08-05 Schott Glas Production of substrate used in the production of mask or optical component comprises preparing a base layer, applying a first covering layer on the base layer, and post-treating the covering layer
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US7026076B2 (en) * 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
JP3683261B2 (en) * 2003-03-03 2005-08-17 Hoya株式会社 REFLECTIVE MASK BLANK HAVING FALSE DEFECT AND MANUFACTURING METHOD THEREOF, REFLECTIVE MASK HAVING FALSE DEFECT AND MANUFACTURING METHOD THEREOF
DE10317792A1 (en) * 2003-04-16 2004-11-11 Schott Glas Blank mask for use in EUV lithography and process for its manufacture
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (en) 2003-06-23 2005-10-11 삼성전자주식회사 Dual gate oxide structure for use in semiconductor device and method therefore
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (en) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Photoresist Composition for EUV and Method for forming Photoresist Pattern using the same
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4542807B2 (en) 2004-03-31 2010-09-15 東京エレクトロン株式会社 Film forming method and apparatus, and gate insulating film forming method
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
WO2006030627A1 (en) * 2004-09-17 2006-03-23 Asahi Glass Company, Limited Reflective mask blank for euv lithography and method for producing same
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) * 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
JP4703354B2 (en) * 2005-10-14 2011-06-15 Hoya株式会社 SUBSTRATE WITH MULTILAYER REFLECTIVE FILM, ITS MANUFACTURING METHOD, REFLECTIVE MASK BLANK AND REFLECTIVE MASK
DE102005052000B3 (en) 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device having a contact structure based on copper and tungsten
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
KR20070114025A (en) * 2006-05-25 2007-11-29 주식회사 에스앤에스텍 Blank mask and manufacturing thereof
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP4801550B2 (en) 2006-09-26 2011-10-26 富士通株式会社 Resist composition, method for forming resist pattern, and method for manufacturing semiconductor device
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
WO2008065821A1 (en) * 2006-11-27 2008-06-05 Nikon Corporation Optical element, exposure unit utilizing the same and process for device production
ATE526679T1 (en) * 2006-12-27 2011-10-15 Asahi Glass Co Ltd REFLECTION MASK BLANK FOR EUV LITHOGRAPHY
TWI427334B (en) * 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Reflective optical element for euv lithography devices
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (en) 2007-09-07 2009-03-12 Canon Anelva Corporation Sputtering method and system
JP5039495B2 (en) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 Mask blank inspection method, reflective exposure mask manufacturing method, reflective exposure method, and semiconductor integrated circuit manufacturing method
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
KR101696487B1 (en) * 2008-03-31 2017-01-13 호야 가부시키가이샤 Photomask blank, photomask, and method of manufacturing photomask blank
DE102008042212A1 (en) * 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflective optical element and method for its production
JP5716038B2 (en) * 2009-12-15 2015-05-13 カール・ツァイス・エスエムティー・ゲーエムベーハー Reflective optical element for EUV lithography
KR20130111524A (en) * 2010-07-27 2013-10-10 아사히 가라스 가부시키가이샤 Substrate provided with reflecting layer for euv lithography, and reflective mask blank for euv lithography
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (en) 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 Liquid crystal display and manufacturing method of the same
JP6013720B2 (en) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 Reflective mask manufacturing method and reflective mask manufacturing apparatus
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
WO2012124506A1 (en) 2011-03-14 2012-09-20 富士電機株式会社 Oxide substrate, and manufacturing method for same
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) * 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency

Also Published As

Publication number Publication date
TWI609231B (en) 2017-12-21
WO2014165298A1 (en) 2014-10-09
US20140268081A1 (en) 2014-09-18
JP6599846B2 (en) 2019-10-30
CN105009255B (en) 2017-11-03
US20170168383A1 (en) 2017-06-15
TW201447471A (en) 2014-12-16
CN105009255A (en) 2015-10-28
SG10201707331UA (en) 2017-10-30
JP2016519329A (en) 2016-06-30
US9612521B2 (en) 2017-04-04
KR102207245B1 (en) 2021-01-25
KR20150130371A (en) 2015-11-23

Similar Documents

Publication Publication Date Title
SG11201506465QA (en) Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
SG11201506468PA (en) Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
SG11201610501PA (en) Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
SG11201506511PA (en) Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
SG10201913511VA (en) Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
SG11201506470UA (en) Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
EP3068346A4 (en) Systems and methods for manufacturing a stent frame
EP2979297A4 (en) Statistical model-based metrology
SG10201408018TA (en) Photomask Blank
PT3312843T (en) Systems for forming and maintaining a high performance frc
EP2998769A4 (en) Infrared-shielding sheet, method for manufacturing same, and application for same
EP3000134A4 (en) Microstructure enhanced absorption photosensitive devices
EP2978640A4 (en) Vehicular occupancy assessment
EP3054044A4 (en) Sheet manufacturing device and method for manufacturing sheet
EP2974842A4 (en) Layered substrate and method for manufacturing same
SG11201506506PA (en) Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
SG11201405526UA (en) Mask blank, transfer mask, and method for manufacturing mask blank and transfer mask
SG11201508899TA (en) Reflective mask blank, method for manufacturing reflective mask blank, reflective mask, and method for manufacturing semiconductor device
EP2950122A4 (en) Colored low-polarization film, colored low-polarization sheet, lens and method for manufacturing same
SG11201508901XA (en) Reflective mask blank and method for manufacturing same, reflective mask, and method for manufacturing semiconductor device
EP2989039A4 (en) Mechanism for passenger flow management
EP2974025A4 (en) Network architectures for boundary-less hierarchical interconnects
SG11201505421SA (en) Method for manufacturing mask blank substrate, method for manufacturing mask blank and method for manufacturing transfer mask
EP2851750A4 (en) Mask blank, photomask, and method for manufacturing same
EP2973593A4 (en) Rwst passive emergency core flow