SG11201506511PA - Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor - Google Patents

Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor

Info

Publication number
SG11201506511PA
SG11201506511PA SG11201506511PA SG11201506511PA SG11201506511PA SG 11201506511P A SG11201506511P A SG 11201506511PA SG 11201506511P A SG11201506511P A SG 11201506511PA SG 11201506511P A SG11201506511P A SG 11201506511PA SG 11201506511P A SG11201506511P A SG 11201506511PA
Authority
SG
Singapore
Prior art keywords
lithography
blanks
ultra
manufacturing
mirrors
Prior art date
Application number
SG11201506511PA
Inventor
Soumendra N Barman
Cara Beasley
Abhijit Basu Mallick
Ralf Hofmann
Nitin K Ingle
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of SG11201506511PA publication Critical patent/SG11201506511PA/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/164Coating processes; Apparatus therefor using electric, electrostatic or magnetic means; powder coating
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Chemical Vapour Deposition (AREA)
SG11201506511PA 2013-03-14 2014-03-13 Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor SG11201506511PA (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361786109P 2013-03-14 2013-03-14
US14/139,507 US9417515B2 (en) 2013-03-14 2013-12-23 Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
PCT/US2014/026844 WO2014152033A1 (en) 2013-03-14 2014-03-13 Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor

Publications (1)

Publication Number Publication Date
SG11201506511PA true SG11201506511PA (en) 2015-09-29

Family

ID=51525877

Family Applications (1)

Application Number Title Priority Date Filing Date
SG11201506511PA SG11201506511PA (en) 2013-03-14 2014-03-13 Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor

Country Status (7)

Country Link
US (1) US9417515B2 (en)
JP (1) JP6420310B2 (en)
KR (3) KR20170060177A (en)
CN (1) CN105027257B (en)
SG (1) SG11201506511PA (en)
TW (1) TWI631411B (en)
WO (1) WO2014152033A1 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9696467B2 (en) * 2014-01-31 2017-07-04 Corning Incorporated UV and DUV expanded cold mirrors
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102591068B1 (en) * 2015-12-15 2023-10-18 마테리온 코포레이션 Improved Wavelength Conversion Device
KR102582099B1 (en) * 2016-03-02 2023-09-22 마테리온 코포레이션 Optically enhanced optical converter
CN106169416B (en) * 2016-08-29 2019-11-12 复旦大学 A kind of manufacturing method of extreme ultraviolet mask
TWI767070B (en) * 2018-10-17 2022-06-11 台灣積體電路製造股份有限公司 Lithography system and method for cleaning lithography system
CN111061129B (en) * 2018-10-17 2022-11-01 台湾积体电路制造股份有限公司 Lithography system and method for cleaning a lithography system
EP3703114A1 (en) * 2019-02-26 2020-09-02 ASML Netherlands B.V. Reflector manufacturing method and associated reflector
JP7288782B2 (en) * 2019-03-27 2023-06-08 Hoya株式会社 Substrate with multilayer reflective film, reflective mask blank, reflective mask, and method for manufacturing semiconductor device
TW202142949A (en) * 2020-04-23 2021-11-16 美商應用材料股份有限公司 Extreme ultraviolet mask blank defect reduction
JP2023550682A (en) * 2020-10-30 2023-12-05 サイマー リミテッド ライアビリティ カンパニー Optical components for deep UV light sources

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH05335215A (en) * 1991-03-06 1993-12-17 Hitachi Ltd Reflecting mirror, its manufacture and stepper
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6228512B1 (en) * 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
JP4959080B2 (en) * 1999-06-07 2012-06-20 エクストリーム、ウルトラバイオレット、リミテッド、ライアビリティ、カンパニー Reflective mask substrate coating
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (en) 2000-09-12 2002-03-27 Hoya Corp Method of manufacturing phase shift mask blank and apparatus for manufacturing phase shift mask blank
JP3939132B2 (en) 2000-11-22 2007-07-04 Hoya株式会社 SUBSTRATE WITH MULTILAYER FILM, REFLECTIVE MASK BLANK FOR EXPOSURE, REFLECTIVE MASK FOR EXPOSURE AND ITS MANUFACTURING METHOD, AND SEMICONDUCTOR MANUFACTURING METHOD
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6610447B2 (en) * 2001-03-30 2003-08-26 Intel Corporation Extreme ultraviolet mask with improved absorber
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
DE10302342A1 (en) 2003-01-17 2004-08-05 Schott Glas Production of substrate used in the production of mask or optical component comprises preparing a base layer, applying a first covering layer on the base layer, and post-treating the covering layer
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (en) * 2003-06-23 2005-10-11 삼성전자주식회사 Dual gate oxide structure for use in semiconductor device and method therefore
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (en) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Photoresist Composition for EUV and Method for forming Photoresist Pattern using the same
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4542807B2 (en) 2004-03-31 2010-09-15 東京エレクトロン株式会社 Film forming method and apparatus, and gate insulating film forming method
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) * 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) * 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
KR20090094322A (en) 2006-11-27 2009-09-04 가부시키가이샤 니콘 Optical element, exposure unit utilizing the same and process for device production
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (en) 2007-09-07 2009-03-12 Canon Anelva Corporation Sputtering method and system
JP5039495B2 (en) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 Mask blank inspection method, reflective exposure mask manufacturing method, reflective exposure method, and semiconductor integrated circuit manufacturing method
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
JP5369640B2 (en) * 2008-02-19 2013-12-18 旭硝子株式会社 EUVL optical member and smoothing method thereof
JP5559948B2 (en) * 2010-03-12 2014-07-23 Hoya株式会社 Manufacturing method of substrate with multilayer reflective film and manufacturing method of reflective mask blank
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8526104B2 (en) * 2010-04-30 2013-09-03 Corning Incorporated Plasma ion assisted deposition of Mo/Si multilayer EUV coatings
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP6013720B2 (en) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 Reflective mask manufacturing method and reflective mask manufacturing apparatus
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
DE112012001262T5 (en) 2011-03-14 2014-01-02 Fuji Electric Co., Ltd. Oxide substrate and process for its preparation
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency

Also Published As

Publication number Publication date
WO2014152033A1 (en) 2014-09-25
JP6420310B2 (en) 2018-11-07
JP2016519780A (en) 2016-07-07
CN105027257B (en) 2018-05-15
KR20190049836A (en) 2019-05-09
US9417515B2 (en) 2016-08-16
CN105027257A (en) 2015-11-04
TW201443549A (en) 2014-11-16
TWI631411B (en) 2018-08-01
KR20150129782A (en) 2015-11-20
US20140268083A1 (en) 2014-09-18
KR20170060177A (en) 2017-05-31

Similar Documents

Publication Publication Date Title
SG11201506465QA (en) Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
SG11201506511PA (en) Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
SG11201610501PA (en) Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
SG10201707331UA (en) Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
SG11201610505UA (en) Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
EP3023294A4 (en) Vehicular seat
EP2978640A4 (en) Vehicular occupancy assessment
EP3068346A4 (en) Systems and methods for manufacturing a stent frame
EP2964483A4 (en) Car seat
SG10201707081YA (en) Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
PL3312843T3 (en) Systems for forming and maintaining a high performance frc
EP2997514A4 (en) Context-aware prediction in medical systems
EP3000134A4 (en) Microstructure enhanced absorption photosensitive devices
EP2972093A4 (en) Vehicle range projection
HK1221439A1 (en) Infrared-ray reflecting film
EP3035818A4 (en) Cosmetic systems
EP3088181A4 (en) Multilayered film and method for manufacturing same
EP3053969A4 (en) Release film and manufacturing method therefor
IL266851A (en) Configuring optical layers in imprint lithography processes
EP3075611A4 (en) Vehicular seat
SG11201509848PA (en) Multilayer coating film, and method for forming multilayer coating film
EP2989039A4 (en) Mechanism for passenger flow management
EP2950145A4 (en) Illumination system for lithography
EP3080915A4 (en) Redundant encoding
EP3060578A4 (en) Antibody purification