CN105144343B - 极紫外线光刻掩模坯料制造系统及用于该制造系统的操作方法 - Google Patents

极紫外线光刻掩模坯料制造系统及用于该制造系统的操作方法 Download PDF

Info

Publication number
CN105144343B
CN105144343B CN201480013365.6A CN201480013365A CN105144343B CN 105144343 B CN105144343 B CN 105144343B CN 201480013365 A CN201480013365 A CN 201480013365A CN 105144343 B CN105144343 B CN 105144343B
Authority
CN
China
Prior art keywords
cathode
multiple processing
chip
vacuum chamber
additional
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480013365.6A
Other languages
English (en)
Other versions
CN105144343A (zh
Inventor
拉尔夫·霍夫曼
卡拉·比斯利
马耶德·A·福阿德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105144343A publication Critical patent/CN105144343A/zh
Application granted granted Critical
Publication of CN105144343B publication Critical patent/CN105144343B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3417Arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3447Collimators, shutters, apertures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一种处理系统,该处理系统包括:真空腔室;多个处理系统,这些多个处理系统附接于真空腔室的周围;以及晶片传送系统,该晶片传送系统位于该真空腔室中,用于在多个处理系统之间移动晶片,而不从真空中离开。一种用于制造极紫外线坯料的物理气相沉积系统,该系统包含:靶材,该靶材包含钼、钼合金或上述两者的组合。

Description

极紫外线光刻掩模坯料制造系统及用于该制造系统的操作 方法
相关申请案的交叉引用
本申请要求享有申请于2013年3月12日的美国临时专利申请第61/778,402号的权益,且该美国临时专利申请的主题以引用的方式并入本文。
本申请含有与申请于2013年12月23日的同时申请的美国专利申请第14/139,307号有关的主题,且该美国专利申请的主题以引用的方式并入本文。
本申请含有与申请于2013年12月23日的同时申请的美国专利申请第14/139,371号有关的主题,且该美国专利申请的主题以引用的方式并入本文。
本申请含有与申请于2013年12月23日的同时申请的美国专利申请案第14/139,457号有关的主题,且该美国专利申请的主题以引用的方式并入本文。
本申请含有与申请于2013年12月23日的同时申请的美国专利申请第14/139,507号有关的主题,且该美国专利申请的主题以引用的方式并入本文。
技术领域
本发明大体而言涉及极紫外线(extreme ultraviolet)光刻坯料(blank),及用于这些极紫外线光刻坯料的制造及光刻系统。
背景技术
极紫外线光刻(EUV,亦称为软X射线投影光刻(soft x-ray projectionlithography))是用以替代深紫外线(deep ultraviolet)光刻的竞争者,深紫外线(deepultraviolet)光刻用于制造0.13微米及更小的最小特征尺寸的半导体器件。
然而,通常在5纳米至40纳米波长范围内的极紫外线光在实质上所有材料中被强烈吸收。因此,极紫外线系统通过反射而非光的透射来工作。通过使用涂覆有非反射吸收剂掩模图案的掩模坯料或反射元件、及一系列镜或透镜元件,图案化的光化(actinic)光被反射在涂覆抗蚀剂(resist-coated)的半导体晶片上。
极紫外线光刻系统的透镜元件及掩模坯料涂覆有诸如钼及硅之类的材料的反射性多层涂层。已通过使用涂覆有多层涂层的基板获取每一透镜元件或掩模坯料约65%的反射值,这些多层涂层强烈地反射实质上在极窄的紫外线带通(bandpass)内的单一波长的光;该极窄的紫外线带通例如是对于13纳米紫外线光的12纳米至14纳米的带通。
在半导体处理技术中有引起问题的多种类别的缺陷。不透明缺陷通常由多层涂层的顶部上的或掩模图案上的颗粒引起,在光应被反射时这些颗粒吸收了光。透明缺陷(clear defect)通常由多层涂层的顶部上的掩模图案中的小孔引起,在光应被吸收之时,光被反射穿过这些小孔。而相位缺陷(phase defect)通常由多层涂层下方的刮痕及表面变化引起,这些刮痕及表面变化引起所反射的光的相变(transition in the phase)。这些相变导致光波干涉效应,这些光波干涉效应扭曲或改变半导体晶片的表面上的抗蚀剂中将被曝光的图案。因为必须用于小于0.13(sub-0.13)微米最小特征尺寸的辐射的较短波长,因此此前不显著的刮痕及表面变化现在变得无法忍受。
尽管已在减少或除去颗粒缺陷方面取得进展,且已对修复掩模中的不透明及透明缺陷做了工作,但至今还未对解决相位缺陷的问题做任何工作。对于深紫外线光刻,表面被处理成维持低于60度的相变。仍有待开发用于极紫外线光刻的类似处理。
对于13纳米的光化波长,对于位于下面的表面中深度如3纳米这么小的刮痕而言,可发生从该多层涂层反射的光中的180度相变。波长越短,此深度越浅。类似地,在相同波长下,比一百(100)纳米的距离高出一(1)纳米更急剧的表面变化可引起类似的相变。这些相变可导致半导体晶片的表面处的相位缺陷,且这些相变不可修复地损坏半导体器件。
以往,用于深紫外线光刻的掩模坯料通常由玻璃制成,但是已提议将硅或超低热膨胀材料作为用于极紫外线光刻的替代物。不论该坯料是否是玻璃制成的、硅制成的或超低热膨胀材料制成的,都通过化学机械研磨、磁流变(magneto-rheological)抛光或离子束研磨这样的工艺来使得该掩模坯料的表面尽可能平滑。有时将在该工艺中留下的刮痕称为“刮痕-擦伤(scratch-dig)”痕迹,且那些刮痕的深度及宽度取决于用来研磨该掩模坯料的研磨剂中的颗粒的大小。对于可见的及深紫外线光刻,这些刮痕过小而无法引起半导体晶片上的图案中的相位缺陷。然而,对于极紫外线光刻,由于刮痕-擦伤痕迹将表现为相位缺陷,因此刮痕-擦伤痕迹是严重的问题。
由于EUV光刻需要的短照射波长的缘故,因此使用的图案掩模必须是反射掩模,而不是当前光刻中使用的透射掩模。反射掩模由钼及硅的交替薄层的精确堆叠物组成,该堆叠物形成布拉格(Bragg)折射镜或镜。因为小特征尺寸及多层堆叠物的性质,上面沉积有多层堆叠物的基板表面中的任何瑕疵将被放大且影响最终产品。几纳米级的瑕疵可作为印得出的缺陷显示在成品掩模上,且在沉积该多层堆叠物之前需要将这些瑕疵从掩模坯料的表面除去。
用于光学光刻中的典型掩模由玻璃坯料及阻断光透射的图案化铬层组成。相反,在EUV光刻中,掩模由反射层及图案化吸收剂层组成。由于大多数材料对EUV光具有较高的吸光度的缘故,此架构变化为必须的。
反射层为钼及硅的80层或更多层的交替层的堆叠物。此堆叠物的层厚度及平滑度的精确度对于分别实现该掩模的高反射率以及线边缘粗糙度是很关键的。
当前技术采用玻璃研磨及清洁工艺,以为反射层获取平滑的基板表面及离子束沉积。
此工艺流程并不满足严格的缺陷规范。缺陷的主要原因是由研磨工艺以及随后的清洁工艺于玻璃基板中留下的凹坑(pit)及凸块。离子束沉积工艺进一步使得颗粒嵌入于多层堆叠物的顶部上和之中。
因此,找到这些问题的答案及开发解决这些问题的系统越来越重要。鉴于不断增加的商业竞争压力,以及增加的消费者期望,为这些问题找到答案是关键的。另外,对降低成本、提高效率及性能、及满足竞争压力的需要为找到解决这些问题的答案的关键必要性更加增添紧迫性。
尽管已长期搜寻这些问题的解决方案,但是先前的发展尚未教导或建议任何解决方案,因此,这些问题的解决方案已长久地使本领域的技术人员困惑。
发明内容
本发明的实施方式提供处理系统,该处理系统包括:真空腔室;多个处理系统,所述多个处理系统附接于真空腔室的周围;以及晶片传送系统,该晶片传送系统位于该真空腔室中,用于在所述多个处理系统之间移动晶片,而不从真空中离开。
本发明的实施方式提供用于制造极紫外线坯料的物理气相沉积系统,该系统包括:靶材,该靶材包含钼、钼合金或上述两者的组合。
除上述那些步骤或元件之外或代替上述那些步骤或元件,本发明的某些实施方式还具有其他步骤或元件。当参看附图阅读以下详细描述时,这些步骤或元件对于本领域的技术人员而言将变得很明显。
附图说明
图1图示根据本发明的实施方式的集成极紫外线(EUV)掩模生产系统。
图2是根据本发明的实施方式的第一多阴极源。
图3是根据本发明的实施方式的第一多阴极源的横截面。
图4是根据本发明的实施方式的操作中的第一多阴极源的横截面。
图5是根据本发明的实施方式的掩模坯料,该掩模坯料为方形且具有多层堆叠物。
图6是根据本发明的一实施方式的位于载具上的处于被支撑位置的掩模坯料。
图7是根据本发明的实施方式的位于载具上的处于被支撑位置的掩模坯料。
图8是根据本发明的实施方式的位于载具上的处于被支撑位置的掩模坯料。
图9是根据本发明的实施方式的位于载具上的处于被支撑位置的掩模坯料。
图10是根据本发明的实施方式的位于载具上的处于被支撑位置的掩模坯料。
图11是根据本发明的实施方式的位于载具上的处于被支撑位置中的掩模坯料。
图12是一种用于制造具有超低缺陷的掩模坯料的方法。
具体实施方式
以下实施方式经足够详细地描述,使得本领域的技术人员能够实施且使用本发明。应了解,基于本公开内容,其他实施方式将是明显的,且应了解,在不脱离本发明的范围的情况下可作出系统、工艺或机械改变。
在以下描述中,给出许多具体细节以提供对本发明的透彻理解。然而,明显的是,可在没有这些具体细节的情况下实践本发明。为了避免使本发明难以理解,未详细公开一些熟知的电路、系统配置及工艺步骤。
示出系统的实施方式的图式是半图解的,且未按比例绘制,尤其是,尺寸中的一些尺寸用于呈现的清晰性而在图示的附图中示出为夸大的。类似地,尽管用于便于描述的视图通常显示类似方向,但是这些图中的这种此描绘对于大部分而言是任意的。通常,可以以任何方向操作本发明。
在多个实施方式被公开和描述成具有一些共同特征的情况下,出于清楚性及便于这些实施方式的图解、描述以及理解,使用类似元件符号描述类似及同样的特征。
出于解释的目的,如本文所使用的术语“水平的”定义为与掩模坯料的平面或表面平行的平面,而不考虑该掩模坯料的方向。术语“垂直的”代表垂直于如刚刚定义的水平的方向。如这些图中所图示,诸如“上方”、“下方”、“底部”、“顶部”、“侧面”(如在“侧壁”中)、“较高”、“较低”、“上部”、“在……之上”及“在……之下”的术语是相对于该水平平面而定义的。术语“在……上”指示元件之间有直接接触。
如本文中所使用的术语“处理”包括如在形成所述的结构中所需的材料或光刻胶的沉积、材料或光刻胶的图案化、曝光、显影、蚀刻、清洁和/或移除。
本发明的实施方式使用用于通过CVD、PVD、ALD及可流动的CVD来沉积硅、氧化硅及具有相容热膨胀系数(compatible thermal expansion coefficient)的相关膜的各种成熟技术,以填充凹坑且填埋缺陷。一经沉积,膜表面可能平滑和平坦得足以用于进一步的多层堆叠物沉积,或可以随后使用各种成熟的平滑或研磨技术来使该膜表面进一步平滑,这些平滑或研磨技术包括CMP、退火或离子束研磨。
现参看图1,该图中图示根据本发明的实施方式的集成极紫外线(EUV)掩模生产系统100。集成EUV掩模生产系统100是一种处理系统,该处理系统处理载具上的晶片或坯料,且该处理系统包括掩模坯料装载及载具传送系统102,掩模坯料104被装载到该掩模坯料装载及载具传送系统102中。
气闸(airlock)106提供至晶片传送(wafer handling)真空腔室108的进出。在图示的实施方式中,晶片传送真空腔室108含有两个真空腔室:第一真空腔室110及第二真空腔室112。在第一真空腔室110内的是第一晶片传送系统114,而在第二真空腔室112中的是第二晶片传送系统116。
晶片传送真空腔室108具有围绕腔室108周边的多个端口,这些多个端口用于各种其他系统的附接。第一真空腔室110具有除气系统118、第一物理气相沉积系统120、第二物理气相沉积系统122及预清洁系统124。
第二真空腔室112具有连接至腔室112的第一多阴极源126、可流动化学汽相沉积(flowable chemical vapor deposition;FCVD)系统128、固化腔室130及第二多阴极源132。FCVD系统128可在基板、坯料或晶片136上沉积平坦化层,且固化腔室可固化该平坦化层。第二多阴极源132可沉积反射材料的多层堆叠物,且其他系统可沉积覆盖层。该平坦化层、该多层堆叠物及该覆盖层全部成为晶片136的部分。
第一晶片传送系统114能够在连续真空下使晶片(诸如晶片134)在气闸106之间移动,且使该晶片移动至围绕第一真空腔室110的周边的各种系统之一或更多,且使这些晶片移动穿过狭缝阀。第二晶片传送系统116能够围绕第二真空腔室112移动诸如晶片136之类的晶片,同时将晶片维持在连续真空下。第一晶片传送系统114及第二晶片传送系统116能够使晶片136有选择地移动经过围绕第一真空腔室110及第二真空腔室112的外围的一个或所有系统,以允许在不将晶片136从真空中移出的情况下执行各种工艺直至经由气闸106移除晶片136。
现参看图2,该图中图示根据本发明的实施方式的第一多阴极源126。第一多阴极源126包括带有圆柱体部分202的基座结构200,圆柱体部分202由顶部适配器204覆盖。
顶部适配器204预留有定位于顶部适配器204周围的若干阴极源,这些阴极源诸如是阴极源206、208、210、212及214。
现参看图3,该图中图示根据本发明的实施方式的第一多阴极源126的横截面。第一多阴极源126具有基座结构200、圆柱体部分202及顶部适配器204。
在基座结构200内的是旋转底座300,在旋转底座300上可固定晶片,诸如晶片136。在旋转底座300上方的是盖环302,盖环302上方有中间环304。锥形屏蔽件306位于中间环304上方,且锥形屏蔽件306被锥形适配器308环绕。
用于通过物理气相沉积(PVD)在晶片136上沉积材料的沉积区310由旋转屏蔽件312环绕,护罩(shroud)314附加于该旋转屏蔽件312。护罩314上方的是阴极318、沉积材料源及许多靶材(诸如靶材316)之一。
在替代实施方式中,许多单独的护罩314各自附接于单独的源,且在旋转屏蔽件312旋转时护罩314保持静止。
现参看图4,该图中图示根据本发明的实施方式的操作中的第一多阴极源126的横截面。第一多阴极源126的横截面图示斜锥形(off-angled conical)沉积图案400,图示旋转底座300移动至用于从靶材316沉积材料在晶片402上的位置处。
在操作中,带有晶片136的旋转底座300向上移动至一位置,在该位置处,图3的护罩314中开口可见带有晶片136的旋转底座300。根据第一多阴极源126的设计,可存在附接于顶部适配器204的多个护罩314,因此每个源具有每个源自己的护罩,或具有与旋转屏蔽件312一起旋转的一个护罩,或具有无护罩的单一大旋转屏蔽件。
旋转屏蔽件312随后在多个阴极中旋转,直至适当的阴极318及靶材316被定位成将材料倾斜地沉积在旋转底座300上的晶片136上。
通过旋转该底座300,晶片136将在晶片136的表面上接受靶材的均匀沉积。
现参看图5,该图中图示根据本发明的实施方式的掩模坯料500,该掩模坯料500为方形且具有多层堆叠物502。
现参看图6,该图中图示根据本发明的实施方式的位于载具600上的处于被支撑位置的掩模坯料500。掩模坯料500具有面朝上的多层堆叠物502,且掩模坯料500被支撑于载具600上且在支撑销602上,且掩模坯料500被保持销604横向地保持就位。楔形支撑件606亦可用于掩模坯料500的底部边缘处。
现参看图7,该图中图示根据本发明的实施方式的位于载具700上的处于被支撑位置的掩模坯料500。掩模坯料500具有面朝上的多层堆叠物502,且掩模坯料500被支撑于载具700上且在支撑销702上,且掩模坯料500被保持销704横向地保持就位。楔形支撑件706亦可用于掩模坯料500的底部边缘处。
现参看图8,该图中图示根据本发明的实施方式的位于载具800上的处于被支撑位置的掩模坯料500。掩模坯料500具有面朝上的多层堆叠物502,且掩模坯料500被支撑于载具800上且在支撑销802上,且掩模坯料500被保持销804横向地保持就位。载具800比支撑销802的厚度及掩模坯料500的厚度稍厚。边缘排阻(edge exclusion)覆盖掩模806覆盖掩模坯料500的边缘,以防止材料在多层堆叠物502的边缘区域沉积。楔形支撑件808亦可用于掩模坯料500的底部边缘处。
现参看图9,该图中图示根据本发明的实施方式的位于载具900上的处于被支撑位置的掩模坯料500。掩模坯料500具有面朝下的多层堆叠物502,且掩模坯料500被支撑于载具900上且在支撑销902上,且掩模坯料500被保持销904横向地保持就位。载具900的底面具有开口906,以允许从下方进行沉积。
现参看图10,该图中图示根据本发明的实施方式的位于载具1000上的处于被支撑位置的掩模坯料500。掩模坯料500具有面朝下的多层堆叠物502,且掩模坯料500被支撑于载具1000上且在支撑销1002上,且掩模坯料500被保持销1004横向地保持就位。载具1000的底面具有开口1006,以允许从下方进行沉积。
现参看图11,该图中图示根据本发明的实施方式的位于载具1100上的处于被支撑位置的掩模坯料500。掩模坯料500具有面朝下的多层堆叠物502,且掩模坯料500被支撑于载具1100上且在支撑销1102上,且掩模坯料500被保持销1104横向地保持就位。载具的底面具有开口1106,以允许从下方进行沉积。
现参看图12,该图中图示一种用于制造图5的具有超低缺陷的EUV掩模坯料500的方法1200。方法1200以将掩模坯料供给至图1的EUV掩模生产系统100中的真空开始。
在步骤1202中,掩模坯料被除气及预清洁。平坦化发生在步骤1204中。通过CVD来沉积平坦化层,且平坦化层在步骤1206中被固化。通过PVD在步骤1208中进行多层沉积,且在步骤1210中施加覆盖层。除气、预清洁、平坦化、多层沉积及覆盖层施加都在EUV掩模生产系统100中执行,而无需将掩模坯料从真空中移除。
图1的集成EUV掩模生产系统100可用于制造任何类型的光刻坯料,诸如掩模坯料及镜坯料,以及用于光刻半导体制造工艺的掩模。
本发明的实施方式提供用于在EUV掩模坯料上沉积需要的层结构的集成工具构思。这些工具包括用以使玻璃坯料上的缺陷(几纳米至几十纳米尺寸范围内的凹坑、刮痕及颗粒)平坦化的平滑层、用于布拉格反射器的钼及硅多层堆叠物沉积以及钌覆盖层(用于防止钼/硅堆叠物氧化)。
通过将这些步骤集成至一个工艺工具中,已发现,通过限制处理步骤的数目,可能实现更好的界面控制以及更好的缺陷性能控制。
基板被置于载具上,以便经由多个工艺步骤使掩模坯料的处理最小化。这将减少基板上与处理有关的颗粒的机会。
使用群集工具亦允许干燥清洁工艺的集成,以改良基板清洁度,从而在不破坏真空的情况下改良层堆叠物的附着力。
将基板装载到集成极紫外线(EUV)掩模生产系统中之后,首先在可流动的CVD工艺中(诸如在AMAT Eterna膜中)使用平坦化层涂覆该掩模坯料,以填充基板表面上的凹坑及刮痕,以及使任何剩余的小颗粒平坦化。
接下来,基板被移动至用于多层沉积的沉积腔室。该腔室整合多个靶材,以便可在一个腔室中沉积整个堆叠物,而无需移送该基板。
所得的系统为直接易做的、成本有效的、不复杂且高度通用的,且可通过将已知技术调适来可惊人地且不明显地实施该系统,因此该系统易于适用于高效且经济地制造EUV掩模坯料。本发明的实施方式为EUV掩模坯料提供原子级地平坦的、低缺陷且平滑的表面。然而,本发明的实施方式亦可用于例如为镜制造其他类型的坯料。在玻璃基板之上,本发明的实施方式可用于形成EUV镜。另外,本发明的实施方式可应用于其他原子级地平坦的、低缺陷且平滑的表面结构,这些表面结构用于UV、DUV、电子束、可见光、红外线、离子束、X射线及其他类型的半导体光刻中。本发明的实施方式亦可用于形成可在从晶片级至器件级且甚至至更大面积显示器及太阳能应用的范围内的各种尺寸结构。
本发明的另一重要方面是,本发明有价值地支持和服务于降低成本、简化系统及提高性能的历史趋势。
因此,本发明的这些及其他有价值的方面将此技术状态进化至至少下一水平。
尽管已结合具体的最佳模式描述本发明,但应了解,根据上述描述,许多替代、修改及变化对于本领域的技术人员将很明显。因此,本文意欲包含落入所包括的权利要求的范围内的所有这样的替代、修改及变化。以上本文中阐述或附图中所示的全部事项将以说明性阐明且为非限制意义来解释。

Claims (20)

1.一种处理系统,所述处理系统包含:
真空腔室;
多个处理系统,所述多个处理系统附接在所述真空腔室的周围,所述多个处理系统用于通过可流动的化学气相沉积在晶片上沉积平坦化层,以及在所述平坦化层之上沉积覆盖层;以及
晶片传送系统,所述晶片传送系统位于所述真空腔室中,用于在所述多个处理系统之间移动所述晶片而不从真空中离开。
2.如权利要求1所述的系统,其中所述多个处理系统包括除气系统。
3.如权利要求1所述的系统,其中所述多个处理系统包括物理气相沉积系统。
4.如权利要求1所述的系统,其中所述多个处理系统包括预清洁系统。
5.如权利要求1所述的系统,所述系统进一步包含用于输出极紫外线掩模坯料的输出部。
6.如权利要求1所述的系统,所述系统进一步包含用于输出极紫外线镜的输出部。
7.如权利要求1所述的系统,所述系统进一步包含:
额外真空腔室,所述额外真空腔室连接至所述真空腔室;
额外多个处理系统,所述额外多个处理系统附接于所述额外真空腔室的周围;以及
额外晶片传送系统,所述额外晶片传送系统位于所述额外真空腔室中,用于在所述额外多个处理系统之间移动所述晶片而不从真空中离开。
8.如权利要求7所述的系统,其中所述额外多个处理系统包括可流动的化学气相沉积系统。
9.如权利要求7所述的系统,其中所述额外多个处理系统包括固化腔室。
10.如权利要求7所述的系统,其中所述额外多个处理系统包括多阴极源。
11.如权利要求10所述的系统,所述系统进一步包含顶部适配器,所述顶部适配器预留有围绕所述顶部适配器的若干阴极源。
12.如权利要求10所述的系统,所述系统进一步包含用于固定晶片的旋转底座。
13.如权利要求10所述的系统,其中所述多阴极源包含多个阴极,且进一步包含旋转底座,所述旋转底座用于将晶片置放在与所述多个阴极的每一个阴极成角度之处。
14.如权利要求10所述的系统,其中所述多阴极源包含多个阴极,且进一步包含护罩,所述护罩附接于所述多个阴极的每一个阴极。
15.如权利要求10所述的系统,其中所述多阴极源包含多个阴极,且进一步包含旋转屏蔽件,所述旋转屏蔽件用于在所述多个阴极的一个或更多个阴极之间旋转。
16.如权利要求10所述的系统,其中所述系统进一步包含边缘排阻覆盖掩模,所述边缘排阻覆盖掩模用于覆盖晶片的边缘,以防止在所述晶片的这些边缘区域沉积材料。
17.如权利要求10所述的系统,所述系统进一步包含载具,所述载具具有用于支撑晶片的支撑销及用于横向保持所述晶片的保持销。
18.如权利要求10所述的系统,所述系统进一步包含载具,所述载具具有用于支撑晶片的支撑销及用于横向保持所述晶片的保持销,所述载具具有开口以允许从下方进行沉积。
19.一种形成EUV掩模坯料的方法,所述方法包含以下步骤:
通过可流动的化学气相沉积在基板之上形成平坦化层;以及
通过物理气相沉积在所述平坦化层之上形成多层堆叠物,其中在不从真空移除所述基板的情况下,在生产系统中执行形成所述平坦化层及形成所述多层堆叠物的步骤。
20.如权利要求19所述的方法,所述方法进一步包含以下步骤:在不从所述真空移除所述基板的情况下,将覆盖层施加至所述多层堆叠物。
CN201480013365.6A 2013-03-12 2014-03-12 极紫外线光刻掩模坯料制造系统及用于该制造系统的操作方法 Active CN105144343B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361778402P 2013-03-12 2013-03-12
US61/778,402 2013-03-12
US14/139,415 2013-12-23
US14/139,415 US20140272684A1 (en) 2013-03-12 2013-12-23 Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
PCT/US2014/025124 WO2014165300A1 (en) 2013-03-12 2014-03-12 Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor

Publications (2)

Publication Number Publication Date
CN105144343A CN105144343A (zh) 2015-12-09
CN105144343B true CN105144343B (zh) 2018-08-24

Family

ID=51528511

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480013365.6A Active CN105144343B (zh) 2013-03-12 2014-03-12 极紫外线光刻掩模坯料制造系统及用于该制造系统的操作方法

Country Status (7)

Country Link
US (2) US20140272684A1 (zh)
JP (2) JP6625520B2 (zh)
KR (2) KR102246809B1 (zh)
CN (1) CN105144343B (zh)
SG (2) SG10201707081YA (zh)
TW (1) TWI623054B (zh)
WO (1) WO2014165300A1 (zh)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9863036B2 (en) * 2014-04-25 2018-01-09 Plasma-Therm Nes Llc Wafer stage for symmetric wafer processing
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
WO2017035008A1 (en) * 2015-08-21 2017-03-02 Applied Materials, Inc. Method and apparatus for co-sputtering multiple targets
TWI707754B (zh) * 2016-06-28 2020-10-21 美商應用材料股份有限公司 包括間隔上臂與交錯腕部的雙機器人以及包括該者之方法
TWI763686B (zh) * 2016-07-27 2022-05-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料、製造極紫外線遮罩坯料的方法以及極紫外線遮罩坯料生產系統
TWI811037B (zh) 2016-07-27 2023-08-01 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
US11011357B2 (en) 2017-02-21 2021-05-18 Applied Materials, Inc. Methods and apparatus for multi-cathode substrate processing
US10763091B2 (en) * 2017-08-18 2020-09-01 Applied Materials, Inc. Physical vapor deposition chamber particle reduction apparatus and methods
US10504705B2 (en) * 2017-09-15 2019-12-10 Applied Materials, Inc. Physical vapor deposition chamber with static magnet assembly and methods of sputtering
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
TWI821300B (zh) * 2018-06-19 2023-11-11 美商應用材料股份有限公司 具有護罩座的沉積系統
TWI773904B (zh) * 2018-06-19 2022-08-11 美商應用材料股份有限公司 具有多陰極的沉積系統
US11275300B2 (en) * 2018-07-06 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask blank defect reduction
TWI805795B (zh) * 2018-07-20 2023-06-21 美商應用材料股份有限公司 基板定位設備與方法
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
US11194244B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Extreme ultraviolet mask absorber and processes for manufacture
TWI788618B (zh) * 2019-01-25 2023-01-01 美商應用材料股份有限公司 物理氣相沉積靶材組件
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
US11639544B2 (en) 2019-03-01 2023-05-02 Applied Materials, Inc. Physical vapor deposition system and processes
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
US11557473B2 (en) 2019-04-19 2023-01-17 Applied Materials, Inc. System and method to control PVD deposition uniformity
US11327394B2 (en) 2019-04-19 2022-05-10 Applied Materials Inc. Graded interface in bragg reflector
TW202104628A (zh) 2019-04-19 2021-02-01 美商應用材料股份有限公司 用於控制pvd沉積均勻性的系統及方法
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TWI836072B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 具有嵌入吸收層之極紫外光遮罩
TWI836073B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩坯體及其製造方法
US11275304B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11850742B2 (en) 2019-06-07 2023-12-26 Applied Materials, Inc. Dual robot including splayed end effectors and systems and methods including same
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11387071B2 (en) * 2019-10-06 2022-07-12 Applied Materials, Inc. Multi-source ion beam etch system
TW202124749A (zh) * 2019-10-25 2021-07-01 美商應用材料股份有限公司 極紫外遮罩毛坯之缺陷減少的方法
TW202122909A (zh) * 2019-10-25 2021-06-16 美商應用材料股份有限公司 減少極紫外遮罩毛坯缺陷之方法
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TWI836207B (zh) 2020-04-17 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
JP7420027B2 (ja) 2020-09-10 2024-01-23 信越化学工業株式会社 Euvマスクブランク用多層反射膜付き基板、その製造方法及びeuvマスクブランク
JP2022045936A (ja) * 2020-09-10 2022-03-23 信越化学工業株式会社 Euvマスクブランク用多層反射膜付き基板、その製造方法及びeuvマスクブランク
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11545347B2 (en) * 2020-11-05 2023-01-03 Applied Materials, Inc. Internally divisible process chamber using a shutter disk assembly
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
WO2023032721A1 (ja) * 2021-08-30 2023-03-09 Agc株式会社 基板保持装置、及び導電膜付き基板の製造方法
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5427671A (en) * 1989-09-26 1995-06-27 Applied Vision Limited Ion vapor deposition apparatus and method
US5645646A (en) * 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus

Family Cites Families (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5843529B2 (ja) 1980-07-03 1983-09-27 寛 菅沼 支線用打込みアンカの打設方法
JPS57143826A (en) 1981-02-28 1982-09-06 Dainippon Printing Co Ltd Formation of resist pattern on gapped semiconductor substrate
JPS61101450A (ja) 1984-10-24 1986-05-20 新技術開発事業団 非晶質強磁性酸化物
US4842675A (en) * 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH05335215A (ja) 1991-03-06 1993-12-17 Hitachi Ltd 反射ミラー、その作製方法及び縮小投影露光装置
JP3281173B2 (ja) 1993-03-15 2002-05-13 健 増本 高硬度薄膜及びその製造方法
DE69406963T2 (de) 1993-03-15 1998-05-20 Tsuyoshi Masumoto Hochharte Dünnschicht, sowie Verfahren zu deren Herstellung
JPH07106224A (ja) 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JPH07106234A (ja) 1993-10-07 1995-04-21 Mitsubishi Electric Corp レジストパターンの形成方法
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
JP2000331939A (ja) 1999-05-17 2000-11-30 Applied Materials Inc 成膜装置
US6228512B1 (en) 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
WO2000075727A2 (en) 1999-06-07 2000-12-14 The Regents Of The University Of California Coatings on reflective mask substrates
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
WO2002020864A2 (en) * 2000-06-16 2002-03-14 Applied Materials, Inc. System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (ja) * 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
JP2002090977A (ja) * 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランク、フォトマスクブランク、並びにそれらの製造装置及び製造方法
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
ATE445028T1 (de) 2001-02-07 2009-10-15 Asahi Glass Co Ltd Verfahren zur herstellung eines sputterfilms
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6610447B2 (en) 2001-03-30 2003-08-26 Intel Corporation Extreme ultraviolet mask with improved absorber
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
JP3454259B2 (ja) 2001-09-07 2003-10-06 セイコーエプソン株式会社 マスクデータの生成方法、マスクおよび記録媒体、ならびに半導体装置の製造方法
JP4099328B2 (ja) 2001-11-26 2008-06-11 キヤノンアネルバ株式会社 スパッタリング装置におけるパーティクル発生防止方法、スパッタリング方法、スパッタリング装置及び被覆用部材
JP4009102B2 (ja) 2001-12-19 2007-11-14 独立行政法人科学技術振興機構 半導体特性を示すアモルファス鉄シリサイド膜とその作製方法
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US20030164998A1 (en) * 2002-03-01 2003-09-04 The Regents Of The University Of California Ion-assisted deposition techniques for the planarization of topological defects
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
EP2317382B1 (en) 2002-04-11 2016-10-26 Hoya Corporation Reflective mask blank, reflective mask and methods of producing the mask blank and the mask
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
JP2003315977A (ja) 2002-04-25 2003-11-06 Hoya Corp リソグラフィーマスクブランクの製造方法及び製造装置
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7129010B2 (en) * 2002-08-02 2006-10-31 Schott Ag Substrates for in particular microlithography
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
CN1501442A (zh) 2002-11-12 2004-06-02 阿泰技术有限公社 光刻胶沉积设备以及使用该设备形成光刻胶薄膜的方法
JP2004172272A (ja) 2002-11-19 2004-06-17 Nikon Corp Euv露光装置及びeuv露光方法
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US20040159538A1 (en) * 2003-02-13 2004-08-19 Hans Becker Photo mask blank, photo mask, method and apparatus for manufacturing of a photo mask blank
JP3683261B2 (ja) 2003-03-03 2005-08-17 Hoya株式会社 擬似欠陥を有する反射型マスクブランクス及びその製造方法、擬似欠陥を有する反射型マスク及びその製造方法、並びに擬似欠陥を有する反射型マスクブランクス又は反射型マスクの製造用基板
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7001788B2 (en) 2003-05-29 2006-02-21 Applied Materials, Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
KR101144688B1 (ko) 2004-03-15 2012-05-29 우베 고산 가부시키가이샤 β-디케토나토를 리간드로서 포함하는 금속 착물
JP4542807B2 (ja) 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
KR20070054651A (ko) 2004-09-17 2007-05-29 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크스 및 그 제조방법
JP4692984B2 (ja) 2004-09-24 2011-06-01 Hoya株式会社 反射型マスクブランク、反射型マスク及び多層膜反射鏡並びにこれらの製造方法
WO2006040613A1 (en) * 2004-10-13 2006-04-20 Xenocs Method of deposition with reduction of contaminants in an ion assist beam and associated apparatus
JP2006177740A (ja) 2004-12-22 2006-07-06 Nikon Corp 多層膜反射鏡及びeuv露光装置
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
JP4703354B2 (ja) 2005-10-14 2011-06-15 Hoya株式会社 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
DE102005052000B3 (de) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP4801550B2 (ja) 2006-09-26 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、及び半導体装置の製造方法
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
JP2008135090A (ja) 2006-11-27 2008-06-12 Canon Inc レジスト、これを用いた光ディスク用スタンパの製造方法、及び光ディスク用スタンパ
EP2087510A4 (en) 2006-11-27 2010-05-05 Nikon Corp OPTICAL ELEMENT, ASSOCIATED EXPOSURE UNIT AND METHOD FOR PRODUCING THE DEVICE
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) * 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5190316B2 (ja) * 2007-10-04 2013-04-24 キヤノンアネルバ株式会社 高周波スパッタリング装置
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
JP5393972B2 (ja) * 2007-11-05 2014-01-22 Hoya株式会社 マスクブランク及び転写用マスクの製造方法
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
JP5369640B2 (ja) 2008-02-19 2013-12-18 旭硝子株式会社 Euvl用光学部材、およびその平滑化方法
KR20090103847A (ko) 2008-03-28 2009-10-01 캐논 가부시끼가이샤 노광 장치 및 디바이스 제조 방법
US8512916B2 (en) 2008-03-31 2013-08-20 Hoya Corporation Photomask blank, photomask, and method for manufacturing photomask blank
NL1036673A1 (nl) * 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
DE102008042212A1 (de) 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010122305A (ja) 2008-11-17 2010-06-03 Sony Corp 露光装置及び露光方法並びに微細加工装置及び微細加工方法
JP2010126789A (ja) * 2008-11-28 2010-06-10 Shibaura Mechatronics Corp スパッタ成膜装置
JP2010170011A (ja) 2009-01-26 2010-08-05 Hoya Corp フォトマスクの修正方法
JP2011053566A (ja) 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
CN102019266A (zh) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 涂层材料的涂布方法
CN102782531B (zh) 2009-12-15 2014-12-17 卡尔蔡司Smt有限责任公司 用于极紫外光刻的反射光学元件
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP5560776B2 (ja) 2010-03-03 2014-07-30 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクスの製造方法
JP5559948B2 (ja) * 2010-03-12 2014-07-23 Hoya株式会社 多層反射膜付基板の製造方法および反射型マスクブランクの製造方法
JP2011222958A (ja) 2010-03-25 2011-11-04 Komatsu Ltd ミラーおよび極端紫外光生成装置
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
WO2011125827A1 (ja) 2010-04-02 2011-10-13 株式会社ニコン 光源装置、光学装置、露光装置、デバイス製造方法、照明方法、露光方法、および光学装置の製造方法
US8526104B2 (en) 2010-04-30 2013-09-03 Corning Incorporated Plasma ion assisted deposition of Mo/Si multilayer EUV coatings
WO2012009371A2 (en) * 2010-07-12 2012-01-19 Applied Materials, Inc. Compartmentalized chamber
KR20130111524A (ko) 2010-07-27 2013-10-10 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사층 형성 기판, 및 euv 리소그래피용 반사형 마스크 블랭크
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (ko) * 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 액정 표시 장치 및 그 제조 방법
JP6013720B2 (ja) * 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) * 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) * 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
KR101904560B1 (ko) * 2011-03-07 2018-10-04 에이지씨 가부시키가이샤 다층 기판, 다층 기판의 제조 방법, 다층 기판의 품질 관리 방법
WO2012124506A1 (ja) 2011-03-14 2012-09-20 富士電機株式会社 酸化物基板およびその製造方法
JP2012248664A (ja) 2011-05-27 2012-12-13 Hitachi Cable Ltd 気相成長装置及び気相成長方法、並びにエピタキシャルウェハ
KR101909567B1 (ko) 2011-07-08 2018-10-18 에이에스엠엘 네델란즈 비.브이. 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (ko) * 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
DE102012203633A1 (de) 2012-03-08 2013-09-12 Carl Zeiss Smt Gmbh Spiegel für den EUV-Wellenlängenbereich, Herstellungsverfahren für einen solchen Spiegel und Projektionsbelichtungsanlage mit einem solchen Spiegel
US8735252B2 (en) 2012-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US8969932B2 (en) 2012-12-12 2015-03-03 Globalfoundries Inc. Methods of forming a finfet semiconductor device with undoped fins
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5427671A (en) * 1989-09-26 1995-06-27 Applied Vision Limited Ion vapor deposition apparatus and method
US5645646A (en) * 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus

Also Published As

Publication number Publication date
JP6625520B2 (ja) 2019-12-25
KR102401043B1 (ko) 2022-05-20
KR102246809B1 (ko) 2021-04-29
KR20150127165A (ko) 2015-11-16
TWI623054B (zh) 2018-05-01
SG10201707081YA (en) 2017-10-30
KR20210048604A (ko) 2021-05-03
JP2016519778A (ja) 2016-07-07
CN105144343A (zh) 2015-12-09
SG11201506470UA (en) 2015-09-29
JP2019219671A (ja) 2019-12-26
US10788744B2 (en) 2020-09-29
TW201442136A (zh) 2014-11-01
US20140272684A1 (en) 2014-09-18
US20170115555A1 (en) 2017-04-27
WO2014165300A1 (en) 2014-10-09

Similar Documents

Publication Publication Date Title
CN105144343B (zh) 极紫外线光刻掩模坯料制造系统及用于该制造系统的操作方法
JP7285682B2 (ja) 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム
KR102207245B1 (ko) 비결정질 층 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들
TWI631411B (zh) 超平滑層紫外線微影術鏡及基板與其製造及微影術系統
EP3167473B1 (en) Extreme ultraviolet mask blank system and optical train for euv lithography system
CN103969962B (zh) 远紫外线光刻处理方法
JP2023505280A (ja) マルチカソード堆積システム及び方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant