TW201442136A - 極限紫外線微影遮罩坯料製造系統及用於該製造系統之操作方法 - Google Patents

極限紫外線微影遮罩坯料製造系統及用於該製造系統之操作方法 Download PDF

Info

Publication number
TW201442136A
TW201442136A TW103106805A TW103106805A TW201442136A TW 201442136 A TW201442136 A TW 201442136A TW 103106805 A TW103106805 A TW 103106805A TW 103106805 A TW103106805 A TW 103106805A TW 201442136 A TW201442136 A TW 201442136A
Authority
TW
Taiwan
Prior art keywords
wafer
processing systems
mask blank
vacuum
vacuum chamber
Prior art date
Application number
TW103106805A
Other languages
English (en)
Other versions
TWI623054B (zh
Inventor
Ralf Hofmann
Cara Beasley
Majeed A Foad
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201442136A publication Critical patent/TW201442136A/zh
Application granted granted Critical
Publication of TWI623054B publication Critical patent/TWI623054B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3417Arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3447Collimators, shutters, apertures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一種處理系統,該處理系統包括:真空腔室;複數個處理系統,該等複數個處理系統附接於真空腔室之周圍;以及晶圓傳送系統,該晶圓傳送系統位於該真空腔室中,用於在複數個處理系統之間移動晶圓,而不從真空中離開。一種用於製造極紫外線坯料之物理氣相沉積系統,該系統包含:靶材,該靶材包含鉬、鉬合金或上述兩者之組合。

Description

極限紫外線微影遮罩坯料製造系統及用於該製造系統之操作方法 【相關申請案的交叉引用】
本申請案主張申請於2013年3月12日之美國臨時專利申請案第61/778,402號的權益,且該申請案之標的物以引用之方式併入本文。
本申請案含有標的物,該標的物與申請於2013年12月23日之同時申請的美國專利申請案第14/139,307號有關,且該申請案之標的物以引用之方式併入本文。
本申請案含有標的物,該標的物與申請於2013年12月23日之同時申請的美國專利申請案第14/139,371號有關,且該申請案之標的物以引用之方式併入本文。
本申請案含有標的物,該標的物與申請於2013年12月23日之同時申請的美國專利申請案第14/139,457號有關,且該申請案之標的物以引用之方式併入本文。
本申請案含有標的物,該標的物與申請於2013年12月23日之同時申請的美國專利申請案第14/139,507號有 關,且該申請案之標的物以引用之方式併入本文。
本發明大體而言係關於極紫外線微影坯料,及用於該極紫外線微影坯料之製造及微影系統。
極紫外線微影術(EUV,亦稱為軟X射線投影微影術)為替代深紫外線微影術之競爭者,用於製造0.13微米及較小、最小特徵尺寸之半導體裝置。
然而,幾乎所有材料均強烈吸收通常在5奈米至40奈米波長範圍內的極紫外線。因此,極紫外線系統藉由光反射而非光透射工作。經由使用一系列鏡或透鏡元件,及反射元件或塗覆有非反射吸附劑遮罩圖案之遮罩坯料,將圖案化光化光反射在塗覆抗蝕劑之半導體晶圓上。
極紫外線微影系統之透鏡元件及遮罩坯料塗覆有諸如鉬及矽之材料的多層反射塗層。已藉由使用塗覆有多層塗層之基板獲取每透鏡元件或遮罩坯料約65%之反射值,該多層塗層強烈反射在極窄紫外光帶通(例如,用於13奈米紫外光之12至14奈米帶通)內本質上單一波長的光。
在半導體處理技術中有導致問題之多種類別的缺陷。不透明缺陷通常由多層塗層頂端或遮罩圖案之顆粒(顆粒在應反射光之時吸收光)引起。透明缺陷通常由多層塗層頂端上之遮罩圖案中的小孔引起,在應吸收光之時,經由該等小孔反射該光。而相位缺陷通常由多層塗層下之刮痕及表面變化引起,該等刮痕及表面變化引起反射光之相變。此等 相變導致光波干涉效應,該等光波干涉效應扭曲或改變待暴露於半導體晶圓表面上之抗蝕劑中的圖案。因為必須用於小於0.13微米最小特徵尺寸之較短輻射波長,此前不顯著的刮痕及表面變化現變得無法忍受的。
儘管已在減少或除去顆粒缺陷中取得進展,且已對修復遮罩中之不透明及透明缺陷做了工作,但至今還未對解決相位缺陷的問題。對於深紫外線微影術,表面經處理以維持低於60度之相變。仍有待開發用於極紫外線微影術之類似製程。
對於13奈米之光化波長,對於下層表面中深度僅為3奈米的刮痕,自該多層塗層反射之光可發生180度的相變。在較短波長的情況下,此深度將更淺。類似地,在相同波長下,比一(1)奈米上升超過一百(100)奈米趨勢更突然之表面變化可導致類似的相變。此等相變可導致半導體晶圓之表面處的相位缺陷,且此等相變不可恢復地損害該等半導體裝置。
以往,用於深紫外線微影術之遮罩坯料通常為玻璃,但是已提議將矽或超低熱膨脹材料作為用於極紫外線微影術之替代。不論該坯料為玻璃、矽或超低熱膨脹材料,藉由化學機械研磨、磁流變加工或離子束研磨之該等製程將該遮罩坯料之表面製造的儘可能平滑。有時將在該製程中留下之刮痕稱為「刮挖(scratch-dig)」標記,且彼等刮痕之深度及寬度視用於研磨該遮罩坯料之研磨劑中之顆粒的大小而定。對於可見光及深紫外線微影術,此等刮痕太小而無法引起半 導體晶圓上之圖案中的相位缺陷。然而,對於極紫外線微影術,由於刮挖標記將表現為相位缺陷,因此刮挖標記為嚴重的問題。
由於EUV微影術需要短照明波長,使用之圖案遮罩必須為反射遮罩,而不是當前微影術中使用的透射遮罩。反射遮罩由鉬及矽交替薄層之精確堆疊組成,該堆疊形成布拉格折射鏡或布拉格反射鏡。因為多層堆疊及小特徵尺寸之性質,沉積多層堆疊之基板表面中之任何缺陷將經放大且將影響最終產物。幾奈米的尺度之缺陷可作為可印刷之缺陷顯示在成品遮罩上,且在沉積該多層堆疊之前需要將該等缺陷從遮罩坯料之表面除去。
用於光學微影術中之典型遮罩由玻璃坯料及阻斷光透射之圖案化鉻層組成。相反,在EUV微影術中,遮罩由反射層及圖案化吸附劑層組成。由於大多數材料對EUV光具有較高的吸光度,此架構變化為必須的。
反射層為鉬及矽之80層或更多層的交替層之堆疊。此堆疊之層厚度及平滑度之精確度很重要,以分別達成該遮罩之高反射率以及線邊緣粗糙度。
當前技術採用玻璃研磨及清洗製程,以為反射層獲取平滑基板表面及離子束沉積。
此製程流程並不滿足嚴格的缺陷規範。缺陷的主要原因係由研磨製程以及隨後的清洗製程於玻璃基板中留下的孔及凸塊。離子束沉積製程進一步使得顆粒嵌入於多層堆疊中,或嵌入在多層堆疊之頂端之上。
因此,找尋此等問題之答案及開發解決此等問題之系統越來越重要。鑒於不斷增加的商業競爭壓力,以及增加的消費者期望,為此等問題找尋答案是重要的。另外,對降低成本、改良效率及效能及滿足競爭壓力的需要為找尋解決此等問題之答案的迫切需要更加增添緊迫性。
儘管已長期搜尋此等問題之解決方案,但是先前發展尚未教示或建議任何解決方案,且因此,此等問題之解決方案已長久地困惑熟習此項技術者。
本發明之實施例提供一處理系統,該處理系統包括:真空腔室;複數個處理系統,該等複數個處理系統附接於真空腔室之周圍;以及晶圓傳送系統,該晶圓傳送系統位於該真空腔室中,用於在該等複數個處理系統之間移動晶圓,而不從真空中離開。
本發明之一實施例提供用於製造極紫外線坯料之物理氣相沉積系統,該系統包括:靶材,該靶材包含鉬、鉬合金或上述兩者之組合。
除上述彼等步驟或元件之外或代替上述彼等步驟或元件,本發明之某些實施例還具有其他步驟或元件。當參看附圖閱讀以下詳細描述時,該等步驟或元件對於熟習此項技術將變得顯而顯見。
100‧‧‧整合極紫外線(EUV)遮罩生產系統
102‧‧‧遮罩坯料載入及運載傳送系統
104‧‧‧遮罩坯料
106‧‧‧氣閘
108‧‧‧晶圓傳送真空腔室
110‧‧‧第一真空腔室
112‧‧‧第二真空腔室
114‧‧‧第一晶圓傳送系統
116‧‧‧第二晶圓傳送系統
118‧‧‧除氣系統
120‧‧‧第一物理氣相沉積系統
122‧‧‧第二物理氣相沉積系統
124‧‧‧預清洗系統
126‧‧‧第一多陰極源
128‧‧‧可流動化學氣相沉積系統
130‧‧‧固化腔室
132‧‧‧第二多陰極源
134‧‧‧晶圓
136‧‧‧晶圓
200‧‧‧基座結構
202‧‧‧圓柱體部分
204‧‧‧頂端配接器
206‧‧‧陰極源
208‧‧‧陰極源
210‧‧‧陰極源
212‧‧‧陰極源
214‧‧‧陰極源
300‧‧‧旋轉底座
302‧‧‧蓋環
304‧‧‧中間環
306‧‧‧錐形屏蔽件
308‧‧‧錐形配接器
310‧‧‧沉積區
312‧‧‧旋轉屏蔽件
314‧‧‧護罩
316‧‧‧靶
318‧‧‧陰極
400‧‧‧斜錐形沉積圖案
402‧‧‧晶圓
500‧‧‧遮罩坯料
502‧‧‧多層堆疊
600‧‧‧載器
602‧‧‧支撐銷
604‧‧‧固定銷
606‧‧‧楔形支撐
700‧‧‧載器
702‧‧‧支撐銷
704‧‧‧固定銷
706‧‧‧楔形支撐
800‧‧‧載器
802‧‧‧支撐銷
804‧‧‧固定銷
806‧‧‧邊緣排阻覆蓋遮罩
808‧‧‧楔形支撐
900‧‧‧載器
902‧‧‧支撐銷
904‧‧‧固定銷
906‧‧‧開口
1000‧‧‧載器
1002‧‧‧支撐銷
1004‧‧‧固定銷
1006‧‧‧開口
1100‧‧‧載器
1102‧‧‧支撐銷
1104‧‧‧固定銷
1106‧‧‧開口
1200‧‧‧方法
1202‧‧‧步驟
1204‧‧‧步驟
1206‧‧‧步驟
1208‧‧‧步驟
1210‧‧‧步驟
第1圖圖示根據本發明之一實施例之整合極紫外線(EUV)遮罩生產系統。
第2圖為根據本發明之一實施例之第一多陰極源。
第3圖為根據本發明之一實施例之第一多陰極源的橫截面。
第4圖為根據本發明之一實施例之操作中的第一多陰極源的橫截面。
第5圖為根據本發明之一實施例之遮罩坯料,該遮罩坯料為正方形且具有多層堆疊。
第6圖為根據本發明之一實施例的位於載器上之支撐位置中的遮罩坯料。
第7圖為根據本發明之一實施例的位於載器上之支撐位置中的遮罩坯料。
第8圖為根據本發明之一實施例的位於載器上之支撐位置中的遮罩坯料。
第9圖為根據本發明之一實施例的位於載器上之支撐位置中的遮罩坯料。
第10圖為根據本發明之一實施例的位於載器上之支撐位置中的遮罩坯料。
第11圖為根據本發明之一實施例的位於載器上之支撐位置中的遮罩坯料。
第12圖為一種用於製造具有超低缺陷之遮罩坯料之方法。
以下實施例經足夠詳細地描述,以使得熟習此項技術者能夠實施且使用本發明。應瞭解,其他實施例將基於本 揭示案顯而易見;且應瞭解,在不脫離本發明之範疇的情況下可作出系統、製程或機械改變。
在以下描述中,給定許多特定細節以提供對本發明之透徹理解。然而,顯而易見,可在沒有此等特定細節的情況下實施本發明。未詳細揭示一些眾所熟知的電路、系統設置及製程步驟,以避免模糊本發明。
圖示系統之實施例的圖式為半圖表的且未按比例繪製,且特定言之,一些尺寸用於使演示明確,且一些尺寸在所示諸圖式中經誇示圖示。同樣,儘管為便於描述,該等圖式中之視圖通常顯示類似方向,但是該等圖式中此描繪大部分為任意的。通常,在任何方向上均可操作本發明。
在揭示且描述了具有一些共同特徵之多個實施例的情況下,為使該等共同特徵之說明、描述及理解明確且簡易,使用相同元件符號描述類似及同樣的特徵。
為達說明之目的,如本文使用之術語「水平」經界定為與遮罩坯料之平面或表面平行(與該坯料之方向無關)的一平面。術語「垂直」代表垂直於如剛界定之水平的方向。如該等圖中所圖示,諸如「上方」、「下方」、「底部」、「頂端」、「側面」(如在「側壁」中)、「較高」、「較低」、「上部」、「在……之上」及「在……之下」之術語係相對於該水平平面而界定的。術語「在……上」指示元件之間有直接接觸。
如本文所使用的術語「處理」包括如在形成所述之結構中所需的材料或光阻劑的沉積、圖案化、曝光、顯影、 蝕刻、清洗及/或移除材料或光阻劑。
本發明之實施例使用藉由CVD、PVD、ALD及可流動的CVD沉積矽、氧化矽及具有相容熱膨脹係數之相關薄膜的多種成熟技術,以填充孔且遮蓋缺陷。一經沉積,薄膜表面可能足夠平滑及平坦的,以用於進一步多層堆疊沉積,或可能隨後使用多種成熟平滑或拋光技術(包括CMP、退火或離子束研磨)來進一步平滑化該薄膜表面。
現參看第1圖,該圖中圖示根據本發明之一實施例之整合極紫外線(EUV)遮罩生產系統100。整合EUV遮罩生產系統100為一處理系統,該處理系統處理載器上之晶圓或坯料,且該處理系統包括遮罩坯料載入及運載傳送系統102,遮罩坯料104載入遮罩坯料載入及運載傳送系統102中。
氣閘106提供進入晶圓傳送真空腔室108的通道。在圖示之實施例中,晶圓傳送真空腔室108含有兩個真空腔室:第一真空腔室110及第二真空腔室112。第一真空腔室110內為第一晶圓傳送系統114,且第二真空腔室112內為第二晶圓傳送系統116。
晶圓傳送真空腔室108具有圍繞腔室108周邊之複數個埠,該等複數個埠用於附接多種其他系統。第一真空腔室110具有除氣系統118、第一物理氣相沉積系統120、第二物理氣相沉積系統122及預清洗系統124。
第二真空腔室112可具有連接至腔室112之第一多陰極源126、可流動化學汽相沉積(FCVD)系統128、固化腔室130及第二多陰極源132。FCVD系統128可在基板、坯料或 晶圓136上沉積平坦化層,且固化腔室可固化該平坦化層。第二多陰極源132可沉積反射材料之多層堆疊,且其他系統可沉積覆蓋層。該平坦化層、該多層堆疊及該覆蓋層全部成為晶圓136之部分。
第一晶圓傳送系統114能夠使晶圓(諸如晶圓134)在氣閘106之間移動,且使該晶圓移動至圍繞第一真空腔室110之周邊的多種系統之一或更多者,且使該等晶圓移動穿過連續真空中之狹縫閥門。第二晶圓傳送系統116能夠使晶圓(諸如晶圓136)圍繞第二真空腔室112移動,同時將晶圓維持在連續真空中。第一晶圓傳送系統114及第二晶圓傳送系統116能夠使晶圓136有選擇地移動穿過圍繞第一真空腔室110及第二真空腔室112之外圍的一個或所有系統,以允許在不將晶圓136從真空中移出的情況下執行多種製程直至經由氣閘106移除晶圓136。
現參看第2圖,該圖中圖示根據本發明之一實施例之第一多陰極源126。第一多陰極源126包括具有圓柱體部分202之基座結構200,圓柱體部分202由頂端配接器204覆蓋。
頂端配接器204預留有定位於頂端配接器204周圍之許多陰極源,該等陰極源諸如陰極源206、208、210、212及214。
現參看第3圖,該圖中圖示根據本發明之一實施例之第一多陰極源126之橫截面。第一多陰極源126具有基座結構200、圓柱體部分202及頂端配接器204。
基座結構200內為旋轉底座300,在旋轉底座300 上可緊固一晶圓,諸如晶圓136。在旋轉底座300之上為蓋環302,蓋環302之上有中間環304。錐形屏蔽件306位於中間環304之上,且錐形屏蔽件306由錐形配接器308環繞。
用於藉由物理氣相沉積(PVD)在晶圓136上沉積材料之沉積區310由旋轉屏蔽件312環繞,護罩314附接於該旋轉屏蔽件312。護罩314之上有許多靶材(諸如靶材316)中之一者、沉積材料源及陰極318。
在一替代實施例中,許多個別護罩314各自附接於一個別源,且在旋轉屏蔽件312旋轉時護罩314保持固定。
現參看第4圖,該圖中圖示根據本發明之一實施例之操作中的第一多陰極源126之橫截面。第一多陰極源126之橫截面圖示斜錐形沉積圖案400與旋轉底座300,圖示之旋轉底座300自靶材316移動至用於在晶圓402上沉積材料之位置處。
在操作中,具有晶圓136之旋轉底座300向上移動至一位置,在該位置處,第3圖之護罩314中開口可見旋轉底座300。視第一多陰極源126之設計而定,可存在附接於頂端配接器204之多個護罩,因此各源具有各源自身的護罩,或具有與旋轉屏蔽件312一起旋轉之一護罩,或具有無護罩之單一大旋轉屏蔽件。
旋轉屏蔽件312隨後在多個陰極中旋轉,直至適當的陰極318及靶材316經定位以傾斜地在旋轉底座300上之晶圓136上沉積材料。
藉由旋轉底座300,晶圓136將在晶圓136之表面 上接收均勻沉積之靶材料。
現參看第5圖,該圖中圖示根據本發明之實施例之遮罩坯料500,該遮罩坯料500為正方形且具有多層堆疊502。
現參看第6圖,該圖中圖示根據本發明之一實施例的位於載器600上之支撐位置中的遮罩坯料500。遮罩坯料500具有面朝上之多層堆疊502,且遮罩坯料500經支撐於支撐銷602上之載器600上,且藉由固定銷604橫向地將遮罩坯料500固定就位。楔形支撐606亦可用於遮罩坯料500之底部邊緣處。
現參看第7圖,該圖中圖示根據本發明之一實施例的位於載器700上之支撐位置中的遮罩坯料500。遮罩坯料500具有面朝上之多層堆疊502,且遮罩坯料500經支撐於支撐銷702上之載器700上,且藉由固定銷704橫向地將遮罩坯料500固定就位。楔形支撐706亦可用於遮罩坯料500之底部邊緣處。
現參看第8圖,該圖中圖示根據本發明之一實施例的位於載器800上之支撐位置中的遮罩坯料500。遮罩坯料500具有面朝上之多層堆疊502,且遮罩坯料500經支撐於支撐銷802上之載器800上,且藉由固定銷804橫向地將遮罩坯料500固定就位。載器800比支撐銷802之厚度及遮罩坯料500之厚度稍厚。邊緣排阻覆蓋遮罩806覆蓋遮罩坯料500之邊緣,以防止材料在多層堆疊502之邊緣區域沉積。楔形支撐808亦可用於遮罩坯料500之底部邊緣處。
現參看第9圖,該圖中圖示根據本發明之一實施例 的位於載器900上之支撐位置中的遮罩坯料500。遮罩坯料500具有面朝下之多層堆疊502,且遮罩坯料500經支撐於支撐銷902上之載器900上,且藉由固定銷904橫向地將遮罩坯料500固定就位。載器900之底面具有開口906,以允許自下方進行沉積。
現參看第10圖,該圖中圖示根據本發明之一實施例的位於載器1000上之支撐位置中的遮罩坯料500。遮罩坯料500具有面朝下之多層堆疊502,且遮罩坯料500經支撐於支撐銷1002上之載器1000上,且藉由固定銷1004橫向地將遮罩坯料500固定就位。載器1000之底面具有開口1006,以允許自下方進行沉積。
現參看第11圖,該圖中圖示根據本發明之一實施例的位於載器1100上之支撐位置中的遮罩坯料500。遮罩坯料500具有面朝下之多層堆疊502,且遮罩坯料500經支撐於支撐銷1102上之載器1100上,且藉由固定銷1104橫向地將遮罩坯料500固定就位。載器之底面具有開口1106,以允許自下方進行沉積。
現參看第12圖,該圖中圖示一種用於製造第5圖之具有超低缺陷之EUV遮罩坯料500之方法1200。方法1200以將遮罩坯料供給至第1圖之EUV遮罩生產系統100中之真空開始。
在步驟1202中,遮罩坯料經除氣及預清洗。平坦化發生在步驟1204中。平坦化層藉由CVD沉積,且平坦化層在步驟1206中固化。多層沉積藉由PVD在步驟1208中執行, 且在步驟1210中應用覆蓋層。除氣、預清洗、平坦化、多層沉積及覆蓋層應用均在EUV遮罩生產系統100中執行,而無需將遮罩坯料從真空中移除。
第1圖之整合EUV遮罩生產系統100可用於製造任何類型之微影坯料,諸如遮罩坯料及鏡坯料,以及用於微影半導體製造製程之遮罩。
本發明之實施例提供用於在EUV遮罩坯料上沉積需要之層結構的整合工具概念。此等工具包括用於平坦化玻璃坯料上之缺陷(幾奈米至幾十奈米尺寸範圍之孔、刮痕及顆粒)的平滑層、為布拉格反射體沉積之鉬及矽多層堆疊以及釕覆蓋層(用於防止鉬/矽堆疊氧化)。
藉由將此等步驟整合至一製程工具,已發現,藉由限制處理步驟之數目,可能達成更好的介面控制以及更好的缺陷效能控制。
基板經置放於載器上,以便經由多個製程步驟最小化遮罩坯料之處理。此舉將減少有關處理基板上之顆粒的機會。
使用群集工具亦允許整合乾燥清洗製程,以允許改良基板清潔度,且從而在不破壞真空的情況下改良層堆疊之黏著力。
將基板載入整合極紫外線(EUV)遮罩生產系統之後,首先在可流動的CVD製程中(諸如在AMAT Eterna薄膜中)使用平坦化層塗覆該遮罩坯料,以填充基板表面上之孔及刮痕,以及平坦化任何剩餘之小顆粒。
接下來,基板經移動至用於多層沉積之沉積腔室。該腔室整合多個靶材,以便可在一個腔室中沉積整個堆疊,而無需移送該基板。
所得的系統為簡單的、成本有效的、不複雜且高度通用的,且藉由調適已知技術可驚人地且不明顯地實施該系統,且因此該系統易於適用於有效且經濟地製造EUV遮罩坯料。
本發明之實施例為EUV遮罩坯料提供原子級平坦、低缺陷且光滑之表面。然而,本發明之實施例亦可用於製造其他類型之坯料,諸如鏡。在玻璃基板上,本發明之實施例可用於形成EUV鏡。另外,本發明之實施例可應用於其他原子級平坦、低缺陷且光滑的表面結構,該等表面結構用於UV、DUV、電子束、可見光、紅外線、離子束、X射線及其他類型之半導體微影術中。本發明之實施例亦可用於形成多種尺寸結構,該等尺寸結構可在從晶圓尺度至元件位準且甚至至更大面積顯示及太陽能應用之範圍內。
本發明之另一重要態樣為本發明有益地支援且服務於降低成本、簡化系統及增加效能之歷史趨勢。
因此,本發明之此等及其他有益的態樣促進此項技術當前水平進化至至少下一水平。
儘管已結合特定之最佳模式描述本發明,但應瞭解,彼等熟習此項技術者根據上述描述將顯而易見許多替代、修改及變化。因此,本文意欲包含在所包括的申請專利範圍之範疇內之所有該等替代、修改及變化。以上本文中闡 述或附圖中所示之全部標的物以說明性闡明,且為非限制意義。
100‧‧‧整合極紫外線(EUV)遮罩生產系統
102‧‧‧遮罩坯料載入及運載傳送系統
104‧‧‧遮罩坯料
106‧‧‧氣閘
108‧‧‧晶圓傳送真空腔室
110‧‧‧第一真空腔室
112‧‧‧第二真空腔室
114‧‧‧第一晶圓傳送系統
116‧‧‧第二晶圓傳送系統
118‧‧‧除氣系統
120‧‧‧第一物理氣相沉積系統
122‧‧‧第二物理氣相沉積系統
124‧‧‧預清洗系統
126‧‧‧第一多陰極源
128‧‧‧可流動化學氣相沉積系統
130‧‧‧固化腔室
132‧‧‧第二多陰極源
134‧‧‧晶圓
136‧‧‧晶圓

Claims (22)

  1. 一種處理系統,該處理系統包含:一真空腔室;複數個處理系統,該等複數個處理系統附接在該真空腔室之周圍;以及一晶圓傳送系統,該晶圓傳送系統位於該真空腔室中,用於在該等複數個處理系統之間移動該晶圓,而不從一真空中離開。
  2. 如請求項1所述之系統,其中該等複數個處理系統包括一除氣系統。
  3. 如請求項1所述之系統,其中該等複數個處理系統包括一物理氣相沉積系統。
  4. 如請求項1所述之系統,其中該等複數個處理系統包括一預清洗系統。
  5. 如請求項1所述之系統,該系統進一步包含用於輸出一極紫外線遮罩坯料之一輸出。
  6. 如請求項1所述之系統,該系統進一步包含用於輸出一極紫外線鏡之一輸出。
  7. 如請求項1所述之系統,該系統進一步包含:一額外真空腔室,該額外真空腔室連接至該真空腔室;額外複數個處理系統,該等複數個處理系統附接於該額外真空腔室之周圍;以及一額外晶圓傳送系統,該額外晶圓傳送系統位於該額外真空腔室中,用於在該等額外複數個處理系統之間移動該晶圓,而不從一真空中離開。
  8. 如請求項5所述之系統,其中該等額外複數個處理系統包括一可流動的化學氣相沉積系統。
  9. 如請求項5所述之系統,其中該等額外複數個處理系統包括一固化腔室。
  10. 如請求項5所述之系統,其中該等額外複數個系統包括一多陰極源。
  11. 如請求項10所述之系統,該系統進一步包含一頂端配接器,該頂端配接器預留有圍繞該頂端配接器之許多陰極源。
  12. 如請求項10所述之系統,該系統進一步包含用於緊固一晶圓之一旋轉底座。
  13. 如請求項10所述之系統,其中該多陰極源包含複數個陰 極,且進一步包含一旋轉底座,該旋轉底座用於將一晶圓置放在與該等複數個陰極之每一者成一角度之處。
  14. 如請求項10所述之系統,其中該多陰極源包含複數個陰極,且進一步包含一護罩,該護罩附接於該等複數個陰極之每一者。
  15. 如請求項10所述之系統,其中該多陰極源包含複數個陰極,且進一步包含一旋轉屏蔽件,該旋轉屏蔽件用於在該等複數個陰極之一或更多者之間旋轉。
  16. 如請求項10所述之系統,其中該系統進一步包含一邊緣排阻覆蓋遮罩,該邊緣排阻覆蓋遮罩用於覆蓋一晶圓之邊緣,以防止在該晶圓之該等邊緣區域沉積材料。
  17. 如請求項10所述之系統,該系統進一步包含一載器,該載器具有用於支撐一晶圓之支撐銷,及用於橫向固定該晶圓之固定銷。
  18. 如請求項10所述之系統,該系統進一步包含一載器,該載器具有用於支撐一晶圓之支撐銷,及用於橫向固定該晶圓之固定銷,該載器具有一開口以允許自下方進行沉積。
  19. 一種用於製造一極紫外線坯料之物理氣相沉積系統,該 系統包含:一靶材,該靶包含鉬、鉬合金或上述兩者之一組合。
  20. 如請求項19所述之系統,該系統進一步包含:一第二靶材,該靶材包含矽。
  21. 一種形成一EUV遮罩坯料之方法,該方法包含以下步驟:藉由化學氣相沉積在一基板上形成一平坦化層;以及藉由物理氣相沉積在該平坦化層上形成一多層堆疊,其中在不從真空移除該基板的情況下,在一生產系統中執行形成該平坦化層及形成該多層堆疊之步驟。
  22. 如請求項21所述之方法,該方法進一步包含以下步驟:在不從該真空移除該基板的情況下,將一覆蓋層應用至該多層堆疊。
TW103106805A 2013-03-12 2014-02-27 極限紫外線微影遮罩坯料製造系統及用於該製造系統之操作方法 TWI623054B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361778402P 2013-03-12 2013-03-12
US61/778,402 2013-03-12
US14/139,415 US20140272684A1 (en) 2013-03-12 2013-12-23 Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US14/139,415 2013-12-23

Publications (2)

Publication Number Publication Date
TW201442136A true TW201442136A (zh) 2014-11-01
TWI623054B TWI623054B (zh) 2018-05-01

Family

ID=51528511

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103106805A TWI623054B (zh) 2013-03-12 2014-02-27 極限紫外線微影遮罩坯料製造系統及用於該製造系統之操作方法

Country Status (7)

Country Link
US (2) US20140272684A1 (zh)
JP (2) JP6625520B2 (zh)
KR (2) KR102401043B1 (zh)
CN (1) CN105144343B (zh)
SG (2) SG11201506470UA (zh)
TW (1) TWI623054B (zh)
WO (1) WO2014165300A1 (zh)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9863036B2 (en) * 2014-04-25 2018-01-09 Plasma-Therm Nes Llc Wafer stage for symmetric wafer processing
WO2017035008A1 (en) * 2015-08-21 2017-03-02 Applied Materials, Inc. Method and apparatus for co-sputtering multiple targets
US10468238B2 (en) * 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
TWI724971B (zh) 2016-06-28 2021-04-11 美商應用材料股份有限公司 包括間隔上臂與交錯腕部的雙機器人以及包括該者之系統及方法
TWI763686B (zh) * 2016-07-27 2022-05-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料、製造極紫外線遮罩坯料的方法以及極紫外線遮罩坯料生產系統
TWI774375B (zh) 2016-07-27 2022-08-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
US11011357B2 (en) 2017-02-21 2021-05-18 Applied Materials, Inc. Methods and apparatus for multi-cathode substrate processing
US10763091B2 (en) * 2017-08-18 2020-09-01 Applied Materials, Inc. Physical vapor deposition chamber particle reduction apparatus and methods
US10504705B2 (en) * 2017-09-15 2019-12-10 Applied Materials, Inc. Physical vapor deposition chamber with static magnet assembly and methods of sputtering
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
TW202244295A (zh) * 2018-06-19 2022-11-16 美商應用材料股份有限公司 具有多陰極的沉積系統
TWI821300B (zh) * 2018-06-19 2023-11-11 美商應用材料股份有限公司 具有護罩座的沉積系統
US11275300B2 (en) * 2018-07-06 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask blank defect reduction
TWI805795B (zh) * 2018-07-20 2023-06-21 美商應用材料股份有限公司 基板定位設備與方法
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
TW202028495A (zh) 2018-12-21 2020-08-01 美商應用材料股份有限公司 極紫外線遮罩吸收器及用於製造的方法
TWI788618B (zh) * 2019-01-25 2023-01-01 美商應用材料股份有限公司 物理氣相沉積靶材組件
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
US11639544B2 (en) 2019-03-01 2023-05-02 Applied Materials, Inc. Physical vapor deposition system and processes
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
TW202104628A (zh) 2019-04-19 2021-02-01 美商應用材料股份有限公司 用於控制pvd沉積均勻性的系統及方法
TW202104957A (zh) 2019-04-19 2021-02-01 美商應用材料股份有限公司 布拉格反射器中的梯度界面
US11557473B2 (en) 2019-04-19 2023-01-17 Applied Materials, Inc. System and method to control PVD deposition uniformity
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202111420A (zh) 2019-05-22 2021-03-16 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
US11850742B2 (en) 2019-06-07 2023-12-26 Applied Materials, Inc. Dual robot including splayed end effectors and systems and methods including same
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11387071B2 (en) * 2019-10-06 2022-07-12 Applied Materials, Inc. Multi-source ion beam etch system
TW202124749A (zh) * 2019-10-25 2021-07-01 美商應用材料股份有限公司 極紫外遮罩毛坯之缺陷減少的方法
TW202122909A (zh) * 2019-10-25 2021-06-16 美商應用材料股份有限公司 減少極紫外遮罩毛坯缺陷之方法
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
JP2022045936A (ja) * 2020-09-10 2022-03-23 信越化学工業株式会社 Euvマスクブランク用多層反射膜付き基板、その製造方法及びeuvマスクブランク
JP7420027B2 (ja) * 2020-09-10 2024-01-23 信越化学工業株式会社 Euvマスクブランク用多層反射膜付き基板、その製造方法及びeuvマスクブランク
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11545347B2 (en) 2020-11-05 2023-01-03 Applied Materials, Inc. Internally divisible process chamber using a shutter disk assembly
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
JPWO2023032721A1 (zh) * 2021-08-30 2023-03-09
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5843529B2 (ja) 1980-07-03 1983-09-27 寛 菅沼 支線用打込みアンカの打設方法
JPS57143826A (en) 1981-02-28 1982-09-06 Dainippon Printing Co Ltd Formation of resist pattern on gapped semiconductor substrate
JPS61101450A (ja) 1984-10-24 1986-05-20 新技術開発事業団 非晶質強磁性酸化物
US4842675A (en) * 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) * 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH05335215A (ja) 1991-03-06 1993-12-17 Hitachi Ltd 反射ミラー、その作製方法及び縮小投影露光装置
JP3281173B2 (ja) 1993-03-15 2002-05-13 健 増本 高硬度薄膜及びその製造方法
DE69406963T2 (de) 1993-03-15 1998-05-20 Tsuyoshi Masumoto Hochharte Dünnschicht, sowie Verfahren zu deren Herstellung
JPH07106224A (ja) 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JPH07106234A (ja) 1993-10-07 1995-04-21 Mitsubishi Electric Corp レジストパターンの形成方法
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5645646A (en) * 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
JP2000331939A (ja) 1999-05-17 2000-11-30 Applied Materials Inc 成膜装置
US6228512B1 (en) 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
US6352803B1 (en) 1999-06-07 2002-03-05 The Regents Of The University Of California Coatings on reflective mask substrates
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (ja) * 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
JP2002090977A (ja) * 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランク、フォトマスクブランク、並びにそれらの製造装置及び製造方法
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
ATE445028T1 (de) 2001-02-07 2009-10-15 Asahi Glass Co Ltd Verfahren zur herstellung eines sputterfilms
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6610447B2 (en) 2001-03-30 2003-08-26 Intel Corporation Extreme ultraviolet mask with improved absorber
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
JP3454259B2 (ja) 2001-09-07 2003-10-06 セイコーエプソン株式会社 マスクデータの生成方法、マスクおよび記録媒体、ならびに半導体装置の製造方法
JP4099328B2 (ja) 2001-11-26 2008-06-11 キヤノンアネルバ株式会社 スパッタリング装置におけるパーティクル発生防止方法、スパッタリング方法、スパッタリング装置及び被覆用部材
JP4009102B2 (ja) 2001-12-19 2007-11-14 独立行政法人科学技術振興機構 半導体特性を示すアモルファス鉄シリサイド膜とその作製方法
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US20030164998A1 (en) * 2002-03-01 2003-09-04 The Regents Of The University Of California Ion-assisted deposition techniques for the planarization of topological defects
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
WO2003085709A1 (en) 2002-04-11 2003-10-16 Hoya Corporation Reflection type mask blank and reflection type mask and production methods for them
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
JP2003315977A (ja) 2002-04-25 2003-11-06 Hoya Corp リソグラフィーマスクブランクの製造方法及び製造装置
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7129010B2 (en) * 2002-08-02 2006-10-31 Schott Ag Substrates for in particular microlithography
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
CN1501442A (zh) 2002-11-12 2004-06-02 阿泰技术有限公社 光刻胶沉积设备以及使用该设备形成光刻胶薄膜的方法
JP2004172272A (ja) 2002-11-19 2004-06-17 Nikon Corp Euv露光装置及びeuv露光方法
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US20040159538A1 (en) * 2003-02-13 2004-08-19 Hans Becker Photo mask blank, photo mask, method and apparatus for manufacturing of a photo mask blank
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
JP3683261B2 (ja) 2003-03-03 2005-08-17 Hoya株式会社 擬似欠陥を有する反射型マスクブランクス及びその製造方法、擬似欠陥を有する反射型マスク及びその製造方法、並びに擬似欠陥を有する反射型マスクブランクス又は反射型マスクの製造用基板
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
WO2005087697A1 (ja) 2004-03-15 2005-09-22 Ube Industries, Ltd. β−ジケトナトを配位子とする金属錯体
JP4542807B2 (ja) 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
JPWO2006030627A1 (ja) 2004-09-17 2008-05-08 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクスおよびその製造方法
JP4692984B2 (ja) 2004-09-24 2011-06-01 Hoya株式会社 反射型マスクブランク、反射型マスク及び多層膜反射鏡並びにこれらの製造方法
WO2006040613A1 (en) * 2004-10-13 2006-04-20 Xenocs Method of deposition with reduction of contaminants in an ion assist beam and associated apparatus
JP2006177740A (ja) 2004-12-22 2006-07-06 Nikon Corp 多層膜反射鏡及びeuv露光装置
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
JP4703354B2 (ja) 2005-10-14 2011-06-15 Hoya株式会社 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
DE102005052000B3 (de) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP4801550B2 (ja) 2006-09-26 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、及び半導体装置の製造方法
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
EP2087510A4 (en) 2006-11-27 2010-05-05 Nikon Corp OPTICAL ELEMENT, ASSOCIATED EXPOSURE UNIT AND METHOD FOR PRODUCING THE DEVICE
JP2008135090A (ja) 2006-11-27 2008-06-12 Canon Inc レジスト、これを用いた光ディスク用スタンパの製造方法、及び光ディスク用スタンパ
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) * 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
JP5190316B2 (ja) * 2007-10-04 2013-04-24 キヤノンアネルバ株式会社 高周波スパッタリング装置
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
JP5393972B2 (ja) * 2007-11-05 2014-01-22 Hoya株式会社 マスクブランク及び転写用マスクの製造方法
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
JP5369640B2 (ja) 2008-02-19 2013-12-18 旭硝子株式会社 Euvl用光学部材、およびその平滑化方法
KR20090103847A (ko) 2008-03-28 2009-10-01 캐논 가부시끼가이샤 노광 장치 및 디바이스 제조 방법
KR101696487B1 (ko) 2008-03-31 2017-01-13 호야 가부시키가이샤 포토마스크 블랭크, 포토마스크 및 포토마스크 블랭크의 제조 방법
NL1036673A1 (nl) * 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
DE102008042212A1 (de) 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010122305A (ja) 2008-11-17 2010-06-03 Sony Corp 露光装置及び露光方法並びに微細加工装置及び微細加工方法
JP2010126789A (ja) * 2008-11-28 2010-06-10 Shibaura Mechatronics Corp スパッタ成膜装置
JP2010170011A (ja) 2009-01-26 2010-08-05 Hoya Corp フォトマスクの修正方法
JP2011053566A (ja) 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
CN102019266A (zh) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 涂层材料的涂布方法
WO2011073157A1 (en) 2009-12-15 2011-06-23 Carl Zeiss Smt Gmbh Reflective optical element for euv lithography
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP5560776B2 (ja) 2010-03-03 2014-07-30 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクスの製造方法
JP5559948B2 (ja) * 2010-03-12 2014-07-23 Hoya株式会社 多層反射膜付基板の製造方法および反射型マスクブランクの製造方法
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
JP2011222958A (ja) 2010-03-25 2011-11-04 Komatsu Ltd ミラーおよび極端紫外光生成装置
US9703204B2 (en) 2010-04-02 2017-07-11 Nikon Corporation Light source apparatus, optical apparatus, exposure apparatus, device manufacturing method, illuminating method, exposure method, and method for manufacturing optical apparatus
US8526104B2 (en) 2010-04-30 2013-09-03 Corning Incorporated Plasma ion assisted deposition of Mo/Si multilayer EUV coatings
US20120009765A1 (en) * 2010-07-12 2012-01-12 Applied Materials, Inc. Compartmentalized chamber
EP2600388B1 (en) 2010-07-27 2014-10-08 Asahi Glass Company, Limited Substrate provided with reflecting layer for euv lithography, and reflective mask blank for euv lithography
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (ko) * 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 액정 표시 장치 및 그 제조 방법
JP6013720B2 (ja) * 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) * 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) * 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
KR101904560B1 (ko) * 2011-03-07 2018-10-04 에이지씨 가부시키가이샤 다층 기판, 다층 기판의 제조 방법, 다층 기판의 품질 관리 방법
KR20130139856A (ko) 2011-03-14 2013-12-23 후지 덴키 가부시키가이샤 산화물 기판 및 그 제조 방법
JP2012248664A (ja) 2011-05-27 2012-12-13 Hitachi Cable Ltd 気相成長装置及び気相成長方法、並びにエピタキシャルウェハ
JP6236000B2 (ja) 2011-07-08 2017-11-22 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (ko) * 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
DE102012203633A1 (de) 2012-03-08 2013-09-12 Carl Zeiss Smt Gmbh Spiegel für den EUV-Wellenlängenbereich, Herstellungsverfahren für einen solchen Spiegel und Projektionsbelichtungsanlage mit einem solchen Spiegel
US8735252B2 (en) 2012-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US8969932B2 (en) 2012-12-12 2015-03-03 Globalfoundries Inc. Methods of forming a finfet semiconductor device with undoped fins
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Also Published As

Publication number Publication date
KR102401043B1 (ko) 2022-05-20
JP2019219671A (ja) 2019-12-26
US20140272684A1 (en) 2014-09-18
US10788744B2 (en) 2020-09-29
JP6625520B2 (ja) 2019-12-25
TWI623054B (zh) 2018-05-01
SG11201506470UA (en) 2015-09-29
CN105144343B (zh) 2018-08-24
WO2014165300A1 (en) 2014-10-09
CN105144343A (zh) 2015-12-09
KR20210048604A (ko) 2021-05-03
US20170115555A1 (en) 2017-04-27
KR102246809B1 (ko) 2021-04-29
JP2016519778A (ja) 2016-07-07
SG10201707081YA (en) 2017-10-30
KR20150127165A (ko) 2015-11-16

Similar Documents

Publication Publication Date Title
US10788744B2 (en) Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
JP7285682B2 (ja) 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム
TWI609231B (zh) 非晶層極紫外光微影術空白板以及用於製造該空白板的方法與微影術系統
TWI631411B (zh) 超平滑層紫外線微影術鏡及基板與其製造及微影術系統