JP2019164362A - 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム - Google Patents

平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム Download PDF

Info

Publication number
JP2019164362A
JP2019164362A JP2019085623A JP2019085623A JP2019164362A JP 2019164362 A JP2019164362 A JP 2019164362A JP 2019085623 A JP2019085623 A JP 2019085623A JP 2019085623 A JP2019085623 A JP 2019085623A JP 2019164362 A JP2019164362 A JP 2019164362A
Authority
JP
Japan
Prior art keywords
euv
substrate
blank
flatted
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019085623A
Other languages
English (en)
Other versions
JP7285682B2 (ja
Inventor
カラ ビースリー
Beasley Cara
カラ ビースリー
ラルフ ホフマン
Hoffmann Ralf
ラルフ ホフマン
マジード エー フォウド
A Foad Majeed
マジード エー フォウド
ティモシー マイケルソン
Michaelson Timothy
ティモシー マイケルソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019164362A publication Critical patent/JP2019164362A/ja
Application granted granted Critical
Publication of JP7285682B2 publication Critical patent/JP7285682B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optical Filters (AREA)

Abstract

【課題】EUVマスクブランクに必要な基板の平坦性を提供する。【解決手段】統合化極端紫外線(EUV)ブランク製造システムは、真空中で基板を配置するための真空チャンバと、基板上に平坦化された上面を有する平坦化層204を堆積させるための第1堆積システムと、真空から基板を除去することなく、平坦化層上に多層スタック206を堆積させるための第2堆積システムを含む。EUVブランクは、極端紫外線光源と、EUV光源からの光を導くためのミラーと、平坦化層を有するEUVマスクブランク200を配置するためのレチクルステージと、ウェハを配置するウェハステージを含むEUVリソグラフィシステム内にある。EUVブランクは、基板と、基板の表面に関連する欠陥203を補償するための平坦な上面を有する平坦化層と、平坦化層上の多層スタックを含む。【選択図】図2

Description

関連出願への相互参照
本出願は、2013年3月12日に出願された米国仮特許出願第61/778,335号の利益を主張し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,371号に関連し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,415号に関連し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,457号に関連し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,507号に関連し、その内容は参照により本明細書内に援用される。
本発明は、概して、極端紫外線リソグラフィブランク及びそのような極端紫外線リソグラフィブランクのための製造及びリソグラフィシステムに関する。
背景
極端紫外線リソグラフィ(EUV、軟X線投影リソグラフィとしても知られている)は、0.13ミクロン及びそれよりも小さい最小フィーチャーサイズの半導体デバイスの製造のための遠紫外線リソグラフィに代わる候補である。
しかしながら、概して5〜40ナノメートルの波長範囲内にある極端紫外光は、実質的に全ての材料に強く吸収される。そのため、極端紫外線システムは、光の透過によってではなく、反射によって動作する。一連のミラー、又はレンズ要素、及び反射要素、又は非反射吸収体マスクパターンでコーティングされたマスクブランクの使用を介して、パターニングされた化学光は、レジストがコーティングされた半導体ウェハ上へ反射される。
極端紫外線リソグラフィシステムのレンズ要素及びマスクブランクは、多層反射コーティング材料(例えば、モリブデンとシリコン)でコーティングされる。極端紫外線の狭帯域(例えば、13ナノメートルの紫外光に対して12〜14ナノメートルのバンドパス)内の実質的に単一の波長で光を強く反射する多層コーティングでコーティングされた基板を使用することによって、レンズ要素又はマスクブランク毎に約65%の反射値が得られている。
問題を引き起こす半導体加工技術の欠陥には、様々なクラスがある。不透明欠陥は、典型的には、多層コーティングの最上部又はマスクパターン上の粒子によって引き起こされ、光を反射すべきときに、光を吸収する。透明欠陥は、典型的には、多層コーティングの最上部の上のマスクパターン内のピンホールによって引き起こされ、光が吸収されるべきときに、光が反射される。位相欠陥は、典型的には、多層コーティングの下の傷及び表面変動によって引き起こされ、反射光の位相遷移を引き起こす。これら位相遷移は、半導体ウェハの表面上のレジスト内に露光されるパターンを歪ませる、又は変える光波干渉効果をもたらす。サブ0.13ミクロンの最小フィーチャーサイズ用に用いられるに違いないより短波長の照射のために、以前は重要ではなかった傷及び表面変動が、今では許容できなくなっている。
粒子欠陥の低減又は除去において進歩がなされてきて、マスク内の不透明欠陥及び透明欠陥の修復において研究がなされてきたが、位相欠陥の問題に対処するためには、今まで何もなされてきていない。遠紫外線リソグラフィに対しては、60度以下の位相遷移を維持するように、表面は処理される。極端紫外線リソグラフィのための同様の処理は、まだ開発されていない。
13ナノメートルの化学線波長に対して、多層コーティングから反射される光の中での180度の位相遷移は、下地表面内の深さがわずか3ナノメートルの傷に対して発生する可能性がある。この深さは、より短い波長ではより浅くなる。同様に、同じ波長で、100ナノメートルの距離上で1ナノメートルよりも急激な表面変動は、同様の位相遷移を引き起こす可能性がある。これら位相遷移は、半導体ウェハの表面に位相欠陥を引き起こし、半導体デバイスに修復不可能な損傷を与える可能性がある。
過去において、遠紫外線リソグラフィ用マスクブランクは、一般的にガラス製であったが、シリコン又は超低熱膨張材料が、極端紫外線リソグラフィ用の代替として提案されてきている。ブランクが、ガラス、シリコン、又は超低熱膨張材料であるかどうかにかかわらず、マスクブランクの表面は、化学機械研磨、磁性流体仕上げ、又はイオンビーム研磨などのプロセスによって可能な限り平滑にされる。このようなプロセスに残されている傷は、しばしば「スクラッチディグ」マークと呼ばれ、それらの深さと幅は、マスクブランクを研磨するために使用される研磨剤中の粒子の大きさに依存する。可視及び遠紫外線リソグラフィでは、これらの傷は、半導体ウェハ上のパターン内に位相欠陥を引き起こすには小さ過ぎる。しかしながら、極端紫外線リソグラフィに対しては、スクラッチディグマークは、位相欠陥として現れるので、重要な問題である。
EUVリソグラフィ用に要求される短い照明波長のため、使用されるパターンマスクは、現在のリソグラフィで使用される透過型マスクの代わりに反射型マスクでなければならない。反射型マスクは、モリブデンとシリコンの交互の薄い層の正確なスタックで構成され、ブラッグ屈折器又はミラーを作る。多層スタックの性質及び小さいフィーチャーサイズのため、多層スタックが堆積される基板の表面内の任意の欠陥は拡大され、最終製品に影響を与える。数ナノメートルのスケールの欠陥は、完成したマスク上に印刷可能な欠陥となって表れ、多層スタックの堆積前にマスクブランクの表面から除去する必要がある可能性がある。
一般的な欠陥は、ピット、傷、及び粒子を含む。一般的な洗浄技術は、粒子の多くを除去するが、新しいピットを生成するか、既存のピットを増幅するかのいずれかである。ピットは、研磨又は洗浄プロセスから発生する可能性があるか、又は切断及び研磨プロセス中に露出される基板材料自体内の内包物又は欠陥に由来する可能性がある。更に、研磨は、表面でピットを除去するために使用することができるが、プロセス内で新たなピットが露出又は発生するリスクがあり、これは、基板表面を平滑化及び平坦化するために研磨のみを用いる有用性を制限する。基板の平滑化のための別の方法は、レーザ又はプラズマアニーリングである。これらの技術は、ガラス基板の薄い表面層を溶融し、リフローで接合し、局所的な欠陥を除去する。問題は、基板の表面に、より長い範囲の凹凸又はリップルを誘発するので、EUVマスクブランクに必要な基板の平坦性を提供しないことである。
電子部品のますます小さいフィーチャーサイズの必要性を考慮すると、これらの問題に対して答えを見つけることがますます重要である。消費者の期待を成長させるとともに、増え続ける商業競争圧力を考慮すると、これらの問題に対する答えを見つけることが重要である。また、コストを削減し、効率とパフォーマンスを向上させ、競争圧力を満たすための必要性は、これらの問題に対する答えを見つけるための重要な必要性に更に大きな緊急性を追加する。
これらの問題に対する解決策は、長い間求められてきたが、先行開発は、何の解決策も教示又は示唆してこなかった。したがって、これらの問題に対する解決策は、長い間、当業者には手に入らないものであった。
概要
本発明の一実施形態は、真空中で基板を配置するための真空チャンバと、基板上に平坦化された上面を有する平坦化層を堆積させるための第1堆積システムと、真空から基板を除去することなく、平坦化層上に多層スタックを堆積させるための第2堆積システムを含む統合化極端紫外線(EUV)ブランク製造システムである。
本発明の一実施形態は、極端紫外線光源と、EUV光源からの光を導くためのミラーと、平坦化層を有するEUVマスクブランクを配置するためのレチクルステージと、ウェハを配置するウェハステージを含むEUVリソグラフィシステムである。
本発明の一実施形態は、基板と、基板の表面に関連する欠陥を補償するための平坦な上面を有する平坦化層と、平坦化層上の多層スタックを含むEUVブランクである。
本発明の特定の実施形態は、上記のものに加えて、又は上記のものの代わりに、他の工程又は要素を有する。工程又は要素は、添付の図面を参照して以下の詳細な説明を読むことにより当業者に明らかになるであろう。
統合化された極端紫外線(EUV)マスクの製造システムである。 本発明の一実施形態に係るEUVマスクブランクである。 EUVマスクである。 超低欠陥のEUVマスクブランクの製造するための方法である。 超低欠陥のEUVマスクブランクを製造するための別の方法である。 EUVリソグラフィシステムの光学トレインである。 EUVリソグラフィシステムである。
詳細な説明
以下の実施形態は、当業者が本発明を行い、使用することを可能にするために、十分に詳細に記載されている。他の実施形態が、本開示に基づいて明らかとなり、本発明の範囲から逸脱することなく、システム、プロセス、又は機械的な変更を行うことができることを理解すべきである。
以下の説明において、多数の特定の詳細が、本発明の完全な理解を提供するために与えられる。しかしながら、本発明は、これらの特定の詳細なしに実施できることは明らかであろう。本発明を不明瞭にすることを避けるために、いくつかの周知の回路、システム構成、及びプロセスステップは、詳細には開示されない。
システムの実施形態を示す図面は、半概略であり、縮尺通りではなく、特に、寸法のいくつかは、提案説明を明確にするためのものであり、描画図内で誇張して示されている。同様に、説明を容易にするため、図面内の図は、概して、同様の方向を示すが、図面内のこの描写は、ほとんどの部分に対して任意である。一般的に、本発明は、任意の向きで動作させることができる。
いくつかの構成を共通して有する複数の実施形態が開示され、記載されている場合は、それらの図説、記述、及び理解を明瞭かつ容易にするために、類似の構成は、同様の参照番号で記述される。
解説の目的のために、本明細書で使用する用語「水平」は、マスクブランクの平面又は表面に対して平行な平面として定義され、その向きには関係ない。用語「垂直」は、まさに定義されたような水平に対して垂直な方向を指す。用語(例えば、「上方」、「下方」、「底部」、「最上部」、(「側壁」内のような)「側」、「より高い」、「より低い」、「上部」、「上に」、及び「下に」)は、図面内に図示されるように、水平面に対して定義される。用語「上」は、要素間の直接的な接触があることを示す。
本明細書で使用する用語「処理」は、材料又はフォトレジストの堆積、記載された構造を形成するのに必要とされる材料又はフォトレジストのパターニング、露光、現像、エッチング、洗浄、及び/又は除去を含む。
本発明の実施形態は、ピットを充填し、欠陥を埋めるために、CVD、PVD、ALD、及び流動性CVDによって、シリコン、酸化ケイ素、及び互換性のある熱膨張係数の関連する膜を堆積するための様々な確立された技術を使用する。いったん堆積されると、膜表面は、更なる多層スタックの堆積用に十分平滑かつ平坦とすることができ、又はその後、CMP、アニーリング、又はイオンビーム研磨を含む多様な確立された平滑化又は研磨技術を更に用いて、平滑化することができる。
ここで、図1を参照すると、統合化された極端紫外線(EUV)マスク製造システム100がここに図示される。統合化EUVマスク製造システム100は、内部でマスクブランク104がロードされるマスクブランクローディング・キャリアハンドリングシステム102を含む。エアロック106は、ウェハハンドリング真空チャンバ108へのアクセスを提供する。図示の実施形態では、ウェハハンドリング真空チャンバ108は、2つの真空チャンバ(第1真空チャンバ110と第2真空チャンバ112)を含む。第1ウェハハンドリングシステム114は、第1真空チャンバ110内にあり、第2ウェハハンドリングシステム116は、第2真空チャンバ112内にある。
ウェハハンドリング真空チャンバ108は、様々な他のシステムの取り付け用に、その周囲に複数のポートを有する。第1真空チャンバ110は、脱ガスシステム118、第1物理蒸着システム120、第2物理蒸着システム122、及び前洗浄システム124を有する。
第2真空チャンバ112は、それに接続された第1マルチカソード源126、流動性化学蒸着(FCVD)システム128、補修(キュア)システム130、及び第2マルチカソード源132を有する。
第1ウェハハンドリングシステム114は、エアロック106及び第1真空チャンバ110の周囲の様々なシステム間で、連続真空内でスリットバルブを介して、ウェハ(例えば、ウェハ134)を移動させることができる。第2ウェハハンドリングシステム116は、連続的な真空内にウェハを維持しながら、第2真空チャンバ112の周囲に、ウェハ(例えば、ウェハ136)を移動させることができる。
統合化EUVマスク製造システム100は、EUVマスクブランクを製造するための理想的な環境を提供することが発見された。
ここで図2を参照すると、本発明の一実施形態に係るEUVマスクブランク200がここに図示される。EUVマスクブランク200は、ガラス、シリコン、又は他の超低熱膨張材料からできた超低熱膨張ガラス基板202である。超低熱膨張材料は、溶融シリカ、溶融石英、フッ化カルシウム、炭化ケイ素、酸化ケイ素−酸化チタン合金、又はこれらの材料の範囲内の熱膨張係数を有する他の材料を含む。
超低膨張基板202の上面は、研磨剤を用いた化学的機械研磨(CMP)から生じる欠陥203(例えば、バンプ、ピット、及び粒子)を有する。このようなプロセス内で残された傷は、しばしば「ピット」及び/又は「スクラッチディグ」マークと呼ばれ、それらの深さと幅は、EUVマスクブランク200を研磨するために使用される研磨剤中の粒子のサイズに依存する。
EUVマスクブランク200内のピット及びスクラッチの欠陥(一般的に、ピットと呼ばれる)は、平坦化層204の堆積によって充填されることにより除去可能であることが発見された。平坦化層204又は流動性膜は、100Å〜10000Åの厚さの範囲内で、流動性CVD膜を堆積させる、又はシリコン、酸化ケイ素、又は関連する膜をCVD、PVD、又は同様のプロセスにより堆積させることによって形成することができる。
膜の堆積は、問題を引き起こすであろう、基板の表面内にある表面欠陥(例えば、1:6〜30:1のアスペクト比と、最大32nmの深さ及び220nmの幅を有するピット)内を充填し、平らにすることが見出された。
また、他の表面欠陥(例えば、超低膨張基板202上にある粒子、バンプ、及び他の欠陥)は、それらが引き起こす可能性のある問題を解消するために平坦化することができることが見出された。平坦化層204は、バンプを平らにする、又は10nm〜300nmの粒子を完全にカプセル化することができる。
平坦化層204は、EUVアプリケーションに対して十分な平坦な上面又は平坦化層204の下の欠陥203を有する表面よりも高い平坦性を有する平坦化層204の滑らかな上面205を提供することができることが発見された。
流動性CVD膜の場合には、EUVマスクブランク200用に超低膨張基板202上に許容できる平滑で平坦な表面を達成するために、更なる処理は必要とされなくてもよい。シリコン、酸化ケイ素、又は関連する膜に対しては、堆積後の平滑化が必要となる場合がある。この平滑化は、CMP、化学研磨、イオンビーム研磨、又はアニーリングを含むがこれらに限定されない種々の研磨方法により行うことができる。これらの平滑化技術はまた、更なる平滑化が必要とされる場合に、流動性CVD膜に適用することもできる。
本発明における平坦化層204の滑らかな上面205の平滑度は、0.5nm(ナノメートル)RMS未満とすることができることが見出された。
このように、平坦化層204は、下地の層又は基板内のピット及び/又は欠陥を充填する、下地の層又は基板の上の粒子を覆う、又は既に平坦化された下地の層又は基板を平滑化するために使用することができる。
多層スタック206は、ブラッグ反射器を形成するように、平坦化層204の上方に形成される。EUVで使用される光学系の透過性及び照明波長に起因して、反射光学系が使用され、多層スタック206は、高Z材料と低Z材料(例えば、モリブデンとシリコン)の交互の層から作ることができ、これらは反射器を形成する。
キャッピング層208は、多層スタック206の上方に形成される。キャッピング層は、ルテニウム(Ru)又はその非酸化化合物などの材料とすることができ、これによって多層スタック206を酸化、及びマスク処理中にEUVマスクブランク200を曝露させる可能性のある任意の化学エッチャントから保護するのを助ける。他の材料(例えば、窒化チタン、炭化ホウ素、窒化ケイ素、酸化ルテニウム、及び炭化ケイ素)もまた、キャッピング層208内で使用することができる。
吸収体層210は、キャッピング層208の上に配置される。吸収体層210は、EUV光の特定の周波数(約13.5nm)に対して高い吸収係数を有する材料でできており、クロム、タンタル、又はそれらの窒化物などの材料とすることができる。
反射防止コーティング(ARC)212は、吸収体層210上に堆積される。ARC212は、酸窒化タンタル又はタンタルホウ素酸化物などの材料とすることができる。
裏面チャッキング層214は、静電チャック(図示せず)上に又は静電チャックによって基板をチャッキングするために、超低膨張基板202の裏面上に形成される。
ここで、図3を参照すると、EUVマスク300がここに図示される。EUVマスク300は正方形であり、その上面上にパターン302を有する。
ここで、図4を参照すると、超低欠陥のEUVマスクブランク200を製造するための方法400がここに図示される。超低欠陥は、実質的にゼロ欠陥である。方法400は、ステップ402で供給されるガラスブランクを含む。ガラスブランクは、ステップ404で、裏面洗浄され、ステップ406で、脱ガスされ、前洗浄される。
図2の裏面チャッキング層214は、ステップ408で塗布され、ステップ410で前面洗浄が実行される。いくつかのステップ412は、周囲条件からの汚染を回避するために連続真空下を保ちながら、図1の統合化EUVマスク製造システム100内でより良好に実行される。
脱ガス及び前洗浄が、ステップ414で実行され、ステップ416で平坦化が実行される。平坦化層は、平坦化層の平坦化層補修ステップ418内で補修され、ステップ420で多層堆積が実行される。キャッピング層208は、キャッピング層ステップ422で堆積される。
その後、統合化EUVマスク製造システム100を出て、遠紫外線(DUV)/化学線検査がステップ424で実行される。オプションとして、ステップ426において、マスクブランクは洗浄され、吸収層及び反射防止コーティングが、ステップ428で堆積される。
ここで、図5を参照すると、超低欠陥のEUVマスクブランク200を製造するための別の方法500がここに図示される。超低欠陥は、実質的にゼロ欠陥である。別の方法500は、ステップ502でガラスブランクが供給されることから始まる。ガラスブランクは、ステップ504で、裏面洗浄され、ステップ506で、前面洗浄される。
いくつかのステップ508は、周囲条件からの汚染を回避するために連続真空下を保ちながら、図1の統合化EUVマスク製造システム100内でより良好に実行される。
マスクブランクは、ステップ510で脱ガスされ、前洗浄される。裏面チャッキング層214は、ステップ512で堆積され、平坦化がステップ514で行われる。平坦化層は、ステップ516で補修される。多層堆積がステップ516で実行され、キャッピング層がステップ520で塗布される。
DUV/化学線検査は、統合化EUVマスク製造システム100の内部で実行できるが、それは、ステップ522で外部でもまた実行できる。マスクブランクは、オプションとして、ステップ524で洗浄され、吸収層及び反射防止コーティングは、ステップ526で堆積させることができる。
ここで、図6を参照すると、EUVリソグラフィシステム用の光学トレイン600がここに図示される。光学トレイン600は、EUV光を生成し、それをコレクタ604内に収集するための極端紫外線光源(例えば、プラズマ源602)を有する。コレクタ604は、照明システム606の一部であるフィールドファセットミラー608に光を提供し、照明システム606は、瞳ファセットミラー610を更に含む。照明システム606は、(図1のマスクブランク104の完全に処理されたバージョンである)レチクル612にEUV光を提供し、レチクル612は、投影光学系614を介してウェハ616上にEUV光を反射する。
ここで、図7を参照すると、EUVリソグラフィシステム700がここに図示される。EUVリソグラフィシステム700は、光学トレイン600の付属物として、EUV光源領域702、レチクルステージ704、及びウェハステージ706を含む。
本発明の実施形態は、EUVブランクを平坦化及び平滑化し、これによって基板表面上のすべてのピット、欠陥及び粒子を除去し、これによって表面は、原子レベルで平坦かつ平滑となる。アイデアは、原子レベルで平坦で平滑な表面を達成するために、いかなる欠陥をも誘発することなく、その後処理することができるEUVブランク基板の表面上に欠陥のない材料を堆積させることである。図3のEUVマスク300は、EUVリソグラフィシステム700の重要な構成要素であり、EUVリソグラフィシステム700は、適切に平坦化された平坦で平滑なEUVブランク上のEUVマスク無しでその機能を実行することはできない。
第1工程は、存在する任意のピットを充填することであり、これは、流動性CVD膜である平坦化層を堆積させることによって、又はCVD、PVD、又は同様のプロセスを介して、シリコン、酸化ケイ素、又は関連する膜を堆積させることによって行うことができる。この平坦化工程はまた、粒子、バンプ、ピット、及びEUVブランク基板表面上又は表面内にある他の欠陥を埋めるだろう。流動性CVD膜の場合には、EUVブランク基板上に許容できる平滑で平坦な表面を達成するために、更なる処理は必要とされないかもしれない。
シリコン、酸化ケイ素、又は関連する膜にとって、堆積後の平滑化は、おそらく必要とされるだろう。この平滑化は、CMP、化学研磨、イオンビーム研磨、又はアニーリングを含むがこれらに限定されない多様な研磨方法によって行うことができる。これらの技術はまた、更なる平滑化が必要な場合は、流動性CVD膜に適用することもできる。
この方法の1つの利点は、基板とは独立しているので、それは、多様な基板及び様々な品質の基板上で使用することができることである。それは、EUVブランクに必要な特性を有するが、研磨後に原子レベルで平坦で滑らかな表面を有していないガラス基板を使用することを可能にする潜在力を有する。この独立性は、異なる基板サプライヤーを使用することを可能にし、サプライヤーによる基板の準備及び研磨への予想外の変更の影響を最小限に抑えることができる。
本発明の実施形態は、EUVマスクブランクの製造のために原子レベルで平坦で平滑な基板表面を提供することを主に対象としているが、原子レベルで平坦で平滑な表面を必要とする任意のアプリケーション(例えば、EUVミラー608、610、他)用に使用することができる。
別のアプローチは、多層スタックを上に成長させるために平坦な伝熱性の高い表面を用いることであろう。歴史的に、ガラスは、光学系の透過性及び使用される照明波長のために、マスク用の基板として使用される。EUVは、すべての材料によって吸収され、こうして、反射光学系が用いられる。しかしながら、反射率は100%ではなく(現在のMo/Siのスタックで70%未満)、放射線の吸収された部分は、基板を加熱する。
現在のマスクガラス基板組成物は、動作温度で、ゼロ熱膨張係数を与えるように最適化されており、これによってレジスト露光時にパターンの歪みを回避する。ガラスよりも熱伝導性の基板(例えば、金属又はシリコン)が使用されるならば、EUV露光からの熱は、冷却されたチャック内に伝達され、こうして特殊なガラスに対する必要性を除去することができる。更に、マスク基板表面は、半導体互換プロセス(例えば、上記したような(シリコン、二酸化ケイ素)層の堆積又はCMP又は両方の組み合わせを使用して平滑化させることができる。
得られた方法、プロセス、装置、デバイス、製品、及び/又はシステムは、直接的で、費用対効果が高く、複雑でなく、汎用性が高く、正確で、敏感で、かつ効果的であり、準備のできた、効率的で、経済的な、製造、応用、及び使用に対して公知の構成要素を適合させることによって実施することができる。
本発明のもう一つの重要な側面は、コストを削減し、システムを簡素化し、パフォーマンスを向上させるという歴史的傾向を有益に支持し、提供することである。
本発明のこれらの及び他の有益な側面は、その結果、技術の状態を少なくとも次のレベルに更に進める。
本発明は、特定の最良の態様に関連して説明されてきたが、多くの代替、修正、及び変形が前述の説明に照らして当業者には明らかとなるであろうことが理解されるべきである。したがって、付属の特許請求の範囲内に入るそのような代替、修正、及び変形のすべてを包含することが意図される。本明細書に記載又は添付の図面に図示されるすべての事項は、例示的かつ非限定的な意味で解釈されるべきである。

Claims (1)

  1. 真空中で基板を配置するための真空チャンバと、
    基板上に平坦化された上面を有する平坦化層を堆積させるための第1堆積システムと、
    真空から基板を除去することなく、平坦化層上に多層スタックを堆積させるための第2堆積システムを含む統合化極端紫外線ブランク製造システム。
JP2019085623A 2013-03-12 2019-04-26 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム Active JP7285682B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361778335P 2013-03-12 2013-03-12
US61/778,335 2013-03-12
US14/139,307 US9354508B2 (en) 2013-03-12 2013-12-23 Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US14/139,307 2013-12-23

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016501748A Division JP2016514288A (ja) 2013-03-12 2014-03-12 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム

Publications (2)

Publication Number Publication Date
JP2019164362A true JP2019164362A (ja) 2019-09-26
JP7285682B2 JP7285682B2 (ja) 2023-06-02

Family

ID=51525874

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016501748A Pending JP2016514288A (ja) 2013-03-12 2014-03-12 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム
JP2019085623A Active JP7285682B2 (ja) 2013-03-12 2019-04-26 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2016501748A Pending JP2016514288A (ja) 2013-03-12 2014-03-12 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム

Country Status (7)

Country Link
US (2) US9354508B2 (ja)
JP (2) JP2016514288A (ja)
KR (2) KR102060035B1 (ja)
CN (2) CN110262181A (ja)
SG (1) SG11201506465QA (ja)
TW (1) TWI589985B (ja)
WO (1) WO2014165295A1 (ja)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
US9581889B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
US10908496B2 (en) * 2016-04-25 2021-02-02 Asml Netherlands B.V. Membrane for EUV lithography
US10018919B2 (en) * 2016-05-29 2018-07-10 Kla-Tencor Corporation System and method for fabricating metrology targets oriented with an angle rotated with respect to device features
TWI821984B (zh) 2016-07-27 2023-11-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料及製造極紫外線遮罩坯料的方法
TWI730139B (zh) 2016-07-27 2021-06-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. APPARATUS AND METHODS FOR MANUFACTURING SEMICONDUCTOR STRUCTURES USING A PROTECTIVE BARRIER LAYER
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936417A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
KR102622303B1 (ko) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 고압 스팀 어닐링 프로세싱 장치
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
SG11202006237RA (en) * 2018-02-22 2020-09-29 Applied Materials Inc Method for processing a mask substrate to enable better film quality
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
TWI767070B (zh) * 2018-10-17 2022-06-11 台灣積體電路製造股份有限公司 光微影系統及清潔光微影系統的方法
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
SG11202103763QA (en) 2018-11-16 2021-05-28 Applied Materials Inc Film deposition using enhanced diffusion process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11194244B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Extreme ultraviolet mask absorber and processes for manufacture
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
US11639544B2 (en) 2019-03-01 2023-05-02 Applied Materials, Inc. Physical vapor deposition system and processes
TWI836073B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩坯體及其製造方法
US11275304B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TWI836072B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 具有嵌入吸收層之極紫外光遮罩
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11387071B2 (en) 2019-10-06 2022-07-12 Applied Materials, Inc. Multi-source ion beam etch system
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TWI836207B (zh) 2020-04-17 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202142949A (zh) * 2020-04-23 2021-11-16 美商應用材料股份有限公司 極紫外光遮罩毛胚缺陷之減少
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003501823A (ja) * 1999-06-07 2003-01-14 ザ、リージェンツ、オブ、ザ、ユニバーシティ、オブ、カリフォルニア 反射マスク基板のコーティング
JP2003084419A (ja) * 2001-09-07 2003-03-19 Seiko Epson Corp マスクデータの生成方法、マスクおよび記録媒体、ならびに半導体装置の製造方法
US20030194615A1 (en) * 2002-04-12 2003-10-16 Krauth Anthony C. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
JP2006177740A (ja) * 2004-12-22 2006-07-06 Nikon Corp 多層膜反射鏡及びeuv露光装置
JP2006310793A (ja) * 2005-04-27 2006-11-09 Asml Netherlands Bv 多層ミラー用のスペクトル純化フィルタ、このような多層ミラーを含むリソグラフィ機器、所望の放射と望ましくない放射の比を拡大する方法、及びデバイスの製作方法
JP2007023380A (ja) * 2005-07-19 2007-02-01 Applied Materials Inc ハイブリッドpvd−cvdシステム
JP2011515650A (ja) * 2007-12-20 2011-05-19 サイマー インコーポレイテッド Euv光源構成要素及びその製造、使用及び修復方法
WO2011084223A2 (en) * 2009-12-21 2011-07-14 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2011192693A (ja) * 2010-03-12 2011-09-29 Hoya Corp 多層反射膜付基板、反射型マスクブランク及びそれらの製造方法
JP2011222958A (ja) * 2010-03-25 2011-11-04 Komatsu Ltd ミラーおよび極端紫外光生成装置

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4842675A (en) 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH05335215A (ja) 1991-03-06 1993-12-17 Hitachi Ltd 反射ミラー、その作製方法及び縮小投影露光装置
DE69406963T2 (de) 1993-03-15 1998-05-20 Tsuyoshi Masumoto Hochharte Dünnschicht, sowie Verfahren zu deren Herstellung
JP3281173B2 (ja) 1993-03-15 2002-05-13 健 増本 高硬度薄膜及びその製造方法
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6228512B1 (en) 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
TWI267704B (en) 1999-07-02 2006-12-01 Asml Netherlands Bv Capping layer for EUV optical elements
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (ja) 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6610447B2 (en) 2001-03-30 2003-08-26 Intel Corporation Extreme ultraviolet mask with improved absorber
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
JP4009102B2 (ja) 2001-12-19 2007-11-14 独立行政法人科学技術振興機構 半導体特性を示すアモルファス鉄シリサイド膜とその作製方法
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US6806006B2 (en) * 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7001788B2 (en) 2003-05-29 2006-02-21 Applied Materials, Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
JP2005066781A (ja) * 2003-08-26 2005-03-17 Hoya Corp 電子デバイス用ガラス基板の製造方法及びマスクブランクスの製造方法並びに転写マスクの製造方法
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4792146B2 (ja) * 2004-02-25 2011-10-12 Hoya株式会社 マスクブランクス用ガラス基板の製造方法、マスクブランクスの製造方法、露光用マスクの製造方法、反射型マスクブランクスの製造方法、及び反射型マスクの製造方法
JP4716193B2 (ja) 2004-03-15 2011-07-06 宇部興産株式会社 β−ジケトナトを配位子とする金属錯体
JP4542807B2 (ja) 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
EP1791168A1 (en) 2004-09-17 2007-05-30 Asahi Glass Company, Limited Reflective mask blank for euv lithography and method for producing same
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
DE102005052000B3 (de) 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE112006003221T5 (de) * 2005-12-22 2008-10-23 Asahi Glass Co., Ltd. Glassubstrat für eine Maskenvorform und Polierverfahren zur Herstellung desselben
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
CN101454877B (zh) 2006-05-30 2012-07-04 应用材料公司 提高二氧化硅膜品质的新颖沉积-等离子硬化循环方法
JP4801550B2 (ja) 2006-09-26 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、及び半導体装置の製造方法
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
EP2087510A4 (en) 2006-11-27 2010-05-05 Nikon Corp OPTICAL ELEMENT, ASSOCIATED EXPOSURE UNIT AND METHOD FOR PRODUCING THE DEVICE
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
JP5369640B2 (ja) 2008-02-19 2013-12-18 旭硝子株式会社 Euvl用光学部材、およびその平滑化方法
KR101584383B1 (ko) 2008-03-31 2016-01-11 호야 가부시키가이샤 포토마스크 블랭크, 포토마스크 및 포토마스크 블랭크의 제조 방법
US7901843B2 (en) * 2008-05-16 2011-03-08 Asahi Glass Company, Limited Process for smoothing surface of glass substrate
KR101670318B1 (ko) * 2008-08-21 2016-10-28 에이에스엠엘 홀딩 엔.브이. 높은 열전도율을 갖는 euv 레티클 기판들
DE102008042212A1 (de) 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010170011A (ja) 2009-01-26 2010-08-05 Hoya Corp フォトマスクの修正方法
JP2011053566A (ja) 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
CN102019266A (zh) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 涂层材料的涂布方法
JP5716038B2 (ja) 2009-12-15 2015-05-13 カール・ツァイス・エスエムティー・ゲーエムベーハー Euvリソグラフィ用反射光学素子
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
JP5704519B2 (ja) 2010-04-02 2015-04-22 株式会社ニコン 光源装置、光学装置、露光装置、デバイス製造方法、照明方法、露光方法、および光学装置の製造方法
US8526104B2 (en) 2010-04-30 2013-09-03 Corning Incorporated Plasma ion assisted deposition of Mo/Si multilayer EUV coatings
US20120009765A1 (en) 2010-07-12 2012-01-12 Applied Materials, Inc. Compartmentalized chamber
JP5803919B2 (ja) 2010-07-27 2015-11-04 旭硝子株式会社 Euvリソグラフィ用反射層付基板、およびeuvリソグラフィ用反射型マスクブランク
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (ko) 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 액정 표시 장치 및 그 제조 방법
JP6013720B2 (ja) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
KR20130139856A (ko) 2011-03-14 2013-12-23 후지 덴키 가부시키가이샤 산화물 기판 및 그 제조 방법
US9261784B2 (en) 2011-07-08 2016-02-16 Asml Netherlands B.V. Lithographic patterning process and resists to use therein
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (ko) 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US8735252B2 (en) * 2012-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US8969932B2 (en) * 2012-12-12 2015-03-03 Globalfoundries Inc. Methods of forming a finfet semiconductor device with undoped fins
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003501823A (ja) * 1999-06-07 2003-01-14 ザ、リージェンツ、オブ、ザ、ユニバーシティ、オブ、カリフォルニア 反射マスク基板のコーティング
JP2003084419A (ja) * 2001-09-07 2003-03-19 Seiko Epson Corp マスクデータの生成方法、マスクおよび記録媒体、ならびに半導体装置の製造方法
US20030194615A1 (en) * 2002-04-12 2003-10-16 Krauth Anthony C. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
JP2006177740A (ja) * 2004-12-22 2006-07-06 Nikon Corp 多層膜反射鏡及びeuv露光装置
JP2006310793A (ja) * 2005-04-27 2006-11-09 Asml Netherlands Bv 多層ミラー用のスペクトル純化フィルタ、このような多層ミラーを含むリソグラフィ機器、所望の放射と望ましくない放射の比を拡大する方法、及びデバイスの製作方法
JP2007023380A (ja) * 2005-07-19 2007-02-01 Applied Materials Inc ハイブリッドpvd−cvdシステム
JP2011515650A (ja) * 2007-12-20 2011-05-19 サイマー インコーポレイテッド Euv光源構成要素及びその製造、使用及び修復方法
WO2011084223A2 (en) * 2009-12-21 2011-07-14 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2011192693A (ja) * 2010-03-12 2011-09-29 Hoya Corp 多層反射膜付基板、反射型マスクブランク及びそれらの製造方法
JP2011222958A (ja) * 2010-03-25 2011-11-04 Komatsu Ltd ミラーおよび極端紫外光生成装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
広瀬全孝: "高流動性プラズマCVDによる薄膜形成", 応用物理, vol. 第63巻第11号, JPN6018003582, 1994, pages 1118 - 1122, ISSN: 0004253506 *

Also Published As

Publication number Publication date
US9354508B2 (en) 2016-05-31
CN105027258A (zh) 2015-11-04
JP2016514288A (ja) 2016-05-19
US10209613B2 (en) 2019-02-19
US20160274454A1 (en) 2016-09-22
KR20150130370A (ko) 2015-11-23
TWI589985B (zh) 2017-07-01
JP7285682B2 (ja) 2023-06-02
US20140268080A1 (en) 2014-09-18
TW201443548A (zh) 2014-11-16
SG11201506465QA (en) 2015-09-29
KR20170089943A (ko) 2017-08-04
WO2014165295A1 (en) 2014-10-09
KR102060035B1 (ko) 2019-12-27
CN110262181A (zh) 2019-09-20

Similar Documents

Publication Publication Date Title
JP7285682B2 (ja) 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム
JP6420310B2 (ja) 超平滑層紫外線リソグラフィミラー及びブランク、及びそのための製造及びリソグラフィシステム
JP6889792B2 (ja) 紫外線リソグラフィ用ガラスセラミックス及びその製造方法
JP6599846B2 (ja) アモルファス層極端紫外線リソグラフィブランク及びそのための製造・リソグラフィシステム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190508

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200414

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200713

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201014

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20201104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210302

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20210302

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20210311

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20210316

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20210326

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20210330

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20210824

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20220202

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20220412

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220502

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220602

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220712

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20220712

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20221025

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230124

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230216

C302 Record of communication

Free format text: JAPANESE INTERMEDIATE CODE: C302

Effective date: 20230331

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20230404

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230523

R150 Certificate of patent or registration of utility model

Ref document number: 7285682

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150