TW201443548A - 平坦化極紫外線微影基板及其製造與微影系統 - Google Patents

平坦化極紫外線微影基板及其製造與微影系統 Download PDF

Info

Publication number
TW201443548A
TW201443548A TW103106587A TW103106587A TW201443548A TW 201443548 A TW201443548 A TW 201443548A TW 103106587 A TW103106587 A TW 103106587A TW 103106587 A TW103106587 A TW 103106587A TW 201443548 A TW201443548 A TW 201443548A
Authority
TW
Taiwan
Prior art keywords
planarization layer
substrate
top surface
extreme ultraviolet
layer
Prior art date
Application number
TW103106587A
Other languages
English (en)
Other versions
TWI589985B (zh
Inventor
Cara Beasley
Ralf Hofmann
Majeed A Foad
Timothy Michaelson
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201443548A publication Critical patent/TW201443548A/zh
Application granted granted Critical
Publication of TWI589985B publication Critical patent/TWI589985B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optical Filters (AREA)

Abstract

一種整合的極紫外線(EUV)基板生產系統,包括:用於將基材放置在真空中的真空腔室;用於在該基材上方沉積平坦化層的第一沉積系統,該平坦化層具有平坦化的頂表面;以及用於在該平坦化層上沉積多層堆疊而不需將該基材從該真空移出的第二沉積系統。該EUV基板係在EUV微影系統中,該EUV微影系統包括:極紫外線光源;用於導引來自該EUV源的光的反射鏡;用於放置具有平坦化層的EUV遮罩基板的遮罩板台;以及用於放置晶圓的晶圓台。該EUV基板包括:基材;平坦化層,用以補償與該基材之表面相關的缺陷,該平坦化層具有平的頂表面;以及該平坦化層上的多層堆疊。

Description

平坦化極紫外線微影基板及其製造與微影系統 【相關申請案的交叉引用】
本專利申請案主張於2013年3月12日提出申請的美國臨時專利申請案序號第61/778,335號的優先權權益,該申請案之標的物以引用方式併入本文中。
本申請案包含與同時於2013年12月23日提出申請的美國專利申請案序號第14/139,371號相關的標的物,該申請案之標的物以引用方式併入本文中。
本申請案包含與同時於2013年12月23日提出申請的美國專利申請案序號第14/139,415號相關的標的物,該申請案之標的物以引用方式併入本文中。
本申請案包含與同時於2013年12月23日提出申請的美國專利申請案序號第14/139,457號相關的標的物,該申請案之標的物以引用方式併入本文中。
本申請案包含與同時於2013年12月23日提出申請的美國專利申請案序號第14/139,507號相關的標的物,該申請案之標的物以引用方式併入本文中。
本發明大體而言係關於極紫外線微影基板及該極紫外線微影基板之製造與微影系統。
對於0.13微米及更小的最小特徵尺寸的半導體元件之製造而言,極紫外線微影(EUV,亦習知為軟X射線投影微影)是取代深紫外線微影的競爭者。
然而,通常在5至40奈米波長範圍中的極紫外光在幾乎所有的材料中是強吸收的。出於這個原因,極紫外線系統是藉由光的反射來運作而不是藉由光的透射。經由使用一系列的反射鏡或透鏡元件及反射元件或塗有非反射吸收遮罩圖案的遮罩基板,圖案化的光化光被反射到塗有阻劑的半導體晶圓。
極紫外線微影系統的透鏡元件和遮罩基板塗佈有材料的反射多層塗層,該材料例如鉬和矽。藉由使用塗佈有多層塗層的基材已經獲得每個透鏡元件或遮罩基板約65%的反射值,該多層塗層基本上強反射在極窄紫外線帶通內的單一波長的光;例如在12至14奈米帶通內的13奈米紫外光。
在半導體處理技術中有各種類別的缺陷會引發問題。不透明的缺陷通常是由於在多層塗層或遮罩圖案頂部上的顆粒所造成的,在應該反射時不透明的缺陷會吸收光。透明的缺陷通常是由於遮罩圖案中在多層塗層頂部上的針孔所造成的,當光應該被吸收時通過透明的缺陷光會被反射。而相缺陷通常是由於多層塗層下方的刮痕和表面變化所造成 的,相缺陷造成反射光的相變。這些相變導致光波的干涉效應,從而扭曲或改變半導體晶圓表面上的阻劑中將被曝光的圖案。由於必須用於次0.13微米最小特徵尺寸的輻射之波長較短,故從前微不足道的刮痕和表面變化現在變成無法容忍。
雖然在減少或消除顆粒缺陷上已經取得了進展,而且對於修復遮罩中的不透明和透明缺陷已經做了努力,但迄今仍尚未解決相缺陷的問題。對於深紫外線微影而言,可對表面進行處理以將相變保持在60度以下。用於極紫外線微影的類似處理則尚待開發。
對於13奈米的光化波長來說,在表面下方深度淺至3奈米的刮痕即可使反射自多層塗層的光發生180度的相變。隨著波長變短這個深度會變得更淺。同樣地,在相同的波長下,比在距離100奈米間的1奈米升高更陡峭的表面變化可能會導致類似的相變。這些相變會在半導體晶圓的表面造成相缺陷並且永久性地損壞半導體元件。
在過去,用於深紫外線微影的遮罩基板通常都是由玻璃製成的,但矽或超低熱膨脹材料已被提出作為用於極紫外線微影的替代品。不管該基板是由玻璃、矽或超低熱膨脹材料所製成,可藉由化學機械研磨、磁流變加工或離子束研磨這些製程來將遮罩基板的表面盡可能地做得光滑。在這樣的製程後留下的刮痕有時被稱為「刮-挖」痕跡,而且該痕跡的深度和寬度取決於用以研磨遮罩基板的研磨劑中的顆粒之大小。對於可見光和深紫外線微影來說,這些刮痕太小而無法在半導體晶圓上的圖案中造成相缺陷。然而,對於極紫外 線微影而言,刮-挖痕跡是重大的問題,因為刮-挖痕跡將顯現為相缺陷。
由於EUV微影所需的短照明波長,使用的圖案遮罩必須是反射型遮罩,而不是目前的微影中使用的透射遮罩。反射型遮罩是由交替的鉬和矽薄層精確堆疊所製成,從而構成布拉格折射鏡或反射鏡。由於多層堆疊的本質和小的特徵尺寸,上面沉積多層堆疊的基材表面中的任何缺陷都將被放大並影響最終的產品。尺度在幾個奈米的缺陷在完成的遮罩上會顯現為可印刷的缺陷,而且需要在沉積多層堆疊之前將該等缺陷從遮罩基板的表面去除。
常見的缺陷包括凹痕、刮痕及顆粒。常見的清洗技術去除了許多的顆粒,但也會產生新的凹痕或擴大現有的凹痕。凹痕可以來自研磨或清洗製程,或是可以來自在切割和研磨製程的過程中曝露的基材材料本身的夾雜物或瑕疵。可以使用進一步的研磨來去除表面的凹痕,但有一種風險,即新的凹痕將被曝露出或在製程中產生,從而限制單獨使用研磨來光滑化和平坦化基材表面的有用性。基材光滑化的另一種方法是雷射或電漿退火。這些技術使玻璃基材的表面薄層熔化並回流,以去除局部缺陷。問題是,該等技術在基材表面引發範圍較長的粗糙或波紋,因此無法提供EUV遮罩基板所需的基材平坦度。
鑑於電子元件的特徵尺寸越來越小的需求,日益關鍵的是找到這些問題的解決方案。鑑於不斷增加的商業競爭壓力以及成長的消費者期望,關鍵的是找到這些問題的解決 方案。此外,降低成本、改良效率和性能及滿足競爭壓力的需求對於找到這些問題的解決方案的關鍵必要性添加了更大的緊迫性。
這些問題的解決方案已被尋求許久,但先前的發展仍尚未教示或建議任何的解決方案,因此,這些問題的解決方案已經困惑精熟此項技藝者許久。
本發明之一實施例為一種整合的極紫外線(EUV)基板生產系統,包括:用於將基材放置在真空中的真空腔室;用於在該基材上方沉積平坦化層的第一沉積系統,該平坦化層具有平坦化的頂表面;以及用於在該平坦化層上沉積多層堆疊而不需將該基材從該真空移出的第二沉積系統。
本發明之一實施例為EUV微影系統,包括:極紫外線光源;用於導引來自該EUV源的光的反射鏡;用於放置具有平坦化層的EUV遮罩基板的遮罩板台;以及用於放置晶圓的晶圓台。
本發明之一實施例為EUV基板,包括:基材;平坦化層,用以補償與該基材之表面相關的缺陷,該平坦化層具有平的頂表面;以及該平坦化層上的多層堆疊。
本發明的某些實施例具有其他的步驟或元件來外加於或取代上述的那些步驟或元件。對於精熟此項技藝者而言,當參照附圖來閱讀以下的實施方式時,該等步驟或元件將變得顯而易見。
100‧‧‧整合的EUV遮罩生產系統
102‧‧‧遮罩基板裝載和載體處理系統
104‧‧‧遮罩基板
106‧‧‧氣鎖室
108‧‧‧晶圓傳送系統真空腔室
110‧‧‧第一真空腔室
112‧‧‧第二真空腔室
114‧‧‧第一晶圓傳送系統
116‧‧‧第二晶圓傳送系統
118‧‧‧除氣系統
120‧‧‧第一物理氣相沉積系統
122‧‧‧第二物理氣相沉積系統
124‧‧‧預清洗系統
126‧‧‧第一多陰極源
128‧‧‧可流動化學氣相沉積(FCVD)系統
130‧‧‧固化系統
132‧‧‧第二多陰極源
134‧‧‧晶圓
136‧‧‧晶圓
200‧‧‧EUV遮罩基板
202‧‧‧超低熱膨脹基材
203‧‧‧缺陷
204‧‧‧平坦化層
205‧‧‧光滑頂表面
206‧‧‧多層堆疊
208‧‧‧覆蓋層
210‧‧‧吸收層
212‧‧‧抗反射塗層(ARC)
214‧‧‧背側夾持層
300‧‧‧EUV遮罩
302‧‧‧圖案
400‧‧‧方法
402-428‧‧‧步驟
500‧‧‧替代方法
502-526‧‧‧步驟
600‧‧‧光具組
602‧‧‧電漿源
604‧‧‧收集器
606‧‧‧照明器系統
608‧‧‧場面反射鏡
610‧‧‧瞳面反射鏡
612‧‧‧遮罩板
614‧‧‧投影光學元件
616‧‧‧晶圓
700‧‧‧EUV微影系統
702‧‧‧EUV光源區
704‧‧‧遮罩板台
706‧‧‧晶圓台
第1圖為整合的極紫外線(EUV)遮罩生產系統。
第2圖為依據本發明之實施例的EUV遮罩基板。
第3圖為EUV遮罩。
第4圖為使用超低缺陷製作EUV遮罩基板的方法。
第5圖為使用超低缺陷製作EUV遮罩基板的替代方法。
第6圖為用於EUV微影系統的光具組。
第7圖為EUV微影系統。
以下的實施例被描述地足夠詳細,以使精熟此項技藝者能夠製作和使用本發明。應當理解的是,基於本揭示,其他的實施例會是明顯的,而且在不偏離本發明的範圍下可以做出系統、製程或機械上的變化。
在以下的描述中,給出許多的具體細節來提供對本發明的徹底瞭解。然而,將顯而易見的是,本發明可以在沒有這些具體細節的情況下實施。為了避免模糊本發明,並未詳細揭示一些眾所周知的電路、系統配置及製程步驟。
圖示系統實施例的圖式是半示意性的,不是依照比例的,特別是某些尺寸是為了呈現的清晰度,而且在圖示中是被放大顯示。同樣地,雖然圖式中的視圖為了便於說明通常顯示出類似的方向,但圖示中大部分的描繪是任意的。一般來說,本發明可以在任何方向進行操作。
當多個實施例被揭示和描述為具有一些共同的特徵時,為了說明、描述及理解的清晰度和簡便,將使用類似的 元件符號來描述類似的和相像的特徵。
為了說明的目的,本文中使用的術語「水平」被定義為平行於遮罩基板的平面或表面的平面,不管方向為何。術語「垂直」係指垂直於方才定義的水平的方向。諸如「上方」、「下方」、「底部」、「頂部」、「側」(如在「側壁」中)、「較高」、「較低」、「上部」、「上方」及「下方」等術語係相對於水平平面所定義的,如圖式所示。術語「上」表示元件之間有直接的接觸。
本文中使用的術語「處理」包括材料或光阻的沉積、形成所述結構時所需的材料或光阻的圖案化、曝光、顯影、蝕刻、清洗及/或移除。
本發明的實施例藉由CVD、PVD、ALD及可流動的CVD使用各種已建立的技術來沉積矽、氧化矽及具有相容的熱膨脹係數的相關薄膜,以填補凹痕並掩埋缺陷。一旦沉積了,薄膜的表面對於進一步的多層堆疊沉積可以是足夠光滑和平坦的,或是之後可以使用各種已建立的光滑化或研磨技術來進一步光滑化薄膜的表面,該技術包括CMP、退火或離子束研磨。
現在參照第1圖,其中圖示整合的極紫外線(EUV)遮罩生產系統100。整合的EUV遮罩生產系統100包括遮罩基板裝載和載體處理系統102,其中遮罩基板104被載入遮罩基板裝載和載體處理系統102中。氣鎖室106提供到晶圓傳送系統真空腔室108的進出。在圖示的實施例中,晶圓傳送系統真空腔室108包含兩個真空腔室,第一真空腔室110和 第二真空腔室112。在第一真空腔室110內的是第一晶圓傳送系統114,並且在第二真空腔室112中的是第二晶圓傳送系統116。
晶圓傳送系統真空腔室108具有複數個圍繞其周邊的端口,用於附接各種其他的系統。第一真空腔室110具有除氣系統118、第一物理氣相沉積系統120、第二物理氣相沉積系統122及預清洗系統124。
第二真空腔室112具有連接的第一多陰極源126、可流動化學氣相沉積(FCVD)系統128、固化系統130及第二多陰極源132。
第一晶圓傳送系統114能夠在氣鎖室106和圍繞第一真空腔室110周邊的各個系統之間移動晶圓,例如晶圓134,並以連續真空通過狹縫閥。第二晶圓傳送系統116能夠在第二真空腔室112周圍移動晶圓,例如晶圓136,同時將晶圓保持在連續真空中。
已經發現的是,整合的EUV遮罩生產系統100提供了製造EUV遮罩基板的理想環境。
現在參照第2圖,其中圖示依據本發明之實施例的EUV遮罩基板200。EUV遮罩基板200具有由玻璃、矽或其他超低熱膨脹材料製成的超低熱膨脹基材202。超低熱膨脹材料包括熔融矽石、熔融石英、氟化鈣、碳化矽、氧化矽-氧化鈦合金或具有這些材料範圍內的熱膨脹係數的其他材料。
超低膨脹基材202的頂表面具有缺陷203,諸如由使用研磨劑的化學機械研磨(CMP)造成的凸起、凹痕及顆 粒。這樣的製程所留下的刮痕有時被稱為「凹痕」及/或「刮挖」痕跡,而且該等刮痕之深度和寬度取決於使用來研磨EUV遮罩基板200的研磨劑中的顆粒之大小。
已經發現的是,在EUV遮罩基板200中的凹痕和刮痕缺陷(通常稱為凹痕)可以藉由沉積平坦化層204而被填充消除。平坦化層204或可流動的膜可以藉由沉積可流動的CVD膜或藉由CVD、PVD或類似的製程沉積厚度範圍在100Å至10,000Å的矽、氧化矽或相關的膜來形成。
已經發現的是,薄膜的沉積填充了基材表面中的表面缺陷並使表面缺陷趨於平整,例如深寬比為1:6至30:1並高達32nm深和220nm寬的凹痕,該凹痕將導致問題產生。
同時,已經發現的是,其他的表面缺陷,例如在超低膨脹基材202上的顆粒、凸塊和其他缺陷可以被平坦化,以消除該等表面缺陷可能導致的任何問題。平坦化層204可以使凸塊平整或完全包封從10nm到300nm的顆粒。
已經發現的是,平坦化層204可以提供足夠用於EUV應用的平坦頂表面或平坦化層204的光滑頂表面205,光滑頂表面205具有比平坦化層204下方具有缺陷203的表面更高的平坦度。
在可流動CVD膜的情況下,對於EUV遮罩基板200可能不需要進一步的處理來在超低膨脹基材202上實現可接受光滑的平坦表面。對於矽、氧化矽或相關的薄膜,沉積後的光滑化可能是需要的。此光滑化可以藉由各種研磨方法來進行,該等研磨方法包括但不限於CMP、化學研磨、離子束 研磨或退火。假使需要進一步進行光滑化,則這些光滑化技術也可以被應用到可流動的CVD膜。
已經發現的是,在本發明中平坦化層204的光滑頂表面205之光滑度可以低於0.5nm(奈米)RMS。
因此,平坦化層204可被用於填充下層或基材中的凹痕及/或缺陷、覆蓋下層或基板頂部上的顆粒、或光滑化已經被平坦化的下層或基材。
多層堆疊206在平坦化層204的上方形成,以形成布拉格反射器。由於光學元件的透射本質及EUV使用的照明波長,故使用了反射型光學元件,並且多層堆疊206可以由交替的高Z和低Z材料層所製成,例如鉬和矽,從而形成反射器。
覆蓋層208形成在多層堆疊206上方。覆蓋層可以是諸如釕(Ru)或釕之非氧化化合物的材料,以有助於保護多層堆疊206不受EUV遮罩基板200在遮罩處理的過程中可能接觸的氧化和任何化學蝕刻劑侵蝕。其他的材料,例如氮化鈦、碳化硼、氮化矽、氧化釕和碳化矽也可被用於覆蓋層208中。
吸收層210被放置在覆蓋層208上。吸收層210是由對特定頻率的EUV光(約13.5nm)具有高吸收係數的材料所製成,而且可以是例如鉻、鉭或鉻和鉭之氮化物的材料。
抗反射塗層(ARC)212被沉積在吸收層210上。ARC 212可以由諸如氧氮化鉭或鉭硼氧化物的材料所製成。
背側夾持層214形成於超低膨脹基材202的後表面 上,用於將基材夾持於靜電夾盤(未圖示)上或用靜電夾盤夾持基材。
現在參照第3圖,其中圖示EUV遮罩300。EUV遮罩300是方形的,並在頂表面上具有圖案302。
現在參照第4圖,其中圖示用於製作具有超少缺陷的EUV遮罩基板200的方法400。超少缺陷基本上是零缺陷。方法400包括在步驟402供應玻璃基板。玻璃基板在步驟404進行背側清洗,並在步驟406中進行除氣和預清洗。
在步驟408施加第2圖的背側夾持層214,並在步驟410中進行前側清洗。一些步驟412較好是在第1圖的整合EUV遮罩生產系統100中同時在連續真空下進行,以避免來自環境條件的污染。
在步驟414進行除氣和預清洗,而且在步驟416進行平坦化。在平坦化層固化步驟418中固化平坦化層,而且在步驟420進行多層沉積。覆蓋層208是在覆蓋層步驟422中沉積。
之後退出整合EUV遮罩生產系統100,在步驟424進行深紫外線(DUV)/光化性檢查,在步驟426中選擇性地清洗遮罩基板,以及在步驟428沉積吸收層和抗反射塗層。
現在參照第5圖,其中圖示製作具有超少缺陷的EUV遮罩基板200的替代方法500。超少缺陷基本上是零缺陷。替代方法500開始於在步驟502中供應玻璃基板。玻璃基板在步驟504進行背側清洗以及在步驟506進行前側清洗。
一些步驟508較好是在第1圖的整合EUV遮罩生產 系統100中同時在連續真空下進行,以避免來自環境條件的污染。
玻璃基板在步驟510進行除氣和預清洗。在步驟512沉積背側夾持層214,並且在步驟514進行平坦化。在步驟516固化平坦化層。在步驟518進行多層沉積,並且在步驟520中施加覆蓋層。
雖然DUV/光化性檢查可以在整合EUV遮罩生產系統100內部進行,但在步驟522中DUV/光化性檢查也可以在整合EUV遮罩生產系統100的外部進行。在步驟524中選擇性地清洗遮罩基板,而且可以在步驟526沉積吸收層和抗反射塗層。
現在參照第6圖,其中圖示用於EUV微影系統的光具組600。光具組600具有極紫外光源,例如電漿源602,用於產生EUV光並將EUV光收集於收集器604。收集器604將光提供到場面反射鏡608,場面反射鏡608是照明器系統606的一部分,照明器系統606還包括瞳面反射鏡610。照明器系統606提供EUV光至遮罩板612(此為第1圖的遮罩基板104經完整處理的版本),遮罩板612將EUV光反射通過投影光學元件614並到達晶圓616上。
現在參照第7圖,其中圖示EUV微影系統700。EUV微影系統700包括EUV光源區702、遮罩板台704及晶圓台706附屬於光具組600。
本發明的實施例將EUV基板平坦化和光滑化,以便去除基材表面上的所有凹痕、缺陷及顆粒,使得表面為原子 級平的和光滑的。這個想法是在EUV基板基材的表面上沉積無缺陷的材料,然後該EUV空白基板可以進行處理而不會誘生任何缺陷,以實現原子級平的和光滑的表面。第3圖的EUV遮罩300是EUV微影系統700的關鍵元件,而且若沒有在適當平坦化的、平的及光滑的EUV基板上的EUV遮罩,則EUV微影系統700無法發揮功能。
第一步驟是填補所有存在的凹痕;這可以藉由沉積平坦化層或藉由CVD、PVD或類似的製程沉積矽、氧化矽或相關的膜來完成,該平坦化層是可流動的CVD膜。這個平坦化步驟也將掩埋顆粒、凸塊、凹痕及其他在EUV基板基材表面上或內的缺陷。在可流動CVD膜的情況下,可能不需要進一步的處理來在EUV基板基材上實現可接受光滑的、平的表面。
對於矽、氧化矽或相關的膜來說,沉積後的光滑化將很可能是必要的。此光滑化可以藉由各種研磨方法來完成,該等研磨方法包括但不限於CMP、化學研磨、離子束研磨或退火。假使需要進一步的光滑化,也可以將這些技術應用於可流動的CVD膜。
這種方法的一個優點在於該方法是基材獨立的,所以可被用於各種的基材和基材品質上。該方法具有使使用具有EUV基板所需性質但在研磨後不具有原子級平的光滑表面的玻璃基材成為可能的潛能。這種獨立性使得使用不同的基材供應商成為可能,並最少化供應商在製備和研磨基材時意外的變化所帶來的影響。
本發明的實施例主要目標在於提供原子級平的光滑基材表面,用於製造EUV遮罩基板,但本發明也可被用於任何需要原子級平的光滑表面的應用,例如EUV反射鏡608、610等。
另一種方法會是使用平的高熱傳導表面來在上面生長多層堆疊。歷史上,由於光學元件的透射本質和所使用的照明波長,玻璃被用來作為遮罩用基材。EUV會被所有的材料吸收,因此使用了反射式光學元件。然而,反射率並非100%(目前的Mo/Si疊層是<70%),而且吸收的輻射部分將會加熱基材。
將目前的遮罩玻璃基材組成最適化,以給出在操作溫度下為零的熱膨脹係數,以避免阻劑曝光期間的圖案扭曲。假使使用了比玻璃更導熱的基材,例如金屬或矽,則來自EUV曝光的熱可以被轉移到冷卻的夾盤,從而免除了特製玻璃的需求。此外,可以使用半導體相容製程來光滑化遮罩基材表面,例如沉積諸如上述(矽、二氧化矽)的層或藉由CMP或上述兩者之組合。
所得到的方法、製程、設備、裝置、產品及/或系統是直接的、具有成本效益的、不複雜的、高度通用的、準確的、靈敏的及有效的,而且可以採用習知的元件來實現,以用於立即、有效及經濟的製造、應用及使用。
本發明的另一個重要態樣在於本發明有價值地支持和服務了降低成本、簡化系統及增加性能的歷史趨勢。
結果,本發明的這些和其他有價值的態樣將技術狀 態推動到至少下一個水平。
雖然已經結合了具體的最佳模式來描述本發明,但是應當瞭解的是,鑑於前面的描述,許多的替換、修改和變化對於精熟此項技藝者而言將是顯而易見的。因此,意圖包括所有落入隨附申請專利範圍之範圍內的這種替換、修改和變化。所有本文中迄今闡述的或附圖中圖示的事項皆應被以說明性的和非限制性的意義解讀。
100‧‧‧整合的EUV遮罩生產系統
102‧‧‧遮罩基板裝載和載體處理系統
104‧‧‧遮罩基板
106‧‧‧氣鎖室
108‧‧‧晶圓傳送系統真空腔室
110‧‧‧第一真空腔室
112‧‧‧第二真空腔室
114‧‧‧第一晶圓傳送系統
116‧‧‧第二晶圓傳送系統
118‧‧‧除氣系統
120‧‧‧第一物理氣相沉積系統
122‧‧‧第二物理氣相沉積系統
124‧‧‧預清洗系統
126‧‧‧第一多陰極源
128‧‧‧可流動化學氣相沉積(FCVD)系統
130‧‧‧固化系統
132‧‧‧第二多陰極源
134‧‧‧晶圓
136‧‧‧晶圓

Claims (32)

  1. 一種整合的極紫外線基板生產系統,包含:一真空腔室,用於將一基材放置在一真空中;一第一沉積系統,用於在該基材上方沉積一平坦化層,該平坦化層具有一平坦化的頂表面;以及一第二沉積系統,用於在該平坦化層上沉積一多層堆疊,不需將該基材從該真空移出。
  2. 如請求項1所述之系統,其中該第一沉積系統係用以沉積一可流動氣相沉積膜之該平坦化層,以形成該平坦化層之一頂表面,該頂表面具有比該平坦化層下方的一表面更大的平坦度。
  3. 如請求項1所述之系統,其中該第一沉積系統係用以沉積該平坦化層,以填補在該平坦化層之一頂表面下方的一表面上的一凹痕缺陷,以提供該平坦化層之一光滑頂表面。
  4. 如請求項1所述之系統,其中該第一沉積系統係用以沉積該平坦化層,以包封在該平坦化層下方的一表面上的一顆粒,以提供該平坦化層之一光滑頂表面。
  5. 如請求項1所述之系統,其中該第一沉積系統係用以沉積該平坦化層,以填補在該平坦化層下方的一表面中的一凹痕,該凹痕具有一從1:6至30:1的深寬比,以提供該平坦化 層之一光滑頂表面。
  6. 如請求項1所述之系統,其中沉積該平坦化層在該平坦化層下方的一表面上平整一凸塊或包封一高度從10nm至30nm的顆粒,以提供該平坦化層之一光滑頂表面。
  7. 如請求項1所述之系統,其中該第一沉積系統係用以沉積該平坦化層,該平坦化層被平坦化成具有一在0.5nm RMS以下的表面光滑度。
  8. 如請求項1所述之系統,其中該第二沉積系統係用以在該平坦化層上方沉積該多層堆疊,以形成一極紫外線遮罩基板。
  9. 如請求項1所述之系統,其中該第二沉積系統係用以在該平坦化層上方沉積該多層堆疊,以形成一極紫外線反射鏡。
  10. 一種極紫外線微影系統,包含:一極紫外線光源,例如一電漿源;一極紫外線反射鏡,用於導引來自該極紫外線光源的光;一遮罩板台,用於放置一極紫外線遮罩基板,該極紫外線遮罩基板用以接收來自該極紫外線反射鏡的極紫外光,該極紫外線遮罩基板包括一平坦化層;以及一晶圓台,用於放置一晶圓。
  11. 如請求項10所述之系統,其中該極紫外線遮罩基板具有一可流動化學氣相沉積膜之該平坦化層,該平坦化層具有比該平坦化層下方的一表面更大的平坦度。
  12. 如請求項10所述之系統,其中該極紫外線遮罩基板具有該平坦化層,以填補在該平坦化層之一頂表面下方的一表面上的一凹痕缺陷,以提供該平坦化層之一光滑頂表面。
  13. 如請求項10所述之系統,其中該極紫外線遮罩基板具有該平坦化層,以包封在該平坦化層下方的一表面上的一顆粒。
  14. 如請求項10所述之系統,其中該極紫外線遮罩基板具有該平坦化層,以填補在該平坦化層下方的一表面中的一凹痕,該凹痕具有一從1:6至30:1的深寬比,以提供該平坦化層之一光滑頂表面。
  15. 如請求項10所述之系統,其中該極紫外線遮罩基板具有該平坦化層,以在該平坦化層下方的一表面上覆蓋一凸塊或包封一高度從10nm至300nm的顆粒,以提供該平坦化層之一光滑頂表面。
  16. 如請求項10所述之系統,其中該極紫外線遮罩基板具有該平坦化層,該平坦化層被平坦化成具有一在0.5nm RMS以 下的表面光滑度。
  17. 如請求項10所述之系統,其中該反射鏡具有一反射鏡平坦化層,以填補在該平坦化層下方的一表面中的一凹痕,該凹痕具有一從6:1至30:1的深寬比,以提供該平坦化層之一光滑頂表面。
  18. 如請求項10所述之系統,其中該反射鏡具有一反射鏡平坦化層,以在該平坦化層下方的一表面上包封一高度從10nm至300nm的顆粒,以提供該平坦化層之一光滑頂表面。
  19. 如請求項10所述之系統,其中該反射鏡具有該平坦化層,該平坦化層被平坦化成具有一在0.5nm RMS以下的表面光滑度。
  20. 一種極紫外線基板,包含:一基材;一平坦化層,用以補償與該基材之表面相關的缺陷,該平坦化層具有一平的頂表面;以及一多層堆疊,該多層堆疊在該平坦化層上。
  21. 如請求項20所述之基板,其中該平坦化層形成一可流動化學氣相沉積膜之該平坦化層之一頂表面,該頂表面具有比該平坦化層下方具有缺陷的一表面更大的平坦度。
  22. 如請求項20所述之基板,其中該平坦化層被形成至一在100Å至10,000Å範圍中的厚度。
  23. 如請求項20所述之基板,其中該平坦化層填補在該平坦化層之一頂表面下方的一表面上的一凹痕缺陷。
  24. 如請求項20所述之基板,其中該平坦化層包封在該平坦化層下方的一表面上的一顆粒。
  25. 如請求項20所述之基板,其中該平坦化層填補在該平坦化層下方的一表面中的一凹痕,該凹痕具有一從6:1至30:1的深寬比,以提供該平坦化層之一光滑頂表面。
  26. 如請求項20所述之基板,其中該平坦化層包封在該平坦化層下方的一表面上高度從10nm至300nm的一顆粒,以提供該平坦化層之一光滑頂表面。
  27. 如請求項20所述之基板,其中該平坦化層覆蓋在該平坦化層下方的一表面上高度從10nm至300nm的一凸塊,以提供該平坦化層之一光滑頂表面。
  28. 如請求項20所述之基板,其中該平坦化層被平坦化成具有一在0.5nm RMS以下的表面光滑度。
  29. 如請求項20所述之基板,其中該多層堆疊形成一極紫外線遮罩基板。
  30. 如請求項20所述之基板,其中該多層堆疊形成一極紫外線反射鏡。
  31. 如請求項20所述之基板,其中該基材係由一超低熱膨脹材料所製成。
  32. 如請求項20所述之基板,其中該基材為玻璃。
TW103106587A 2013-03-12 2014-02-26 平坦化極紫外線微影基板及其製造與微影系統 TWI589985B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361778335P 2013-03-12 2013-03-12
US14/139,307 US9354508B2 (en) 2013-03-12 2013-12-23 Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Publications (2)

Publication Number Publication Date
TW201443548A true TW201443548A (zh) 2014-11-16
TWI589985B TWI589985B (zh) 2017-07-01

Family

ID=51525874

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103106587A TWI589985B (zh) 2013-03-12 2014-02-26 平坦化極紫外線微影基板及其製造與微影系統

Country Status (7)

Country Link
US (2) US9354508B2 (zh)
JP (2) JP2016514288A (zh)
KR (2) KR102060035B1 (zh)
CN (2) CN110262181A (zh)
SG (1) SG11201506465QA (zh)
TW (1) TWI589985B (zh)
WO (1) WO2014165295A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI738787B (zh) * 2016-05-29 2021-09-11 美商克萊譚克公司 微影系統,圖案遮罩,及用於設計一計量標靶圖案遮罩之方法

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
US9581889B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
US10908496B2 (en) * 2016-04-25 2021-02-02 Asml Netherlands B.V. Membrane for EUV lithography
TWI730139B (zh) 2016-07-27 2021-06-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
TWI763686B (zh) 2016-07-27 2022-05-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料、製造極紫外線遮罩坯料的方法以及極紫外線遮罩坯料生產系統
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
KR102539390B1 (ko) * 2018-02-22 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 더 양호한 막 품질을 가능하게 하기 위해 마스크 기판을 처리하기 위한 방법
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
TWI767070B (zh) * 2018-10-17 2022-06-11 台灣積體電路製造股份有限公司 光微影系統及清潔光微影系統的方法
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11194244B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Extreme ultraviolet mask absorber and processes for manufacture
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
US11639544B2 (en) 2019-03-01 2023-05-02 Applied Materials, Inc. Physical vapor deposition system and processes
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TWI836072B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 具有嵌入吸收層之極紫外光遮罩
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11275304B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TWI836073B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩坯體及其製造方法
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11387071B2 (en) 2019-10-06 2022-07-12 Applied Materials, Inc. Multi-source ion beam etch system
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TWI836207B (zh) 2020-04-17 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202142949A (zh) * 2020-04-23 2021-11-16 美商應用材料股份有限公司 極紫外光遮罩毛胚缺陷之減少
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4842675A (en) 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH05335215A (ja) 1991-03-06 1993-12-17 Hitachi Ltd 反射ミラー、その作製方法及び縮小投影露光装置
EP0616046B1 (en) 1993-03-15 1997-11-26 Ykk Corporation Highly hard thin film and method for production thereof
JP3281173B2 (ja) 1993-03-15 2002-05-13 健 増本 高硬度薄膜及びその製造方法
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6228512B1 (en) 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
KR100805360B1 (ko) 1999-06-07 2008-02-20 더 리전트 오브 더 유니버시티 오브 캘리포니아 코팅층을 갖는 반사 마스크 기판
TWI267704B (en) 1999-07-02 2006-12-01 Asml Netherlands Bv Capping layer for EUV optical elements
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (ja) 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6610447B2 (en) 2001-03-30 2003-08-26 Intel Corporation Extreme ultraviolet mask with improved absorber
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
JP3454259B2 (ja) 2001-09-07 2003-10-06 セイコーエプソン株式会社 マスクデータの生成方法、マスクおよび記録媒体、ならびに半導体装置の製造方法
JP4009102B2 (ja) 2001-12-19 2007-11-14 独立行政法人科学技術振興機構 半導体特性を示すアモルファス鉄シリサイド膜とその作製方法
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) * 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7001788B2 (en) 2003-05-29 2006-02-21 Applied Materials, Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
JP2005066781A (ja) * 2003-08-26 2005-03-17 Hoya Corp 電子デバイス用ガラス基板の製造方法及びマスクブランクスの製造方法並びに転写マスクの製造方法
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4792146B2 (ja) * 2004-02-25 2011-10-12 Hoya株式会社 マスクブランクス用ガラス基板の製造方法、マスクブランクスの製造方法、露光用マスクの製造方法、反射型マスクブランクスの製造方法、及び反射型マスクの製造方法
CN1953953B (zh) 2004-03-15 2010-12-22 宇部兴产株式会社 包含β-酮酸基配体的金属络合物
JP4542807B2 (ja) 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
JPWO2006030627A1 (ja) 2004-09-17 2008-05-08 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクスおよびその製造方法
JP2006177740A (ja) * 2004-12-22 2006-07-06 Nikon Corp 多層膜反射鏡及びeuv露光装置
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) * 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
DE102005052000B3 (de) 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
WO2007072890A1 (en) * 2005-12-22 2007-06-28 Asahi Glass Co., Ltd. Glass substrate for mask blank and method of polishing for producing the same
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP5225268B2 (ja) 2006-05-30 2013-07-03 アプライド マテリアルズ インコーポレイテッド 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
JP4801550B2 (ja) 2006-09-26 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、及び半導体装置の製造方法
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
WO2008065821A1 (fr) 2006-11-27 2008-06-05 Nikon Corporation Elément optique, unité d'exposition associée et procédé de production du dispositif
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7960701B2 (en) * 2007-12-20 2011-06-14 Cymer, Inc. EUV light source components and methods for producing, using and refurbishing same
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
JP5369640B2 (ja) 2008-02-19 2013-12-18 旭硝子株式会社 Euvl用光学部材、およびその平滑化方法
TWI457696B (zh) 2008-03-31 2014-10-21 Hoya Corp 空白光罩、光罩及空白光罩之製造方法
US7901843B2 (en) * 2008-05-16 2011-03-08 Asahi Glass Company, Limited Process for smoothing surface of glass substrate
CN102132209B (zh) * 2008-08-21 2014-07-16 Asml控股股份有限公司 具有高热传导率的euv掩模版基底
DE102008042212A1 (de) 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010170011A (ja) 2009-01-26 2010-08-05 Hoya Corp フォトマスクの修正方法
JP2011053566A (ja) 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
CN102019266A (zh) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 涂层材料的涂布方法
WO2011073157A1 (en) 2009-12-15 2011-06-23 Carl Zeiss Smt Gmbh Reflective optical element for euv lithography
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP5559948B2 (ja) * 2010-03-12 2014-07-23 Hoya株式会社 多層反射膜付基板の製造方法および反射型マスクブランクの製造方法
JP2011222958A (ja) * 2010-03-25 2011-11-04 Komatsu Ltd ミラーおよび極端紫外光生成装置
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
KR101944655B1 (ko) 2010-04-02 2019-01-31 가부시키가이샤 니콘 조명 광학계, 광학 장치, 노광 방법 및 디바이스 제조 방법
US8526104B2 (en) 2010-04-30 2013-09-03 Corning Incorporated Plasma ion assisted deposition of Mo/Si multilayer EUV coatings
WO2012009371A2 (en) 2010-07-12 2012-01-19 Applied Materials, Inc. Compartmentalized chamber
WO2012014904A1 (ja) 2010-07-27 2012-02-02 旭硝子株式会社 Euvリソグラフィ用反射層付基板、およびeuvリソグラフィ用反射型マスクブランク
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (ko) 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 액정 표시 장치 및 그 제조 방법
JP6013720B2 (ja) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
WO2012124506A1 (ja) 2011-03-14 2012-09-20 富士電機株式会社 酸化物基板およびその製造方法
EP2729844B1 (en) 2011-07-08 2021-07-28 ASML Netherlands B.V. Lithographic patterning process and resists to use therein
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (ko) 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US8735252B2 (en) * 2012-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US8969932B2 (en) * 2012-12-12 2015-03-03 Globalfoundries Inc. Methods of forming a finfet semiconductor device with undoped fins
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI738787B (zh) * 2016-05-29 2021-09-11 美商克萊譚克公司 微影系統,圖案遮罩,及用於設計一計量標靶圖案遮罩之方法

Also Published As

Publication number Publication date
KR20170089943A (ko) 2017-08-04
KR20150130370A (ko) 2015-11-23
KR102060035B1 (ko) 2019-12-27
US20160274454A1 (en) 2016-09-22
CN110262181A (zh) 2019-09-20
JP2019164362A (ja) 2019-09-26
US20140268080A1 (en) 2014-09-18
CN105027258A (zh) 2015-11-04
JP7285682B2 (ja) 2023-06-02
JP2016514288A (ja) 2016-05-19
US9354508B2 (en) 2016-05-31
SG11201506465QA (en) 2015-09-29
WO2014165295A1 (en) 2014-10-09
US10209613B2 (en) 2019-02-19
TWI589985B (zh) 2017-07-01

Similar Documents

Publication Publication Date Title
TWI589985B (zh) 平坦化極紫外線微影基板及其製造與微影系統
JP6889792B2 (ja) 紫外線リソグラフィ用ガラスセラミックス及びその製造方法
TWI631411B (zh) 超平滑層紫外線微影術鏡及基板與其製造及微影術系統
TWI609231B (zh) 非晶層極紫外光微影術空白板以及用於製造該空白板的方法與微影術系統
TWI623054B (zh) 極限紫外線微影遮罩坯料製造系統及用於該製造系統之操作方法