JP6889792B2 - 紫外線リソグラフィ用ガラスセラミックス及びその製造方法 - Google Patents

紫外線リソグラフィ用ガラスセラミックス及びその製造方法 Download PDF

Info

Publication number
JP6889792B2
JP6889792B2 JP2020067278A JP2020067278A JP6889792B2 JP 6889792 B2 JP6889792 B2 JP 6889792B2 JP 2020067278 A JP2020067278 A JP 2020067278A JP 2020067278 A JP2020067278 A JP 2020067278A JP 6889792 B2 JP6889792 B2 JP 6889792B2
Authority
JP
Japan
Prior art keywords
glass
layer
flattening layer
ceramic substrate
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020067278A
Other languages
English (en)
Other versions
JP2020117435A (ja
Inventor
ラルフ ホフマン
ラルフ ホフマン
マジード エー フォード
マジード エー フォード
カラ ビースリー
カラ ビースリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020117435A publication Critical patent/JP2020117435A/ja
Application granted granted Critical
Publication of JP6889792B2 publication Critical patent/JP6889792B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C10/00Devitrified glass ceramics, i.e. glass ceramics having a crystalline phase dispersed in a glassy phase and constituting at least 50% by weight of the total composition
    • C03C10/0018Devitrified glass ceramics, i.e. glass ceramics having a crystalline phase dispersed in a glassy phase and constituting at least 50% by weight of the total composition containing SiO2, Al2O3 and monovalent metal oxide as main constituents
    • C03C10/0027Devitrified glass ceramics, i.e. glass ceramics having a crystalline phase dispersed in a glassy phase and constituting at least 50% by weight of the total composition containing SiO2, Al2O3 and monovalent metal oxide as main constituents containing SiO2, Al2O3, Li2O as main constituents
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • C03C17/23Oxides
    • C03C17/245Oxides by deposition from the vapour phase
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/20Materials for coating a single layer on glass
    • C03C2217/21Oxides
    • C03C2217/213SiO2
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • C03C2218/1525Deposition methods from the vapour phase by cvd by atmospheric CVD
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/32After-treatment

Description

関連出願への相互参照
本出願は、2013年12月22日出願された米国仮特許出願第61/919,780号の利益を主張し、その主題は参照により本明細書に援用される。
本発明は、概して、極端紫外線リソグラフィシステム用ガラスセラミックスに関する。
背景
極端紫外線リソグラフィ(EUVL、軟X線投影リソグラフィとしても知られている)は、0.13ミクロン及びそれよりも小さい最小フィーチャーサイズの半導体デバイスの製造のための遠紫外線リソグラフィに代わる候補である。
しかしながら、概して5〜40ナノメートルの波長範囲内にある極端紫外光は、実質的に全ての材料に強く吸収される。そのため、極端紫外線(EUV)システムは、光の透過によってではなく、反射によって動作する。一連のミラー、又はレンズ要素、及び反射要素、又は非反射吸収体マスクパターンでコーティングされたマスクブランクの使用を介して、パターニングされた化学光は、レジストがコーティングされた半導体ウェハ上へ反射される。
極端紫外線リソグラフィシステムのレンズ要素及びマスクブランクは、多層反射コーティング材料(例えば、モリブデンとシリコン)でコーティングされる。EUV光を強く反射するコーティングを有する基板を使用することによって、レンズ要素又はマスクブランク毎に約65%の反射値が得られている。
マスク内に問題を引き起こす半導体処理技術には、様々なクラスの欠陥がある。不透明欠陥は、典型的には、多層コーティングの最上部又はマスクパターン上の粒子によって引き起こされ、光を反射すべきときに、光を吸収する。透明欠陥は、典型的には、多層コーティングの最上部の上のマスクパターン内のピンホールによって引き起こされ、光が吸収されるべきときに、光が反射される。位相欠陥は、典型的には、多層コーティングの下の傷及び表面変動(うねり)によって引き起こされ、反射光の位相遷移を引き起こす。
これらの位相遷移は、半導体ウェハの表面上のレジスト内に露光されるパターンを歪ませる、又は変える光波干渉効果をもたらす。粒子欠陥の低減又は除去において進歩がなされてきて、マスク内の不透明欠陥及び透明欠陥の修復において研究がなされてきたが、位相欠陥の問題に対処するためには、今まで何もなされてきていない。
過去において、遠紫外線リソグラフィ用マスクブランクは、一般的にガラス製であったが、シリコン又は超低熱膨張材料が、極端紫外線リソグラフィ用の代替として提案されてきている。ブランクが、ガラス、超低熱膨張材料、又はシリコンであるかどうかにかかわらず、マスクブランクの表面は、研磨剤を用いた機械的研磨によって可能な限り平滑にされる。このようなプロセスで残される傷は、しばしば「スクラッチディグ」マークと呼ばれ、それらの深さと幅は、マスクブランクを研磨するために使用される研磨剤中の粒子の大きさに依存する。可視及び遠紫外線リソグラフィでは、これらの傷は、半導体ウェハ上のパターン内に位相欠陥を引き起こすには小さ過ぎる。しかしながら、極端紫外線リソグラフィに対しては、スクラッチディグマークは、位相欠陥として現れるので、重要な問題である。
EUVリソグラフィ用に要求される短い照明波長のため、使用されるパターンマスクは、現在のリソグラフィで使用される透過型マスクの代わりに反射型マスクでなければならない。反射型マスクは、モリブデンとシリコンの交互の薄い層の正確なスタックで構成され、ブラッグ屈折器又はミラーを作る。多層スタックの性質及び小さいフィーチャーサイズのため、多層スタックが堆積される基板の表面内の任意の欠陥は拡大され、最終製品に影響を与える。数ナノメートルのスケールの欠陥は、完成したマスク上に印刷可能な欠陥となって表れ、多層スタックの堆積前にマスクブランクの表面から除去する必要がある可能性がある。
一般的な欠陥は、ピット、傷、及び粒子を含む。一般的な洗浄技術は、粒子の多くを除去するが、新しいピットを生成するか、既存のピットを増幅するかのいずれかである。ピットは、研磨又は洗浄プロセスから発生する可能性があるか、又は切断及び研磨プロセス中に露出される基板材料自体内の内包物又は欠陥に由来する可能性がある。更に、研磨は、表面でピットを除去するために使用することができるが、プロセス内で新たなピットが露出又は発生するリスクがあり、これは、基板表面を平滑化及び平坦化するために研磨のみを用いる有用性を制限する。基板の平滑化のための別の方法は、レーザ又はプラズマアニーリングである。これらの技術は、ガラス基板の薄い表面層を溶融し、リフローで接合し、局所的な欠陥を除去する。問題は、基板の表面に、より長い範囲の凹凸又はリップルを誘発するので、EUVマスクに必要な基板の平坦性を提供しないことである。
電子部品のますます小さいフィーチャーサイズの必要性を考慮すると、これらの問題に対して答えを見つけることがますます重要である。消費者の期待を成長させるとともに、増え続ける商業競争圧力を考慮すると、これらの問題に対する答えを見つけることが重要である。また、コストを削減し、効率とパフォーマンスを向上させ、競争圧力を満たすための必要性は、これらの問題に対する答えを見つけるための重要な必要性に更に大きな緊急性を追加する。
これらの問題に対する解決策は、長い間求められてきたが、先行開発は、何の解決策も教示又は示唆してこなかった。したがって、これらの問題に対する解決策は、長い間、当業者には手に入らないものであった。
概要
本発明は、ガラスセラミックスブロックを提供する工程と、ガラスセラミックスブロックからガラスセラミックス基板を形成する工程と、ガラスセラミックス基板上に平坦化層を堆積させる工程とを含む、極端紫外線基板の製造方法を提供する。
本発明は、真空中でガラスセラミックス基板を配置するための真空チャンバと、ガラスセラミックス基板上に平坦化層を堆積させるための第1堆積システムと、真空からガラスセラミックス基板を除去することなく、平坦化層上に多層スタックを堆積させるための第2堆積システムとを含む統合化極端紫外線ブランク製造システムを提供する。
本発明の特定の実施形態は、上記のものに加えて、又は上記のものの代わりに、他の工程又は要素を有する。工程又は要素は、添付の図面を参照して以下の詳細な説明を読むことにより当業者に明らかになるであろう。
統合化された極端紫外線マスク製造システムである。 本発明の一実施形態に係るEUVマスクのベース部の断面図である。 製造の初期のEUVマスク作成段階におけるガラスセラミックスブロックである。 製造の硬化段階における図2のベース部である。 製造の積層形成段階における図3Bの構造である。 図3BのEUVマスク用の図2のベース部の製造方法である。 図2のベース部200の一例の上面図である。 超低欠陥の図3CのEUVマスクの製造方法である。 図3CのEUVマスクを製造するための別の方法である。 EUVリソグラフィシステムのための光学トレインである。 EUVリソグラフィシステムである。
詳細な説明
以下の実施形態は、当業者が本発明を行い、使用することを可能にするために、十分に詳細に記載されている。他の実施形態が、本開示に基づいて明らかとなり、本発明の範囲から逸脱することなく、システム、プロセス、又は機械的な変更を行うことができることを理解すべきである。
以下の説明において、多数の特定の詳細が、本発明の完全な理解を提供するために与えられる。しかしながら、本発明は、これらの特定の詳細なしに実施できることは明らかであろう。本発明を不明瞭にすることを避けるために、いくつかの周知のコンポーネント及び回路、システム構成、及びプロセスステップは、詳細には開示されていない。
システムの実施形態を示す図面は、半概略であり、縮尺通りではなく、特に、寸法のいくつかは、提案説明を明確にするためのものであり、描画図内で誇張して示されている。同様に、説明を容易にするため、図面内の図は、概して、同様の方向を示すが、図面内のこの描写は、ほとんどの部分に対して任意である。一般的に、本発明は、任意の向きで動作させることができる。
いくつかの構成を共通して有する複数の実施形態が開示され、記載されている場合は、それらの図説、記述、及び理解を明瞭かつ容易にするために、類似の構成は、同様の参照番号で記述される。
解説の目的のために、本明細書で使用する用語「水平」は、マスクブランクの平面又は表面に対して平行な平面として定義され、その向きには関係ない。用語「垂直」は、まさに定義されたような水平に対して垂直な方向を指す。用語(例えば、「上方」、「下方」、「底部」、「最上部」、(「側壁」内のような)「側」、「より高い」、「より低い」、「上部」、「上に」、及び「下に」)は、図面内に図示されるように、水平面に対して定義される。用語「上」は、要素間の直接的な接触があることを示す。
本明細書で使用する用語「処理」は、材料又はフォトレジストの堆積、記載された構造を形成するのに必要とされる材料又はフォトレジストのパターニング、露光、現像、エッチング、洗浄、及び/又は除去を含む。
本発明は、軟X線投影リソグラフィとしても知られている極端紫外線リソグラフィ(EUV/EUVL)で使用するためのガラスセラミックス基板等の基板の平坦化のためのシステム及び方法である。EUVは、より小型で、最小フィーチャーサイズの半導体デバイスを製造するために使用することができる。
ガラスセラミックスは、EUVL用途のために非常に適している多くの特性を含むが、それらを完全にはアモルファスにはしないガラスセラミックス中には結晶相が存在する。ガラスセラミックスの表面は、(特に、研磨後は)あまりにも粗すぎ、EUVLシステムの設計及び寸法仕様を満たすことができない可能性がある。ガラスセラミックスの研磨及び粗さの限界を克服するために、平坦化層(例えば、CVDプロセスからの層)を使用できることが見出された。
ここで、図1を参照すると、統合化された極端紫外線(EUV)マスク製造システム100がここに図示される。統合化EUVマスク製造システム100は、内部に基板104がロードされる基板ローディング・キャリアハンドリングシステム102を含む。フロントエンドインターフェース又はエアロック106は、ウェハハンドリング真空チャンバ108へのアクセスを提供する。
フロントエンドインターフェースの機能は、メインフレーム又はウェハハンドリング真空チャンバ108へのロードポート間で基板104を移動させることである。統合化EUVマスク製造システム100のチャンバは、真空又は大気圧を含むことができる。
図示の実施形態では、ウェハハンドリング真空チャンバ108は、2つの真空チャンバ(第1真空チャンバ110と第2真空チャンバ112)を含む。第1ウェハハンドリングシステム114は、第1真空チャンバ110内にあり、第2ウェハハンドリングシステム116は、第2真空チャンバ112内にある。
ウェハハンドリング真空チャンバ108又はメインフレームは、様々な他のシステムの取り付け用に、その周囲の周りに複数のポート及び堆積チャンバを有する。第1真空チャンバ110は、脱ガスシステム118、第1物理蒸着システム120、第2物理蒸着システム122、及び前洗浄システム124を有する。
第2真空チャンバ112は、それに接続された第1マルチカソード源126、流動性化学蒸着(FCVD)システム128、硬化システム130、及び第2マルチカソード源132を有する。
第1ウェハハンドリングシステム114は、エアロック106及び第1真空チャンバ110の周囲の様々なシステム間で、連続真空内でスリットバルブを介して、ウェハ(例えば、ウェハ134)を移動させることができる。第2ウェハハンドリングシステム116は、連続的な真空内にウェハを維持しながら、第2真空チャンバ112の周囲に、ウェハ(例えば、第2ウェハ136)を移動させることができる。統合化EUVマスク製造システム100は、EUVマスクを製造するための理想的な環境を提供することが発見された。
ここで、図2を参照すると、本発明の一実施形態に係るEUVマスクのベース部200の断面図がここに図示される。ベース部200は、ガラスセラミックス基板202及び平坦化層204を含むことができる。
ガラスセラミックス基板202は、多結晶材料を含むことができる。例えば、ガラスセラミックス基板202は、ゼロデュア又は超低熱膨張係数を有する他のガラスセラミックス材料を含むことができる。ガラスセラミックス基板202は、リチウムアルミノシリケートを含むことができ、プラス又はマイナス0.007×10−7/Kの熱膨張係数(CTE)を含むことができる。超低熱膨張係数は、EUV投影リソグラフィプロセスの間に最小の半導体デバイス上に印刷された画像への歪みを防止するので、EUVLシステム内で使用される基板に対して、プラス又はマイナス0.007×10−7/KのCTEが必要とされることが見出された。
ガラスセラミックス基板202は、介在物、泡、及び内部脈理がほとんど無い、高い三次元均質性を含むことができる。ガラスセラミックス基板202は、非多孔質であり、コーティングに対して高い親和性を有することができる。例えば、ガラスセラミックス基板202は、酸及びアルカリに対して高い耐性のある材料を含むことができることが見出された。酸及びアルカリに対する耐性のために、ガラスセラミックス基板202(例えば、ゼロデュア)は、繰り返しのコーティング、堆積ステップ、処理、エッチング、又はそれらの組合せを受ける基板に対して強く耐性のある表面を提供することが見出された。
EUVLに使用される基板は、リソグラフィ印刷プロセス内での欠陥を防止するために、非常に低い高空間周波数粗さ(HSFR)が必要である。ガラスセラミック構造の研磨は、ガラス表面におけるピット及び欠陥の発生をもたらすので、ガラスセラミックス構造は、低HSFRを達成するための研磨を困難にし、これはリソグラフィ印刷プロセスにも悪影響を与える。
ガラスセラミックス基板202の基板上面201は、研磨法(例えば、研磨剤を用いた化学的機械研磨(CMP))に起因する欠陥203(例えば、ピット、傷、及び粒子)を含む可能性がある。このようなプロセスに残された傷は、しばしば、「ピット」及び/又は「スクラッチディグ」マークと呼ばれ、それらの深さ及び幅は、ガラスセラミックス基板202を研磨するために使用される研磨剤中の粒子の大きさに依存する。
平坦化層204は、低HSFR及びEUVLシステムに必要な全体のグローバルフラットネスを達成するために、基板の上部表面201上に形成することができる。平坦化層204は、低k誘電体を含む流動性化学蒸着(CVD)膜を含むことができる。平坦化層204は、100オングストローム(Å)〜10マイクロメートル(μm)の範囲の層厚さ207又は層幅を含むことができる。
平坦化層204は、ケイ素炭素酸素膜、ケイ素窒素膜、又はそれらの組合せを含むことができる。更に、平坦化層204は、30:1のアスペクト比を有する非炭素の液体の流動性CVD(FCVD)を含むことができる。平坦化層204は、後続の製造工程で硬化させることができる。平坦化層204用のケイ素炭素酸素膜の使用は、EUVLマスクに欠陥を発生させる可能性のある粒子のリスクを減少させるUV硬化を可能にすることが発見された。
CVD膜の平坦化層204は、研磨後のガラスセラミックス基板202上の欠陥203のいずれをも埋める又は覆い隠すために局所的に流動可能である。平坦化層204を有するガラスセラミックス基板202は、平坦で平滑な最終面をもたらすことが見出された。例えば、平坦化層204の層の上面205は、0.6ナノメートル(nm)二乗平均平方根(rms)以下の表面粗さを生成することができる。表面粗さは、例えば、特にHSFRは、ガラスセラミックス基板202の開始(初期)粗さよりも低い。
平坦化層204用に使用される前駆体は、ガラスセラミックス基板202の特性を変化させることなく、基板上面201の表面を平坦化する流動性堆積層を製造するために、テトラエチルオルトシリケート(TEOS)、テトラメチルオルトシリケート(TMOS)、オクタメチルシクロテトラシロキサン(OMCTS)、トリシリルアミン(TSA)、同様のCVD/FCVD化合物、又はそれらの組み合わせを含むことができる。
ガラスセラミックス基板202の開始粗さにかかわらず、平坦化層204は、0.6ナノメートルrms以下の表面粗さを達成できることが発見された。平坦化層204の流動性は、基板上面201上に存在する可能性のある欠陥203のいずれをも埋め、覆い隠す。平坦化層204はまた、基板上面201のグローバルフラットネスを等しくすることができる。こうして、平坦化層204は、ゼロデュアなどのガラスセラミックス材料の研磨耐性の問題を克服することができる。
更に、平坦化層204の流動性CVD膜は、表面欠陥(例えば、ピット、粒子、及び傷(スクラッチ))を平滑化又は平坦化するために、ガラスセラミックス(例えば、ゼロデュア)上に堆積させることができることが見出された。流動性CVD膜は、低k(SiCO)、又は類似の化学物質を利用して真空チャンバ内で堆積させることができる。低kは、二酸化ケイ素に対して小さい誘電定数を有する材料である低k誘電体を指す。
例えば、CVD膜は、0.6nm rms以下の局所的粗さを有する層を提供するように流動可能な、ケイ素、酸素、炭素、窒素、又はそれらの組み合わせを有する化合物を含むことができる。CVD膜は、局所的に流動可能であるので、平坦かつ平滑な最終面を生成しながら、基板の欠陥を埋める又は覆い隠す。
表面粗さは、又は特にHSFRは、開始ガラスセラミックス基板よりも低い。ガラスセラミックス基板202を平坦化するこの方法は、研磨だけで可能なより低いHSFRを必要とする用途に基板を利用可能にすることが見出された。ガラスセラミックス基板202上に、TEOS、TMOS、OMCTS、TSA、又はそれらの組み合わせと共に平坦化層204を使用することのもう1つの本発明の利点は、平坦化層204は、ガラスセラミックス基板202とは直接反応せず、そのためガラスセラミックス基板202の所望の特性を変えることなく、平坦化の利点を提供することである。平坦化層204は、低HSFRを提供し、基板に対する構造的安定性を増加させ、ガラスセラミックス基板202に対して応力保護を増加させることが見出された。
流動性CVDの平坦化は、更なる堆積又は使用のための表面を準備するための任意の研磨工程後に使用することができる。流動性CVDの平坦化又は平坦化層204の塗布の前に用いられる研磨は、流動性CVD膜に影響を与えないので、ガラスセラミックス基板202は、これらの研磨技術がもたらすHSFRに対してあまり気にせずに可能な限り最高のグローバルフラットネスを達成するように研磨することができる。
堆積された流動性CVD膜は、すぐに堆積されることができるか、又はそれは、CMP、イオンビーム研磨、磁性流体研磨を含む任意の研磨プロセスを使用して更に平滑化されることが可能である。平坦化層204のためにSiCOなどの低kを有するCVD膜の使用は、ガラスセラミックス基板上での使用に対して耐久性及び低コストの材料を提供することが見出された。
また、流動性CVD膜からの小さなピット、粒子、傷の平坦化層204による平坦化は、EUVプロセスから作成されたデバイスに高い信頼性を提供することが見出された。更に、100オングストローム〜10マイクロメートルの層厚さ207又は層幅を有する平坦化層204は、ガラスセラミックス基板202に過剰の負荷を与えたり、バルクを追加することなく、基板上面201を平滑化又は平坦化できることが発見された。
本発明は、ピットを埋め、欠陥を覆い隠すためにCVD、物理蒸着(PVD)、原子層堆積(ALD)、及び流動性CVD(FCVD)によって、ケイ素、酸化ケイ素、及び互換性のある熱膨張係数の関連する膜を堆積させるための様々な技術を含むことができる。一度堆積されると、膜の表面は滑らかで、更なる多層スタックの堆積のために十分平坦かつ平滑となる。平坦化層204は、CMP、アニーリング、又はイオンビーム研磨などの様々な確立された平滑化又は研磨技術を用いて更に平滑化することができる。
ここで図3Aを参照すると、製造の初期EUVマスク作成段階におけるガラスセラミックスブロック301がここに図示される。ガラスセラミックスブロック301は、提供することができるガラスセラミックス原料物質又は大型ガラスセラミックスブランクの一部である。
ガラスセラミックスブロック301は、EUVマスク作成用の基板を形成するために、更なる処理を必要とする可能性のあるブロック又は原料ピースとすることができる。ガラスセラミックスブロック301は、切断、成形(整形)、及び研磨して、EUVLシステムの設計及び製造の要件を満たす基板にすることができる。例えば、ガラスセラミックスブロック301は、図2のガラスセラミックス基板202を形成するように切断することができる。
ガラスセラミック材料は、他の超低膨張ガラス(ULE)の使用に対して温度及びCTE特性の利点を提供する。例えば、ガラスセラミックス(例えば、ゼロデュア)は、はるかに大きい動作温度範囲に調整することができるのに対して、他のULEガラスは、より小さい動作温度範囲を有する。ゼロデュアなどのガラスセラミックスのCTE均一性は、ULEガラスよりもバルク全体で高い。更に、ゼロデュアなどのガラスセラミックスのより大きな動作温度範囲は、冷却速度を制御する際により多くのオプションを提供することが見出された。
ここで図3Bを参照すると、製造の硬化段階における図2のベース部200がここに図示される。ベース部200は、ガラスセラミックス基板202を含むことができる。図2の平坦化層204は、ガラスセラミックス基板202上に直接形成又は堆積させることができる。
ガラスセラミックス基板202は、図3Aのガラスセラミックスブロック301の成形からの不均一なグローバルフラットネス及び欠陥203を含む可能性がある。平坦化層204は、図2の基板上面201を平滑化又は平坦化するために使用することができ、これは上面全体に対して均一なグローバルフラットネスを生成し、0.5nm rms以下の局所的粗さを有する層を提供する。
平坦化層204は、硬化層304を形成するために硬化される。硬化プロセスは、UV硬化プロセス又はストリームプロセスを含むことができる。硬化層304は、平坦化層204と同じグローバルフラットネス及び同じ局所的粗さを含む。オプションで、硬化層304は、CMPプロセスにより更に研磨及び平坦化することができる。
平坦化層204は、SiN膜又はSiOC膜を含むことができる。SiN膜は、硬化を介して一旦SiO/SiOに転換されると、より耐酸化性となり、代替の平坦化層よりも硬い膜を生成する。SiOC膜は、使用可能な膜を達成するために、より少ない処理工程を必要とする。SiOC膜は、硬化するまで柔らかいが、硬化又は未硬化のいずれでも使用することができる。SiN膜は、通常、使用前に硬化されなければならないが、SiOCよりも滑らかな膜表面をもたらすことができる。平坦化層204の各タイプに用いられる研磨方法は異なるだろう。例えば、SiOC膜は、粒子汚染を防止するより少ない処理工程を含む。
平坦化層204のためのSiOC膜へのUV硬化プロセスの使用は、堆積プロセス中に欠陥を発生させる可能性のある生成される粒子のリスクを低減させることが見出された。蒸気(スチーム)又はオゾン硬化は、SiN系膜に使用する必要があるが、チャンバ及び基板を汚染する可能性のある追加粒子を導入する可能性がある。SiN系膜は、硬化後、ガラスセラミックス基板202のすぐ上の層に対して、より耐酸化性があり、より硬い膜を生成するためのSiO/SiOに転換されることが見出された。硬化層304のために得られた硬化SiN膜は、硬化したSiN膜の硬度が、硬化層304の更なる平坦化を促進するので、より平滑な上面を生成することができる。
更に、SiOCの使用は、ガラスセラミックス基板202の上に使用可能な膜を実現するために、より少ない処理工程を必要とすることが見出された。平坦化層204に使用されるSiOC膜は柔らかく、これは硬化させる又は硬化させないオプションを含むことができる。SiN膜を用いた膜は、ガラスセラミックス基板202上への後続の堆積の前に硬化させる必要がある。
ここで図3Cを参照すると、製造の積層形成段階における図3Bの構造がここに図示される。図3Cに示される構造は、EUVマスク350を形成するためにその上に形成された様々な層を有する図2のベース部200の部分図を含むことができる。例えば、EUVマスク350は、多層スタック306、キャッピング層308、吸収体層310、及び反射防止コーティング312を含むことができる。
多層スタック306は、硬化層304上に形成することができる。多層スタック306は、ブラッグ反射器を形成するために、硬化層304のすぐ上に形成することができる。光学素子の反射性質及びEUVで使用される照明波長のため、反射光学系が使用され、多層スタック306は、高Z及び低Z材料(例えば、モリブデンとシリコン)の交互層で作ることができ、これは反射器(リフレクター)を形成する。
キャッピング層308は、多層スタック306の上方に形成される。キャッピング層は、ルテニウム(Ru)又はその非酸化化合物などの材料とすることができ、これによって多層スタック306を酸化、及びマスク処理中にEUVマスク350を曝露させる可能性のある任意の化学エッチャントから保護するのを助ける。他の材料(例えば、窒化チタン、炭化ホウ素、窒化ケイ素、酸化ルテニウム、及び炭化ケイ素)もまた、キャッピング層308内で使用することができる。
吸収体層310は、キャッピング層308の上に配置される。吸収体層310は、EUV光の特定の周波数(約13.5nm)に対して高い吸収係数を有する材料でできており、クロム、タンタル、又はそれらの窒化物などの材料とすることができる。
反射防止コーティング(ARC)312は、吸収体層310上に堆積される。ARC312は、酸窒化タンタル又はタンタルホウ素酸化物などの材料とすることができる。裏面チャッキング層314は、静電チャック(図示せず)上に又は静電チャックによって基板をチャッキングするために、ガラスセラミックス基板202の裏面上に形成することができる。
本発明のこの実施形態は、ガラスセラミックス基板202上に別の層を堆積させるための様々な技術を含むことができる。例えば、ケイ素、酸化ケイ素、Ruであり、層は、CVD、PVD、ALD、及び流動性CVDを使用して堆積させることができる。
ここで図4を参照すると、図3BのEUVマスク350用の図2のベース部200を製造するための方法400がここに図示される。方法400は、ブロック402で図3Aのガラスセラミックスブロック301を提供する工程を含む。ガラスセラミックスブロック301は、低いCTEを有するガラスセラミックス材料(例えば、ゼロデュア、又は他の超低膨張ガラス材料)の大型シートを含むことができる。
ガラスセラミックスブロック301は、ブロック404において図2のガラスセラミックス基板202を形成するために成形することができる。成形プロセスは、切断、鋸引き、ドリル加工、ウォータージェット切断、又はそれらの組合せを含むことができる。ガラスセラミックスブロック301は、デザインが特定の形状(例えば、EUVLシステムのチャックにはまる基板)に成形及び切断されることができる。例えば、ガラスセラミックスブロック301は、6インチの長さの基板に切断することができる。
ガラスセラミックス基板202は、ブロック406において研磨することができる。ブロック406又は第1研磨工程は、基板上面201の低HSFRの要件及びグローバルフラットネスに基づいてオプションとすることができる。ガラスセラミックス基板202はまた、EUVLシステムのチャンバ及びチャックの寸法要件を満たすために、図2の層の厚さ207を減少させるように研磨することができる。
平坦化層204は、ブロック408において、ガラスセラミックス基板202の上に形成又は塗布されることができる。図2の平坦化層204の塗布工程は、基板上面201上の任意のピットを埋め、任意の欠陥を覆い隠すための、CVD、PLD、ALD、及び流動性CVD法を含むことができる。
平坦化層204は、ブロック410において、図3Bの硬化層304を形成するために硬化させることができる。硬化プロセスは、平坦化層204をより剛性のある膜又は層に転換させることができる。硬化プロセスは、ケイ素酸素炭素又はケイ素窒素の平坦化層204のためのUV硬化プロセス又は熱処理を含むことができる。硬化プロセスはまた、酸化ケイ素を有する硬化層304を作成するために、堆積層中の窒素を蒸気からの酸素で置換するための蒸気又はオゾン処理を含むことができる。
硬化層304は、ブロック412において研磨することができる。ブロック412又は第2研磨工程は、基板上面201のHSFR及びグローバルフラットネスに基づいてオプションとすることができる。ガラスセラミックス基板202はまた、図2の層の厚さ207を減少させるように研磨することができる。
硬化層304の硬度は、研磨中の層の挙動を決定する。例えば、より硬い膜(例えば、SiNを用いた膜)は、より脆く、したがって、いくつかの研磨条件下で表面クラックがより発生しやすくなる可能性がある。更に、より硬い膜は、使用される材料に応じて、化学的に耐性ではないかもしれない。より硬い膜はまた、平坦化層204の基板への密着性に影響を及ぼす可能性がある。更に、平坦化層204の非常に硬質な膜の異形(バリアント)上に堆積された後続の層は、効率的に接着しない可能性がある。更に、平坦化層204用に使用されるSiN膜は、実現するためにより多くの処理工程を必要とし、これは粒子による汚染のリスクを高める。平坦化層204用に使用されるSiOC膜は、SiNから形成された非常に硬い膜に関する上記の欠点を最小にすることが見出された。
硬化層304は、図2の基板上面201を研磨する際に引き起こされる欠陥及び不良を引き起こすことなく、研磨の影響をより受けやすい表面を提供することが見出された。更に、硬化層304を形成するために使用された堆積及び硬化プロセスは、0.6nm rms以下の局所的粗さを有する平坦面を提供するので、硬化層304は、追加研磨をオプションとすることが見出された。
ここで図5を参照すると、図2のベース部200の一例の上面図がここに図示される。例の図は、原子間力顕微鏡(AFM)の方法を使用して図示されるように、平坦化層204の上面の図を含む。
平坦化層204の堆積又は塗布の前に、図2のガラスセラミックス基板202は、1nm rms以上の表面粗さ502を有する可能性がある。表面粗さ502は、その表面の表面テクスチャ又は表面形態の構成要素である。例えば、未処理又は在庫のガラスセラミックス基板(例えば、ゼロデュアガラスセラミックスブロック504)は、1.36nm rmsの表面粗さを有することが見出された。ガラスセラミックス基板202の表面粗さ502は、4μm×4μmの部分上でAFM高さセンサによって測定することができる。
平坦化層204を塗布した後、同じ4μm×4μmのゼロデュアサンプルは、0.626nm rmsの表面粗さを有することが発見された。更に、100Å〜10μmの厚さを有する平坦化層204は、表面粗さ502の程度を変えて研磨された又は研磨されていない基板上に形成することができ、図2の層の上面205に対して0.6nm rms以下の表面粗さ502を依然として生成できることが発見された。平坦化層204のこの利点は、収率を含み、いくつかの研磨工程を除去することにより、製造工程を減少させることができる。
ここで、図6を参照すると、超低欠陥の図3CのEUVマスク350を製造するための方法600がここに図示される。超低欠陥は、実質的にゼロ欠陥である。方法600は、ブロック602で供給されるガラスブランクを含む。ガラスブランクは、真空ツール(例えば、図1の第1真空チャンバ110)内に配置することができる。ガラスブランクは、ブロック604で、裏面洗浄され、ブロック606で、脱ガスされ、前洗浄される。
裏面チャッキング層は、ブロック608で塗布されることができ、ブロック610で前面洗浄を実行することができる。いくつかの方法の工程は、周囲条件からの汚染を回避するために連続真空下を保ちながら、図1の統合化EUVマスク製造システム100内でより良好に実行される。
脱ガス及び前洗浄が、ブロック614で実行され、ブロック616で平坦化が実行される。例えば、図2の平坦化層204は、ブロック616でガラスセラミックス基板202に塗布することができる。塗布工程は、堆積チャンバ(例えば、図1の流動性化学蒸着システム128)内で実行することができる。
平坦化層は、平坦化層硬化ブロック618で硬化させることができ、多層堆積は、ブロック620で実行される。例えば、図3の硬化層304は、図2の平坦化層204を硬化させるための図1の硬化システム130内で形成することができる。図3Cのキャッピング層308は、キャッピング層ブロック622内で堆積される。
その後、統合化EUVマスク製造システム100を出て、遠紫外線(DUV)/化学線検査がブロック624で実行される。オプションとして、ブロック626において、マスクブランクは洗浄され、吸収層及び反射防止コーティングが、ブロック628で堆積される。
ここで、図7を参照すると、図3CのEUVマスク350を製造するための別の方法700がここに図示される。超低欠陥は、実質的にゼロ欠陥である。別の方法700は、ブロック702でガラスブランクが供給されることから始まる。ガラスブランクは、ブロック704で、裏面洗浄され、ブロック706で、前面洗浄される。
ブロック708内のいくつかの方法又はプロセス工程は、周囲条件からの汚染を回避するために連続真空下を保ちながら、図1の統合化EUVマスク製造システム100内でより良好に実行される。
マスクブランクは、ブロック710で脱ガスされ、前洗浄される。裏面チャッキング層214は、ブロック712で堆積され、平坦化がブロック714で行われる。平坦化層は、ブロック716で硬化される。多層堆積がブロック718で実行され、キャッピング層がブロック720で塗布される。
DUV/化学線検査は、統合化EUVマスク製造システム100の内部で実行できるが、それは、ブロック722で外部でもまた実行できる。マスクブランクは、オプションとして、ブロック724で洗浄され、吸収体層及び反射防止コーティングは、ブロック726で堆積させることができる。
ここで、図8を参照すると、EUVリソグラフィシステム用の光学トレイン800がここに図示される。光学トレイン800は、EUV光を生成し、それをコレクタ804内で収集するための極端紫外線光源(例えば、プラズマ源802)を有する。コレクタ804は、照明システム806の一部であるフィールドファセットミラー808に光を提供し、照明システム806は、瞳ファセットミラー810を更に含む。照明システム806は、(図3CのEUVマスク350の完全に処理されたバージョンである)レチクル812にEUV光を提供し、レチクル812は、投影光学系814を介してウェハ816上にEUV光を反射する。
ここで、図9を参照すると、EUVリソグラフィシステム900がここに図示される。EUVリソグラフィシステム900は、光学トレイン600の付属物として、EUV光源領域902、レチクルステージ904、及びウェハステージ906を含む。EUVLリソグラフィシステム900は、図8に示される光学トレイン800を含むことができる。
本発明の実施形態は、EUVブランクを平坦化及び平滑化し、これによって基板表面上のすべてのピット、欠陥及び粒子を除去し、これによって表面は、原子レベルで平坦かつ平滑となる。アイデアは、原子レベルで平坦で平滑な表面を達成するために、いかなる欠陥をも誘発することなく、その後処理することができるEUVブランク基板の表面上に欠陥のない材料を堆積させることである。図3CのEUVマスク350は、EUVリソグラフィシステム900の重要な構成要素であり、EUVリソグラフィシステム900は、適切に平坦化された平坦で平滑なEUVブランク上のEUVマスク無しでその機能を実行することはできない。このように、図2の平坦化層204は、0.6nm rms以下の表面粗さ502に対して、図2の層の上面205を適切に平滑化又は平坦化することが見出された。
第1工程は、存在する任意のピットを充填することであり、これは、流動性CVD膜である平坦化層204を堆積させることによって行うことができる。更に、平坦化層204はまた、CVD、PVD、ALD、又は同様のプロセスを介して、シリコン、酸化ケイ素、又は関連する膜を堆積させる方法を含むことができる。この平坦化工程はまた、粒子、バンプ、ピット、及びEUVブランク基板表面上又は表面内にある他の欠陥を埋めるだろう。流動性CVD膜の場合には、EUVブランク基板上に許容できる平滑で平坦な表面を達成するために、更なる処理は必要とされないかもしれない。
平坦化層204の1つの利点は、この方法は基板とは独立しており、多様な基板及び様々な品質の基板上で使用することができることである。それは、EUVブランクに必要な特性を有するが、研磨後に原子レベルで平坦で滑らかな表面を有していないガラス基板を使用することを可能にする潜在力を有する。この独立性は、異なる基板サプライヤーを使用することを可能にし、サプライヤーによる基板の準備及び研磨への予想外の変更の影響を最小限に抑えることができる。
本発明の実施形態は、EUVマスクの製造のために原子レベルで平坦で平滑な基板表面を提供することを主に対象としているが、原子レベルで平坦で平滑な表面を必要とする任意のアプリケーション(例えば、EUVマスク350、他)用に使用することができる。
別のアプローチは、多層スタックを上に成長させるために平坦な伝熱性の高い表面を用いることであろう。歴史的に、ガラスは、光学系の透過性及び使用される照明波長のために、マスク用の基板として使用される。EUVは、すべての材料によって吸収され、こうして、反射光学系が用いられる。しかしながら、反射率は100%ではなく(現在のMo/Siのスタックで70%未満)、放射線の吸収された部分は、基板を加熱するだろう。現在のマスクガラス基板組成物は、動作温度で、ゼロ熱膨張係数を与えるように最適化されており、これによってレジスト露光時にパターンの歪みを回避する。
得られた方法、プロセス、装置、デバイス、製品、及び/又はシステムは、直接的で、費用対効果が高く、複雑でなく、汎用性が高く、正確で、敏感で、かつ効果的であり、準備のできた、効率的で、経済的な、製造、応用、及び使用に対して公知の構成要素を適合させることによって実施することができる。
本発明のもう1つの重要な側面は、コストを削減し、システムを簡素化し、パフォーマンスを向上させるという歴史的傾向を有益に支持し、提供することである。本発明のこれらの及び他の有益な側面は、その結果、技術の状態を少なくとも次のレベルに更に進める。
本発明は、特定の最良の態様に関連して説明されてきたが、多くの代替、修正、及び変形が前述の説明に照らして当業者には明らかとなるであろうことが理解されるべきである。したがって、付属の特許請求の範囲内に入るそのような代替、修正、及び変形のすべてを包含することが意図される。本明細書に記載又は添付の図面に図示されるすべての事項は、例示的かつ非限定的な意味で解釈されるべきである。

Claims (7)

  1. 極端紫外線基板の製造方法であって、
    ガラスセラミックス基板上にSiOC膜の平坦化層を堆積させる工程と、
    平坦化層のUV処理又は熱処理によって硬化層を形成する工程であって、平坦化層のSiOC膜のUV処理又は熱処理により、欠陥を発生させる粒子生成のリスクを低減する工程を含む方法。
  2. 平坦化層を堆積させる工程は、0.6nm rms以下の表面粗さを有する平坦化層を形成する工程を含む請求項1記載の方法。
  3. 平坦化層を堆積させる工程は、100オングストローム〜10マイクロメートルの層の厚さを有する平坦化層を形成する工程を含む請求項1記載の方法。
  4. ガラスセラミックス基板は高空間周波数粗さ(HSFR)値を有し、硬化された平坦化層はガラスセラミック基板より低いHSFR値を有する請求項2記載の方法。
  5. 硬化された平坦化層は、ガラスセラミック基板の特性を変えることなく、ガラスセラミック基板の表面を平坦化する請求項1記載の方法。
  6. ガラスセラミック基板は、リチウムアルミノシリケートを含む請求項1記載の方法。
  7. 極端紫外線を硬化された平坦化層に反射する多層スタックを形成する工程を有する請求項1記載の方法。
JP2020067278A 2013-12-22 2020-04-03 紫外線リソグラフィ用ガラスセラミックス及びその製造方法 Active JP6889792B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361919780P 2013-12-22 2013-12-22
US61/919,780 2013-12-22
JP2016539964A JP6688221B2 (ja) 2013-12-22 2014-12-19 紫外線リソグラフィ用ガラスセラミックス及びその製造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016539964A Division JP6688221B2 (ja) 2013-12-22 2014-12-19 紫外線リソグラフィ用ガラスセラミックス及びその製造方法

Publications (2)

Publication Number Publication Date
JP2020117435A JP2020117435A (ja) 2020-08-06
JP6889792B2 true JP6889792B2 (ja) 2021-06-18

Family

ID=53403782

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016539964A Active JP6688221B2 (ja) 2013-12-22 2014-12-19 紫外線リソグラフィ用ガラスセラミックス及びその製造方法
JP2020067278A Active JP6889792B2 (ja) 2013-12-22 2020-04-03 紫外線リソグラフィ用ガラスセラミックス及びその製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2016539964A Active JP6688221B2 (ja) 2013-12-22 2014-12-19 紫外線リソグラフィ用ガラスセラミックス及びその製造方法

Country Status (7)

Country Link
US (2) US10551731B2 (ja)
JP (2) JP6688221B2 (ja)
KR (1) KR102279659B1 (ja)
CN (2) CN112759278A (ja)
SG (2) SG11201604722WA (ja)
TW (1) TWI654151B (ja)
WO (1) WO2015095803A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10134589B2 (en) * 2016-06-24 2018-11-20 QROMIS, Inc. Polycrystalline ceramic substrate and method of manufacture
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
CN111656510A (zh) 2018-02-22 2020-09-11 应用材料公司 处理掩模基板以实现更佳的膜质量的方法
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
TWI676237B (zh) * 2018-05-02 2019-11-01 世界先進積體電路股份有限公司 半導體結構、高電子遷移率電晶體及半導體結構製造方法
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10453947B1 (en) 2018-06-12 2019-10-22 Vanguard International Semiconductor Corporation Semiconductor structure and high electron mobility transistor with a substrate having a pit, and methods for fabricating semiconductor structure
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11106126B2 (en) * 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing EUV photo masks
DE102019110706A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen von euv-fotomasken
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202142949A (zh) * 2020-04-23 2021-11-16 美商應用材料股份有限公司 極紫外光遮罩毛胚缺陷之減少

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050118533A1 (en) * 2002-03-01 2005-06-02 Mirkarimi Paul B. Planarization of substrate pits and scratches
US20030164998A1 (en) * 2002-03-01 2003-09-04 The Regents Of The University Of California Ion-assisted deposition techniques for the planarization of topological defects
US6835503B2 (en) * 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6908713B2 (en) * 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
DE102004008824B4 (de) * 2004-02-20 2006-05-04 Schott Ag Glaskeramik mit geringer Wärmeausdehnung sowie deren Verwendung
US20050197424A1 (en) 2004-03-05 2005-09-08 Canon Kabushiki Kaisha Ink composition, method of producing ink composition, method of applying liquid using the ink composition and apparatus therefor
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US7712333B2 (en) * 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP5076620B2 (ja) * 2006-06-07 2012-11-21 旭硝子株式会社 ガラス基板表面の平滑化方法
JP2008118118A (ja) * 2006-10-13 2008-05-22 Asahi Glass Co Ltd Euvマスクブランク用の基板表面を平滑化する方法、および該方法により得られるeuvマスクブランク
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US9097994B2 (en) * 2012-01-27 2015-08-04 Sematech, Inc. Abrasive-free planarization for EUV mask substrates

Also Published As

Publication number Publication date
TW201529506A (zh) 2015-08-01
JP2020117435A (ja) 2020-08-06
SG10201805220TA (en) 2018-08-30
JP2017501954A (ja) 2017-01-19
US20160377972A1 (en) 2016-12-29
WO2015095803A1 (en) 2015-06-25
US10551731B2 (en) 2020-02-04
TWI654151B (zh) 2019-03-21
KR102279659B1 (ko) 2021-07-19
CN105829259A (zh) 2016-08-03
SG11201604722WA (en) 2016-07-28
KR20160102496A (ko) 2016-08-30
CN112759278A (zh) 2021-05-07
US20200142292A1 (en) 2020-05-07
US11493841B2 (en) 2022-11-08
JP6688221B2 (ja) 2020-04-28

Similar Documents

Publication Publication Date Title
JP6889792B2 (ja) 紫外線リソグラフィ用ガラスセラミックス及びその製造方法
JP7285682B2 (ja) 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム
JP6420310B2 (ja) 超平滑層紫外線リソグラフィミラー及びブランク、及びそのための製造及びリソグラフィシステム
TWI609231B (zh) 非晶層極紫外光微影術空白板以及用於製造該空白板的方法與微影術系統
TWI623054B (zh) 極限紫外線微影遮罩坯料製造系統及用於該製造系統之操作方法
JP2017525998A (ja) 吸収体を有する、平坦化された極紫外線リソグラフィブランク及びその製造システム
JP2008116571A (ja) マスクブランク用基板の製造方法及びマスクブランクの製造方法、並びに転写マスクの製造方法
JP2009160680A (ja) マスクブランク用基板の製造方法、多層反射膜付き基板の製造方法、及び反射型マスクブランクの製造方法、並びに反射型マスクの製造方法
KR20230129012A (ko) 마스크 블랭크용 기판, 다층 반사막 부착 기판, 마스크블랭크, 전사용 마스크의 제조 방법, 및 반도체 디바이스의 제조 방법
TW202217430A (zh) Euvl用玻璃基板、及euvl用光罩基底

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200403

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210511

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210521

R150 Certificate of patent or registration of utility model

Ref document number: 6889792

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150