KR20150096470A - 50 nm 이하의 선-공간 치수를 갖는 패턴화된 재료를 처리할 때 항 패턴 붕괴를 피하기 위한 계면활성제 및 소수성화제를 포함하는 조성물의 용도 - Google Patents

50 nm 이하의 선-공간 치수를 갖는 패턴화된 재료를 처리할 때 항 패턴 붕괴를 피하기 위한 계면활성제 및 소수성화제를 포함하는 조성물의 용도 Download PDF

Info

Publication number
KR20150096470A
KR20150096470A KR1020157018741A KR20157018741A KR20150096470A KR 20150096470 A KR20150096470 A KR 20150096470A KR 1020157018741 A KR1020157018741 A KR 1020157018741A KR 20157018741 A KR20157018741 A KR 20157018741A KR 20150096470 A KR20150096470 A KR 20150096470A
Authority
KR
South Korea
Prior art keywords
surfactant
alkyl
integer
solution
substrate
Prior art date
Application number
KR1020157018741A
Other languages
English (en)
Other versions
KR102209867B1 (ko
Inventor
안드레아스 클리프
안드레이 혼치우크
귄터 외터
크리슈티안 비트너
Original Assignee
바스프 에스이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 바스프 에스이 filed Critical 바스프 에스이
Publication of KR20150096470A publication Critical patent/KR20150096470A/ko
Application granted granted Critical
Publication of KR102209867B1 publication Critical patent/KR102209867B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/835Mixtures of non-ionic with cationic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/88Ampholytes; Electroneutral compounds
    • C11D1/94Mixtures with anionic, cationic or non-ionic compounds
    • C11D11/0047
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Wood Science & Technology (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

50 nm 이하의 선-공간 치수를 갖는 패턴을 포함하는 기판을 처리하기 위한, 하기와 같은 하나 이상의 비-이온성 계면활성제 A 및 하나 이상의 소수성화제 (hydrophobizer) B 를 포함하는 수성 조성물의 용도:
(a) 계면활성제 A 는 임계 미셀 농도에서 물 중 하나 이상의 계면활성제 A 의 용액으로부터 측정되는, 10 mN/m 내지 35 mN/m 의 평형 표면 장력을 가지고,
(b) 소수성화제 B 는 기판에 대한 물의 접촉 각이 물 중 소수성화제 B 의 용액으로 기판을 접촉함으로써 이러한 접촉 전 기판에 대한 물의 접촉 각과 비교하여 5-95°증가되는 식으로 선택됨.

Description

50 nm 이하의 선-공간 치수를 갖는 패턴화된 재료를 처리할 때 항 패턴 붕괴를 피하기 위한 계면활성제 및 소수성화제를 포함하는 조성물의 용도 {USE OF COMPOSITIONS COMPRISING A SURFACTANT AND A HYDROPHOBIZER FOR AVOIDING ANTI PATTERN COLLAPSE WHEN TREATING PATTERNED MATERIALS WITH LINE-SPACE DIMENSIONS OF 50 NM OR BELOW}
본 발명은 집적 회로 소자, 광학 소자, 미세기계 및 기계 정밀 소자의 제조, 특히 패턴 (pattern) 붕괴 방지 과정에 유용한 조성물에 관한 것이다.
LSI, VLSI 및 ULSI 를 갖는 IC 의 제조 공정에서, 패턴화된 재료 층 예컨대 패턴화된 포토레지스트 층, 티탄 니트리드, 탄탈룸 또는 탄탈룸 니트리드를 함유하거나 그것으로 이루어지는 패턴화된 장벽 재료 층, 예를 들어 교대 폴리실리콘 및 실리콘 디옥시드 층의 스택을 함유하거나 그것으로 이루어지는 패턴화된 멀티-스택 재료 층, 및 실리콘 디옥시드 또는 저-k 또는 극-저-k 유전 재료를 함유하거나 그것으로 이루어지는 패턴화된 유전 재료 층은 포토리소그래픽 기술에 의해 생산된다. 오늘날, 그러한 패턴화된 재료 층은 22 ㎚ 미만의 치수 (dimension) 및 높은 종횡비 (aspect ratio) 의 구조를 포함한다.
포토리소그래피는 마스크 (mask) 위의 패턴이 기판 예컨대 반도체 웨이퍼 위로 투영되는 방법이다. 반도체 포토리소그래피는 전형적으로 반도체 기판의 상부 표면에 포토레지스트의 층을 적용하고, 포토레지스트를 마스크를 통하여 화학 방사선, 특히, 예를 들어 193 ㎚ 파장의, UV 방사선에 노광 (exposure) 시키는 단계를 포함한다. 193 ㎚ 포토리소그래피를 22 ㎚ 및 15 ㎚ 기술 노드 (technology node) 까지 확장하기 위해, 해상도 증강 기술로서 액침 (immersion) 포토리소그래피가 개발되었다. 이러한 기술에서, 광학 시스템의 최종 렌즈와 포토레지스트 표면 사이의 공기 간극은 굴절률 1 초과를 갖는 액체 매질, 예를 들어, 파장 193 ㎚ 에 대해 굴절률 1.44 를 갖는 초순수 (ultrapure water) 로 대체된다. 그러나, 침출, 물-흡수 및 패턴 붕괴를 방지하기 위해, 장벽 코팅 또는 내수성 포토레지스트가 사용되어야 한다. 그러나 이들 조치는 제조 공정의 복잡성을 증가시키고, 그러므로 불리하다.
193 ㎚-액침 리소그래피 외에도 훨씬 더 짧은 파장을 이용하는 기타 조명 기술이 20 ㎚ 노드 이하의 인쇄될 피처 (feature) 크기의 추가 축소의 필요를 충족시키는 해결책으로 고려된다. e-Beam 노광 외에도 대략 13.5 ㎚ 의 파장을 이용하는 극자외선 (Extreme Ultraviolet: EUV) 리소그래피가 장래에 액침 리소그래피를 대체할 가장 유망한 후보로 보인다. 노광 후의 후속 공정 흐름에 있어서 액침 리소그래피와 EUV 리소그래피는 하기 요약에서 기재되는 바와 같이 꽤 유사하다.
선택적 노광후 베이크 (Post-Exposure Bake: PEB) 가 종종 수행되어 노광된 포토레지스트 중합체가 절단되는 것을 허용한다. 절단된 중합체 포토레지스트를 포함하는 기판은 그 후 현상 체임버로 옮겨져서 수성 현상 용액에 가용성인 노광된 포토레지스트가 제거된다. 전형적으로, 테트라메틸암모늄 히드록시드 (Tetram에틸Ammonium Hydroxide: TMAH) 와 같은 그러한 현상 용액은, 레지스트 표면에 퍼들 (puddle) 형태로 적용되어 노광된 포토레지스트가 현상된다. 그 후 탈염수 린스가 기판에 적용되어 포토레지스트의 용해된 중합체가 제거된다. 그 후 기판은 회전 건조 공정 (spin drying process) 으로 보내진다. 그 후, 기판은 포토레지스트 표면으로부터 임의의 수분을 제거하는 하드 베이크 공정 (hard bake process) 을 포함할 수 있는 후속 공정 단계로 옮겨질 수 있다.
그러나 노광 기술과 무관하게 작은 패턴의 습식 화학 공정은 복수의 문제를 수반한다. 기술이 진보하고 치수 요건이 점점 엄격해지면서, 포토레지스트 패턴은 포토레지스트의 비교적 얇고 키가 큰 구조 또는 피처, 즉, 높은 종횡비를 갖는 피처를 기판 위에 포함시킬 것을 요구받는다. 이들 구조는, 특히, 회전 건조 공정 동안, 화학적 린스 및 회전 건조 공정으로부터 잔류하여 인접한 포토레지스트 피처 사이에 위치하게 된 린싱 액체 탈염수의 액체 또는 용액의 과도한 모세관력으로 인해 굽힘 및/또는 붕괴를 겪을 수 있다. 모세관력에 의해 야기되는 작은 피처 사이의 최대 응력 σ 은 Namatsu et al. Appl. Phys. Lett. 66(20), 1995 에 따라 다음과 같이 기술될 수 있다:
Figure pct00001
식 중, γ 는 유체의 표면 장력이고, θ 는 피처 재료 표면 위의 유체의 접촉각이고, D 는 피처 사이의 거리이고, H 는 피처의 높이이고, W 는 피처의 너비임.
최대 응력을 낮추기 위해, 일반적으로 하기 접근법이 존재한다:
(a) 유체의 표면 장력 γ 을 낮춤,
(b) 피처 재료 표면 위의 유체의 접촉각을 낮춤.
액침 리소그래피 동안 최대 응력 σ 을 낮추는 또다른 접근법은 더욱 소수성이 되도록 개질된 중합체를 함유하는 포토레지스트를 사용하는 것을 포함할 수 있다. 그러나, 이러한 용액은 현상 용액의 습윤성을 감소시킬 수 있다.
종래의 포토리소그래픽 공정의 또다른 문제는 레지스트 및 광학 해상도 한계로 인한 선 모서리 조도 (Line Edge Roughness) (LER) 및 선 폭 조도 (Line Width Roughness) (LWR) 이다. LER 는 피처의 이상적 형태로부터의 수평 및 수직 편차를 포함한다. 특히 임계 치수가 줄어들면서, LER 은 더욱 문제가 되고 IC 소자의 제조 공정에서 수율 손실을 야기할 수 있다.
치수의 축소로 인해, 결함 감소를 달성하기 위한 입자의 제거도 결정적 인자로 된다. 이는 포토레지스트 패턴 뿐만 아니라 광학 소자, 미세기계 및 기계 정밀 소자의 제조 동안 생성되는 기타 패턴화된 재료 층에도 적용된다.
종래의 포토리소그래픽 공정의 부가적 문제는 워터마크 결함 (watermark defects) 의 존재이다. 탈염수 또는 린스 액체가 포토레지스트의 소수성 표면으로부터 회전 제거 (spin off) 될 수 없으므로, 포토레지스트 위에 워터마크가 형성될 수 있다. 포토레지스트는 특히 고립된, 또는 밀도 높지 않은, 패턴화의 부위에서 소수성일 수 있다. 워터마크는 수율 및 IC 소자 성능에 유해한 효과를 갖는다.
US7741260 B2 에는 구조의 접촉 각을 40 에서 적어도 70 으로 변화시켜, 구조의 패턴 붕괴를 최소화하는 능력을 가진 하나 이상의 성분으로 이루어지는 린스 유체가 기재되어 있다.
클리닝 용액에 대한 많은 추가의 첨가제는 선행 기술로부터 공지된다. 그러나, 이들 중 어느 것도 2 가지 종류의 첨가제의 조합을 사용하지는 않는다. 예를 들어, 여러 가지 화합물이 US 7238653 B2, US 7795197 B2, WO 2002067304 A1, US 7314853 B2, JP 4437068 B2, WO 2008047719 A1, WO 2006/025303 A1, WO 2005/103830 A1, US 7129199 B2, US 2005/0176605 A1, US 7053030 B2, US 7195863 B2, DE 10 2004 009 530 A1, EP 1 553 454 A2, US 2000/53172 A1, 및 US2005/0233922 A1 에 제안되어 있다.
US 7521405 B2 에는 린스 제형에서 사용될 수 있는 계면활성제, 예컨대 아세틸렌 디올 화합물 및 많은 다른 유형 계면활성제가 기재되어 있다. 표면 장력의 생성물 및 포토레지스트 표면에 대한 계면활성제 제형의 접촉 각의 코사인이 낮은 경우 최저 패턴 붕괴가 달성되는 것이 추가로 논의된다.
US 2010/0248164 A1 에는 패턴화된 임계 치수의 팽창을 방지하기 위해, 음이온성 계면활성제, 아민 화합물, 예컨대 알칸올아민 또는 4 차 암모늄 화합물, 및 물로 이루어지는 패턴 붕괴를 방지하기 위한 린스 용액이 기재되어 있다.
US 6670107 B2 에는 임계 미셀 농도와 동일한 또는 그 미만의 농도로 계면활성제를 포함하는 린스 용액을 사용함으로써 전자 소자에서의 결합을 감소시키기 위한 방법이 기재되어 있다. 일반적으로 양이온성 및 비-이온성 계면활성제의 혼합물 및 음이온성 및 비-이온성 계면활성제의 혼합물이 사용될 수 있는 것으로 언급된다.
US 2009/0004608 A1 에는 음이온성 계면활성제와 조합으로, 질소-함유 양이온성 계면활성제를 함유하는 항-패턴 붕괴 세제 제형이 기재되어 있다. 상기 조합이 계면활성제의 함량을 감소시킬 수 있으므로, 낮은 표면 장력을 유지하면서, 포토레지스트 팽창을 방지하는 것으로 논의된다.
전체적인 계면활성제 농도를 감소시키는 것이 유리할 수 있는데 음이온성 및 양이온성 계면활성제의 혼합에 대해 일부 현저한 단점이 하기와 같기 때문이다:
1. 제형의 침전을 야기하거나 또는 음이온성-양이온성 계면활성제 제형의 중기 및 장기간 안정성에 영향을 줄 수 있는 반대 전하 실체 사이의 강한 상호작용 및 매우 소수성인 착물의 형성으로 인한 불용성 침전물의 제형.
2. 미세 입자 및 응집물 (침전물) 의 제-자리 생성은 음이온성 및 양이온성 계면활성제가 음이온성/양이온성 착물의 가용성 생성물 근처 또는 그 초과의 농도인 경우, 또는 농도의 국부 변형으로 인한 경우 발생할 수 있다.
3. 클리닝 용액은 순수한 음이온성, 또는 양이온성 계면활성제와 비교하여 표면 제타 전위의 크기를 증가시키는 능력의 감소로 인해 표면 상의 더러움, 또는 입자 재-침전을 방지할 수 없을 것이다.
4. 시스템 안정성 및 계면활성제-표면 상호작용에 있어서 비예측가능한 시스템.
본 발명의 목적은 50 ㎚ 이하의 노드, 특히 32 ㎚ 이하의 노드, 특히 22 ㎚ 이하의 노드를 위한 집적 회로의 제조 방법을 제공하는 것이며, 상기 방법은 선행 기술 제조 방법의 단점을 더이상 나타내지 않는다.
특히, 본 발명에 따른 화합물은 하기를 허용할 것이다:
포토레지스트 층의 액침 포토리소그래피,
마스크를 통하여 화학 방사선에 노광된 포토레지스트 층의 현상,
패턴 붕괴, LER, LWR 및 워터마크 결함의 증가를 야기하지 않으면서, 높은 종횡비 및 선-공간 치수 50 ㎚ 이하, 특히 32 ㎚ 이하, 특히 22 ㎚ 이하를 갖는 패턴을 포함하는
패턴화된 재료 층의 화학적 린스.
본 발명에 따른 성분은 현상된 포토레지스트 패턴의 표면 조도를 매끄럽게함으로써 LER 및 LWR 의 유의한 감소를 허용할 것이다. 본 발명에 따른 성분은 또한 패턴화된 재료 층, 특히, 그에 제한되는 것은 아니나 포토레지스트 패턴 위에서 워터마크 결함의 효율적 방지 및/또는 제거를 허용할 것이다. 게다가 본 발명에 따른 성분은 패턴화된 재료 층, 특히, 그에 제한되는 것은 아니나 포토레지스트 패턴 위에서 유의한 결함 감소를 달성하기 위한 입자의 효율적 제거를 허용할 것이다.
본 발명의 첫번째 양상은 50 nm 이하의 선-공간 치수를 갖는 패턴을 포함하는 기판을 처리하기 위한, 하기와 같은 하나 이상의 비-이온성 또는 쯔비터이온성 계면활성제 A 및 하나 이상의 소수성화제 (hydrophobizer) B 를 포함하는 수성 조성물의 용도이다:
(a) 계면활성제 A 는 임계 미셀 농도에서 물 중 계면활성제 A 의 용액으로부터 측정되는, 10 mN/m 내지 35 mN/m 의 평형 표면 장력을 가지고,
(b) 소수성화제 B 는 기판에 대한 물의 접촉 각이 물 중 소수성화제 B 의 용액으로 기판을 접촉함으로써 이러한 접촉 전 기판에 대한 물의 접촉 각과 비교하여 5-95°증가되는 식으로 선택됨.
본 발명의 또다른 양상은 하기 단계를 포함하는, 집적 회로 소자, 광학 소자, 미세기계 및 기계 정밀 소자의 제조 방법이다:
(1) 선-공간 치수 50 ㎚ 이하 및 종횡비 2 이상을 갖는 패턴화된 재료 층을 갖는 기판을 제공하는 단계;
(2) 기판을 본원에 정의된 바와 같은 적어도 계면활성제 A 및 소수성화제 B 를 함유하는 수성 용액과 1 회 이상 접촉시키는 단계,
(3) 수성 용액을 기판과의 접촉으로부터 제거하는 단계.
선행 기술에 비추어, 본 발명의 목적이 본 발명에 따른 용도 또는 방법에 의해 해결될 수 있다는 점은 놀라웠고, 당업자에 의해 예측될 수 없었다.
본 발명은, 놀라운 방식으로, 비-이온성 및 양이온성 계면활성제의 조합을 사용함으로써 선행 기술의 모든 단점을 완전히 회피한다. 근본적인 차이는 계면활성제 혼합물의 불용성에 대한 본질적인 원인을 제거하는 것이다. 본 발명은 규정된 범주에 따라 선택된 비-이온성과 양이온성 화합물과의 혼합물을 사용한다. 비-이온성 화합물과 양이온성 화합물 기재의 제형은 음이온성-양이온성 계면활성제 혼합물 기재의 제형에 비해 양호한 장기간 안정성, 양호한 입자 제거 효율, 고유한 입자 생성 능력의 감소 또는 소멸을 갖는다.
계면활성제 A 및 소수성화제 B 는 성분 중 하나 이상의 침전의 위험 없이 비교적 높은 농도로 있을 수 있다.
본 발명에 따른 2 가지 이상의 상이한 첨가제인, 비-이온성 계면활성제 A 및 소수성화제 B 의 사용은 특히 선-공간 치수 50 ㎚ 이하, 특히 32 ㎚ 이하, 가장 특히 22 ㎚ 이하를 갖는 패턴을 포함하는 패턴화된 현상된 포토레지스트 층에 유용하다.
게다가, 본 발명에 따른 2 가지 이상의 상이한 첨가제인, 계면활성제 A 및 소수성화제 B 의 사용은 패턴 붕괴, 선 모서리 조도 (LER), 선 폭 조도 (LWR) 및 워터마크 결함을 야기하지 않으면서, 포토레지스트 구조의 경우 종횡비 2 이상, 특히, 비-포토레지스트 구조의 경우 10 이상에 특히 유용하다.
본 발명에 따른 2 가지 이상의 상이한 첨가제를 포함하는 클리닝 용액이 포토레지스트 구조 뿐 아니라 높은 종횡비 스택 (HARS) 을 갖는 비-포토레지스트 패턴의 항 패턴 붕괴를 회피하는데 일반적으로 유용하다는 것이 명시되어야만 한다.
포토레지스트 경우에서 항-패턴 붕괴, LER 및 LWR 감소 및 결함 제거. (전형적인 종횡비는 2 내지 4 임). HARS 경우에 대해 항 패턴 붕괴 및 결함 감소/클리닝 (전형적인 종횡비는 10 이상임) 을 필요로 한다. 그러나, 본 발명에 따른 2 가지 이상의 상이한 계면활성제를 사용함으로써 패턴 붕괴를 억제하려는 기본적인 개념은 동일하다.
정 반대로, 본 발명의 방법은 현상된 포토레지스트 패턴의 표면 조도를 매끄럽게함으로써 LER 및 LWR 의 유의한 감소를 허용하고, 포토레지스트 패턴 뿐 아니라 다른 패턴화된 재료 층 위에서 워터마크 결함의 효율적 방지 및/또는 제거를 허용하며, 포토레지스트 패턴 뿐 아니라 다른 패턴화된 재료 층 위에서 유의한 결함 감소를 달성하기 위한 입자의 효율적 제거를 허용하였다.
본 발명은 집적 회로 (IC) 소자, 광학 소자, 미세기계 및 기계 정밀 소자, 특히 IC 소자와 같은 서브 32 nm 크기의 피처를 포함하는 패턴화된 재료의 제조에 특히 적합한 조성물에 관한 것이다.
IC 소자, 광학 소자, 미세기계 및 기계 정밀 소자의 제조에 사용되는 임의의 관습적 공지된 기판이 본 발명의 방법에서 사용될 수 있다. 바람직하게는, 기판은 반도체 기판, 더욱 바람직하게는 실리콘-갈륨 웨이퍼를 포함하는 실리콘 웨이퍼이며, 상기 웨이퍼는 IC 소자, 특히 LSI, VLSI 및 ULSI 를 갖는 IC 를 포함하는 IC 소자의 제조에 관습적으로 사용된다.
상기 조성물은 특히 선-공간 치수 50 ㎚ 이하, 특히, 32 ㎚ 이하, 특히, 22 ㎚ 이하를 갖는 패턴화된 재료 층, 즉 서브(sub)-22 ㎚ 기술 노드를 위한 패턴화된 재료 층을 갖는 기판을 처리하는데 적합하다. 패턴화된 재료 층은 바람직하게는 2 초과, 바람직하게는 10 초과, 더욱더 바람직하게는 50 초과의 비율을 갖는다. 특히, 패턴화된 재료 층이 포토레지스트 구조를 포함하거나 그것으로 이루어질 때 비율은 2 초과이고, 패턴화된 재료 층이 비-포토레지스트 구조를 포함하거나 그것으로 이루어질 때 비율은 10 초과이다. 가장 바람직하게는, 예를 들어, 15 ㎚ 플래시 소자의 경우, 종횡비는 75 이하이다.
본 발명에 따른 조성물은 구조가 그들의 기하구조로 인해 붕괴하는 경향이 있는 한 임의의 패턴화된 재료의 기판에 적용될 수 있다.
예로서, 패턴화된 재료 층은 하기일 수 있다:
(a) 패턴화된 현상된 포토레지스트 층,
(b) 루테늄, 티탄 니트리드, 탄탈룸 또는 탄탈룸 니트리드를 함유하거나 그것으로 이루어지는 패턴화된 장벽 재료 층,
(c) 실리콘, 폴리실리콘, 실리콘 디옥시드, 저-k 및 극-저-k 재료, 고-k 재료, 실리콘 및 폴리실리콘 이외의 반도체 및 금속으로 이루어지는 군으로부터 선택되는 둘 이상의 상이한 재료의 층을 함유하거나 그것으로 이루어지는 층의 패턴화된 멀티-스택 재료 층; 및
(d) 실리콘 디옥시드 또는 저-k 또는 극-저-k 유전 재료를 함유하거나 그것으로 이루어지는 패턴화된 유전 재료 층.
본 발명에 따른 조성물은 적어도 계면활성제 A 및 적어도 화합물 B 를 포함한다. 소수성화제 B 는 주로 린스하고자 하는 표면의 소수성화제로서 작용하지만, 그럼에도 불구하고 계면활성제의 특성을 가질 수 있다. 계면활성제 A 및 소수성화제 B 는 둘 다 또한 첨가제로서 언급된다.
2 가지 이상의 상이한 첨가제를 포함하는 조성물은 바람직하게는 수성 용액이다.
"수성" 은 용매가 주용매로서 물, 바람직하게는 탈염수, 가장 바람직하게는 초순수를 포함하는 것을 의미한다. 수성 조성물은 수혼화성 극성 유기 용매를 함유할 수 있긴 하지만, 조성물의 수성 본질을 저해하지 않을 정도로 적은 양으로 함유한다. 바람직하게는 용매는 본질적으로 물, 바람직하게는 탈염수, 가장 바람직하게는 초순수로 이루어진다. 초순수의 예는 농도 5 ppt (ng/kg) 이상, 음이온 농도 5 ppb (ng/g) 이상, 총 유기 함량 (TOC) 50 ppb (ng/g) 이상이고, 0,2 mm 초과의 입자를 ml 당 10000 개 함유한다.
"임계 미셀 농도" 또는 "CMC" 는 계면활성제 농도가 증가해도 표면 장력이 실질적으로 변치않고 남아있는 것 이상의 물 중 계면활성제의 농도를 말한다. 이러한 임계 미셀 농도는 당업자에게 잘 공지된다. 전형적으로는, 본 발명에서 사용되는 계면활성제의 양은 약 5000 ppm 미만, 바람직하게는 약 1000 ppm 미만, 더욱 바람직하게는 500 ppm 미만, 가장 바람직하게는 약 250 ppm 미만이다. 임계 미셀 농도는 공기-액체 계면 장력의 감소 대 계면활성제 농도를 모니터링함으로써 측정될 수 있는데, 이때 표면 장력은 플레이트 방법에 의해 ISO 304-1985 (2) 에 따라 측정된다.
계면활성제 A
본 발명에 따른 비-이온성 계면활성제 (또한 계면활성제 A 로서 언급됨) 는 이들의 임계 미셀 농도 (CMC) 에서 및 그 초과에서 측정된, 25℃ 에서 물의 약 72 mN/m 와 비교하여, 35 mN/m 이하, 바람직하게는 25 mN/m 이하, 더욱 바람직하게는 30 mN/m 이하, 가장 바람직하게는 20 mN/m 이하의 저하된 평형 표면 장력을 달성할 수 있는 임의의 유형의 계면활성제로부터 선택될 수 있다.
전형적으로 CMC 값은 다양한 방법으로, 예컨대, 표면 장력 대 계면활성제 농도, 전도성 등을 모니터링함으로써 측정되고, 이것은 분자가 미셀이라고 불리는 실체로 응집되기 시작하는 계면활성제의 농도에 관한 것이다. CMC 값 초과에서 계면활성제의 추가 첨가는 공기-엑체 계면 장력을 더이상 저하시키지는 않으나, 그보다는 핵형성 및 미셀 실체의 성장에 다소 기여할 것이다. 이 경우 CMC 값은 ISO 304 에 따라, 플레이트 방법을 사용하여, 25℃ 및 비 포말 조건에서 표면 장력 대 계면활성제 농도를 모니터링함으로써 측정되었다.
CMC 또는 초과에서 물 중 계면활성제 A 의 용액은 약 25℃ 에서 표적 기판 위에서 양호한 습윤 능력, 또는 바람직하게는 총 습윤을 가져야만 한다.
게다가, CMC 근처 또는 초과에서 계면활성제 A 를 함유하는 용액은 초기 액적 형성으로부터 30 초 후, 35° 미만의 표적 기판에 대한 접촉 각의 값에 도달해야만 한다. 바람직하게는, CMC 값 또는 이상에서 계면활성제 A 를 함유하는 용액의 접촉 각은 30 초 또는 이하 후 0° 내지 30°, 더욱 바람직하게는 0°내지 20°, 가장 바람직하게는 0° 내지 10° 이다.
계면활성제 A 는 비-이온성이다. 본원에서 사용되는 바와 같은 "비-이온성" 은 전체 화합물이 비전하를 띠고 임의의 반대-이온이 전기적으로 중성일 필요가 없는 것을 의미한다. 계면활성제 A 는 쯔비터이온성, 전하가 내부적으로 보상된 양쪽성, 또는 분자내적으로 비-이온성일 수 있다. 바람직하게는 계면활성제 A 는 분자내적으로 비-이온성이다.
계면활성제 A 는 하기로부터 선택될 수 있으나 이에 제한되지 않는다:
(A1) 단 분지형 퍼플루오로알킬 계면활성제,
(A2) 트리-실록산 계면활성제,
(A3) 알콕시화 알킬 및 퍼플루오로 알킬 계면활성제,
(A4) 알킬 폴리글리코시드,
(A5) 아민 옥시드, 및
(A6) 아세틸렌 디올.
단 분지형 퍼플루오로알킬 계면활성제 (A1) 은 트리플루오로메틸, 펜타플루오로에틸, 1-헵타플루오로프로필, 2-헵타플루오로프로필, 및 펜타플루오로설파닐로 이루어지는 군으로부터 선택되는 3 개 이상의 단쇄 과불소화된 기 Rf 를 포함하는 계면활성제일 수 있으나 이에 제한되지 않는다.
바람직하게는, 과불소화된 기 Rf 는 동일한 다가 중심 부분 M 에 결합된다.
더욱 바람직하게는, 계면활성제 A 내의 과불소화된 기 Rf 는 공유 결합, 규소 원자, 질소 원자, 인 원자, 산소 원자, 황 원자 및 2-원자가 유기 연결기 LA 로 이루어지는 군으로부터 선택되는 링커 X 를 통해 다가 중심 부분 M 에 결합되며; Rf, MA 및 XA 가 일반 화학식 (RfXA-)aMA- (식 중, 지수 a 는 3 이상의 정수임) 의 소수성 기 DA 를 구성한다. 계면활성제 A 는 하나 이상의 소수성 기 DA 를 함유할 수 있다.
특정 구현예에서, 하나 이상의 소수성 기 DA 는 음이온성 기, 양이온성 기 및 비이온성 기로 이루어지는 군으로부터 선택되는 하나 이상의 친수성 기 EA 에; 공유 결합, 규소 원자, 질소 원자, 인 원자, 산소 원자, 황 원자 또는 2-원자가 유기 연결기 LA 로 이루어지는 군으로부터 선택되는 링커 YA 를 통해 결합될 수 있으며; DA, YA 및 EA 가 일반 화학식 (DAYA-)bEA) (식 중, 지수 b 는 1 이상의 정수임) 계면활성제 A 를 구성한다.
예를 들어, 이러한 단 분지형 퍼플루오로알킬 계면활성제 (A1) 은 본원에 참조로서 인용된, WO 2012/101545, WO 2008/003443 A1, WO 2008/003445 A1, WO 2008/003446 A2 및 WO 2009/149807 A1 및 US 2009/0264525 A1, WO 2010/149 262 A1, WO 2006/072401 A1, DE 10 2011 114 651 A1, DE 10 2011 114 650 A1 에 더욱 상세히 설명된다.
실리콘 기재 계면활성제 (A2) 는 하기 일반 화학식 A-IIa 및 A-IIb 의 실록산 계면활성제로부터, 이에 제한되지 않으면서 선택될 수 있다:
Figure pct00002
(A-IIa)
Figure pct00003
(A-IIb)
(식 중,
u, v 는 0 내지 5, 바람직하게는 0 내지 3, 가장 바람직하게는 0 또는 1 로부터 독립적으로 선택되는 정수이고,
w 는 0 내지 6, 바람직하게는 1 내지 6, 가장 바람직하게는 1 내지 3 의 정수이고,
x 는 1 내지 22, 더욱 바람직하게는 4 내지 15, 가장 바람직하게는 6 내지 10 d 의 정수이고,
y 는 1 내지 5, 바람직하게는 1 내지 3, 가장 바람직하게는 1 의 정수이고,
R10 은 H 또는 C1 내지 C10 알킬 기로부터 선택되고,
R11 은 H, 메틸 또는 에틸, 바람직하게는 H 또는 메틸, 가장 바람직하게는 H 로부터 선택됨).
화학식 Ia 는 소위 트리실록산 유형 및 레이크 (rake) 유형 실록산 계면활성제를 포괄한다. 화학식 Ib 는 소위 ABA-유형 실록산 계면활성제를 포괄한다.
트리실록산 계면활성제가 바람직하다. 이러한 트리실록산 계면활성제는 상표명: Silwet™ L-77, Tegopren™ 5847, 등으로 시판된다. 폴리실록산 계면활성제의 안정성이 높은 pH 에서 낮으므로, 가수분해에 안정한 제형이 중간 염기성 pH 에서 사용되어야만 한다.
에틸렌 옥시드와 프로필렌 옥시드 계면활성제의 알콕시 말단 공중합체 (A3) 은 하기 일반 화학식 A-III 을 갖는다:
(R12-O)c-(EO)a(PO)bH (A-III)
(식 중,
R12 는 임의로 불소화되거나 또는 과불소화될 수 있는 C4 내지 C30 알킬로부터 선택되는 c-가 기이고,
EO 는 옥시에탄디일 (또한 옥시에틸렌으로 불림) 이고,
PO 는 옥시프로판디일 (또한 옥시프로필렌으로 불림) 이고,
a 는 1 내지 100 의 정수이고,
b 는 0 내지 100 의 정수이고,
c 는 1 내지 6 의 정수임).
바람직하게는, R10 은 C6 내지 C25 알킬, 가장 바람직하게는 C8 내지 C18 로부터 선택된다.
바람직하게는, a 는 1 내지 20, 가장 바람직하게는 1 내지 10 의 정수이다.
바람직하게는, b 는 0 내지 20, 가장 바람직하게는 0 내지 10 의 정수이다.
바람직하게는 c 는 1 내지 4, 더욱 바람직하게는 1 또는 2, 가장 바람직하게는 1 이다.
에틸렌 옥시드 및 프로필렌 옥시드의 이러한 공중합체는 EO 및 PO 단위의 블록, 랜덤, 교대 또는 임의의 다른 구조 순서를 포함할 수 있다.
이러한 계면활성제 A3 은 예를 들어, BASF 에서 상표명 Plurafac®, Lutensol®, Lutensit®, 및 Emulan® 으로 이용가능하다. DuPont 사의 과불소화된 에톡시화 계면활성제, 예컨대 Capstone FS 30, FS 31, FS 34, FS 35, Zonyl FSH, Zonyl FS 300, Zonyl FSN, Zonyl FSN-100, Zonyl FSO, Zonyl FSO-100 로, 이들은 매우 낮은 표면 장력 및 우수한 습윤 특성을 제공한다.
추가의 비-제한적인 예는 아민 옥시드 계면활성제, 예컨대 Akzo Nobel 의 Aromox® 및 BASF 의 Dehyton PL, DuPont 의 과불소화된 알킬 아민 옥시드 계면활성제, 예컨대 Capstone™ FS - 51, 2-설포석신산 에스테르 (BASF 에서 Lutensit® ABO 로서 이용가능), Lutensit®ALBA (BASF 에서 이용가능) 이다.
과불소화된 계면활성제의 카테고리로부터 DuPont 사의 Capstone™ FS-30, 35, 51, 61, 64, 65, Zonyl FS™ 300, 510, 610, 640 가 언급된다.
알킬 폴리글루코시드 또는 폴리사카라이드 (A4) 는 하기 일반 화학식 A-IV 에 의해 기재된다:
R21O(CnH2nO)j(Z)k (A-IV)
(식 중,
R21 은 알킬, 알킬페닐, 히드록시알킬페닐로 이루어지는 군으로부터 선택되는 소수성 기이고, 이때 알킬 기는 약 10 내지 18, 바람직하게는 약 12 내지 14 개의 탄소 원자를 함유하며,
n 은 바람직하게는 2 또는 3, 바람직하게는 2 이고,
j 는 0 내지 10, 바람직하게는 0 이고,
k 는 1 내지 8, 바람직하게는 1 내지 3 이고,
Z 는 헥소오스, 글루코오스, 글루코오스의 유도체, 수크로오스, 소르비탄, 바람직하게는 글루코오스로부터 선택됨).
시판 폴리글루코시드의 예는 C8/10 알킬 사슬 및 1,4 의 중합도를 가진 Glucopon 225 CS 이다. C10 의 선형 알킬 (데실) 및 0.1 wt% 에서 29 mN/m 의 표면 장력을 갖는 Glucopon 225DK/HH, Glucopon 650 EC C12 (라우릴 글리코시드) 및 다른 유형의 것이 Plantapon™, Glucopon™, Plantacare™, T-MAZ™, BASF 의 브랜드에 포함될 수 있다.
비-이온성 유형 계면활성제로 고려되는 적합한 아민 옥시드 (A5) 는 하기 화학식 A-V 를 갖는 이들 화합물을 포함하나 이에 제한되지 않는다:
Figure pct00004
(A-V)
(식 중,
R41 은 C6 내지 C30 소수성 유기 라디칼이고,
R42, R43 은 독립적으로 C1-C4 알킬 또는 C1-C4 히드록시알킬로부터 선택됨).
비-제한적인 예는 아민 옥시드 계면활성제, 예컨대 Akzo Nobel 의 Aromox® 및 BASF 의 Dehyton PL, Clariant 의 Genaminox®, Mason Chemical Company 의 Macat® AO 이다.
또다른 계열의 적합한 비-이온성 아세틸렌 디올 계면활성제 (A6) 는 하기 일반 화학식 A-VI 의 계면활성제로 나타내지나 이에 제한되는 것은 아니다:
Figure pct00005
(A-VI)
(식 중,
R51 및 R4 는 선형 또는 분지형 C3 내지 C10 알킬이고,
R52 및 R53 은 H 및 선형 또는 분지형 C1 내지 C5 알킬로부터 선택되고,
q, r, s 및 t 는 0 내지 20 의 정수임).
예는, 제한 없이 Air Products 의 Surfynol 및 Dynol 브랜드이다. 이들은 우수한 습윤 특성 및 중간에서 낮은 표면 장력으로 알려져 있다.
소수성화제 B
본 발명에 따른 두번째 유형의 첨가제 (또한 소수성화제 B 로서 언급됨, 계면활성제 A 와 함께 또한 화합물 A 및 B 로서 언급됨) 는 표적 기판의 액침, 즉, 방사선에 노광 또는 비-노광된 후에, 물 중의 용액 (오직) 소수성화제 B ("용액 B") 내로, 물 접촉 각이 용액 B 중의 액침 전 후에 변화하는 식으로 표면 소수성화를 달성할 수 있는 임의의 유형의 계면활성제로부터 선택될 수 있다. 액침 후 접촉 각은 액침 전의 접촉 각에 비해, 5-95° 증가된다. 바람직하게는 접촉 각은 적어도 5°, 더욱 바람직하게는 적어도 10°, 더 더욱 바람직하게는 적어도 15°, 더 더욱 바람직하게는 적어도 20°, 더 더욱 바람직하게는 적어도 25°, 가장 바람직하게는 적어도 30°증가한다. 증가폭이 높을수록, 소수성화제 B 의 성능이 더욱 양호하다.
액침 시간은 변동 없는 상태에 도달할 만큼 충분히 길어야만 한다. 약 5 초 내지 약 5 분의 액침 시간은 일반적으로 유용하다. 액침 시간이 너무 짧으면, 소수성화제의 영향이 제한되고, 이것이 너무 길면, 용납할 수 없게 시간 소모가 길다. 바람직하게는 액침 시간은 10 초 내지 2 분이다. 일반적으로, 접촉 각은 소수성화제 B 와의 30 초 액침 후 변동 없는 상태에 도달해야만 한다.
각각의 기판의 접촉 각의 이동은 각각의 용액 B 로의 처리 전 후 기판에 대한 물의 접촉 각을 측정함으로써 결정된다. 접촉 각은 MobileDrop™Contact Angle Measuring Instrument, KRUSS GmbH, Hamburg, 2006-2008 의 사용자 메뉴얼에 기재된 방법에 따라 결정된다.
임의의 이론에 구애되지 않고, 본 출원인은 소수성화제 B 가 표면에 결합하여 린스 상 종료시 표면 에너지가 시작보다 더 낮아지는 식으로 패턴화된 재료의 표면 에너지 (습윤능, 또는 소수성 정도) 를 변경한다고 여긴다.
소수성화제 B 가 결합하고 표면 상에 남아있다는 직접적인 결과 및 또한 증거는 목적하는 린스 제형과의 접촉 전, 동안 및 후에 제타 전위를 측정함으로써 모니터링할 수 있다. 양호한 클리닝 효율 및 소수성 입자, 또는 더러움의 표면 상에 재 부착을 방지하기 위해, 제타 전위의 절대 규모가 양성 또는 음성 값을 향해, 본래 표면의 것보다 높은 값으로 증가하는 순 효과가 있는 것이 바람직하다.
제타 전위, 즉 ISO 13099 에 따른 스트리밍 전위/전류 방법을 SurPass Electrokinetic Analyzer 에 대한 지시 메뉴얼 (Anton Parr GmbH, 2012, Graz, Austria) 에 기재된 방법에 따라 측정하였다.
입자 재-침전 효율을 방해하기 위해, 제타 전위가 적어도 ±15 mV, 더욱 바람직하게는 적어도 ±18 mV, 더 더욱 바람직하게는 적어도 ±24 mV, 더 더욱 바람직하게는 적어도 ±30 mV, 더 더욱 바람직하게는 적어도 ±35 mV, 가장 바람직하게는 적어도 ±40 mV 이동되는 것이 바람직하다. 이동이 높을수록, 소수성화제 B 의 성능이 양호하다.
예시를 위해, 도 2 는 스트리밍 전위 방법에 의해 측정된 비-노광된 포토레지스트 표면 (Immersion ArF photoresist) 의 제타 전위를 보여주는데, 첫번째 막대는 물 + 1 mM KCl (전해질) 중의 고유의 표면 제타 전위 값 (-25 mV) 을 나타낸다. 두번째 막대는 소수성화제 B (양이온성 소수성화제) 를 함유하는 용액의 흐름 동안, 동일한 셀 내의 제자리에서 측정된 표면 제타 전위 값 (+36 mV) 을 나타낸다. 음성에서 양성 값으로의 제타 전위 반전은 명백하다. 그래프 내 세번째 막대는 동일한 셀 내에서 제자리에서 측정된, 최종 흐름 및 소수성화제 B 제형의 물 및 1 mM KCl 으로의 대체 후 포토레지스트 표면의 제타 전위를 나타낸다. 표면 제타 전위 값은 음성 (-7 mV) 으로 돌아오지만, 이의 규모는 고유의 표면 제타 전위 (-25 mV) 보다 상당히 낮다. 상기 사실에 근거하여, 소수성화제 B 는 심지어 물 + 1 mM KCl 로 린스 후에도 표면 상에 남아있는 것으로 결론내린다.
바람직하게는 물 중의 소수성화제 B 단독은 30 mN/m 내지 80 mN/m, 더욱 바람직하게는 35 mN/m 내지 75 mN/m, 가장 바람직하게는 40 mN/m 내지 70 mN/m 의 표면 장력을 가질 수 있다.
소수성화제 B 는 양이온성, 양쪽성 또는 쯔비터이온성일 수 있다. 바람직하게는 소수성화제 B 는 양이온성이다.
소수성화제 B 는 단량체성 또는 중합체성 화합물일 수 있다. 중합체성이란 성분이 2 개 이상의 단량체성 단위, 바람직하게는 10 개 이상의 단량체성 단위, 가장 바람직하게는 20 개 이상의 단량체성 단위를 포함하는 것을 의미한다.
바람직하게는 소수성화제 B 는 질소 원자를 포함한다.
소수성화제 B 는 바람직하게는 하기로부터 선택될 수 있으나 이에 제한되지 않는다:
(B1) 저급 4 차 알킬 암모늄 계면활성제,
(B2) 제미니 (gemini) 4 차 알킬 암모늄 계면활성제, 및
(B3) 폴리아민.
4 차 알킬 암모늄 계면활성제 (B1) 는 하기 일반 화학식 B-I 의 것이다:
Figure pct00006
(B-I)
(식 중,
R1 은 하기 화학식의 부분으로부터 선택되고:
Figure pct00007
R2, R3, R4 는 R1 및 H 로부터 선택되고,
R5 는 H, OH 및 C1 내지 C10 알킬, C1 내지 C6 플루오로 및 퍼플루오로 알킬로부터 선택되고,
z 는 1 내지 18 의 정수이고,
R6 은 H 및 C1 내지 C10 알킬, C1 내지 C6 플루오로 및 퍼플루오로 알킬로부터 선택됨).
바람직하게는, R5 는 H, OH 및 C1 내지 C6 알킬로부터 선택된다.
바람직하게는, n 은 1 내지 12, 더욱 바람직하게는 1 내지 8, 가장 바람직하게는 1 내지 5 의 정수이다.
바람직하게는, R6 은 H 및 C1 내지 C8 알킬, 더욱 바람직하게는 H 또는 C1 내지 C5 알킬, 가장 바람직하게는 H 또는 C1 내지 C3 알킬로부터 선택된다.
제미니 계면활성제 (B2) 는 하기 일반 화학식 II 의 것이다:
Figure pct00008
(B-II)
(식 중:
X 는 2-원자가 기이며, 하기로부터 독립적으로 선택되는 각각의 반복 단위 1 내지 n 개에 대해
(a) 임의로 치환될 수 있고 O 및 N 으로부터 선택되는 5 개 이하의 헤테로원자가 임의로 삽입될 수 있는, 선형 또는 분지형 C1 내지 C20 알칸디일,
(b) 임의로 치환될 수 있고 O 및 N 으로부터 선택되는 5 개 이하의 헤테로원자가 임의로 삽입될 수 있는, C5 내지 C20 시클로알칸디일,
(c) 화학식 -X1-A-X2- 의 C6 내지 C20 유기 기 (식 중, X1 및 X2 는 C1 내지 C7 선형 또는 분지형 알칸디일로부터 독립적으로 선택되고, A 는 C5 내지 C12 방향족 부분 또는 C5 내지 C30 시클로알칸디일로부터 선택되며, 상기 H 원자는 임의로 치환될 수 있고, 상기 C 원자에는 O 및 N 으로부터 선택되는 5 개 이하의 헤테로원자가 임의로 삽입될 수 있음),
(d) 하기 화학식 B-IIa 의 폴리옥시알킬렌 디라디칼:
Figure pct00009
(B-IIa)
(식 중, p 는 0 또는 1 이고, r 은 1 내지 100 의 정수이고; R5 는 H 및 선형 또는 분지형 C1 내지 C20 알킬 기로부터 선택되고,
R1 및 R2 는 독립적으로 H, 선형 또는 분지형 C1 내지 C20 알킬, C5 내지 C20 시클로알킬, C5 내지 C20 아릴, C6 내지 C20 알킬아릴, C6 내지 C20 아릴알킬, C1 내지 C20 히드록시알킬, 또는 C2 내지 C4 옥시알킬렌 단독중합체 또는 공중합체로부터 선택되는 1-원자가 기이고, 이들 모두는 바람직하게는 설포네이트 기로 추가로 치환될 수 있고,
R3 및 R4 는 선형 또는 분지형 C5 내지 C30 알킬 기, C5 내지 C30 시클로알킬, C1 내지 C20 히드록시알킬, 및 C2 내지 C4 옥시알킬렌 단독중합체 또는 공중합체로부터 독립적으로 선택되는 1-원자가 기이고, 이들 모두는 임의로 치환될 수 있고, 쌍-방식 R3-R4 및 인접 R4-R4 및 R3-R3 은 임의로 함께 상기 정의된 바와 같은 2-원자가 기 X 를 형성할 수 있고, 또한 분지화에 의한 분자의 연속 Q 일 수 있으며, n 이 2 이상인 경우, R3, R4 또는 R3 및 R4 는 또한 수소 원자일 수 있고,
n 은 1 내지 5 의 정수이고, 또는, X, R3 및 R4 중 하나 이상이 C2 내지 C4 폴리옥시알킬렌 기를 포함하는 경우, n 은 1 내지 10000 의 정수일 수 있고, 단 하나 이상의 Q 가 존재하는 경우, n 은 분지 Q 의 모든 반복 단위를 포함하고,
Q 는
Figure pct00010
이고,
z 는 전체적인 계면활성제가 전기적으로 대전되지 않도록 선택되는 정수이고,
Z 는 반대-이온임).
이러한 제미니 계면활성제 (B2) 는 본원에 참조로서 인용된 US 가특허 출원 번호 61/669686 에 더욱 상세히 기재되어 있다.
폴리아민 소수성화제 (B3) 는 일반 화학식 B-III 의 것이다:
Figure pct00011
(B-III)
(식 중,
R21 은 H 또는 C1 내지 C24 알킬이고,
R20 은 H, C1 내지 C24 알킬 또는 H-(O(CH2)k)l-기이고,
i 는 2 또는 3 이고,
j 는 3 내지 7 의 정수이고,
k 는 1 내지 3 의 정수이고,
l 은 1 내지 30 의 정수임).
이러한 폴리아민 계면활성제는 C1 내지 C24 알킬로 양자화되거나 N-4 차화될 수 있다.
예를 들어, 이러한 폴리아민 계면활성제는 US 6316582, US 4126640 및 US 4195152 에 기재되어 있다.
기타 폴리아민 계면활성제는 BASF 에서 시판되는 Sokalan PG 617, Lupasol PN 50, Lupasol FG, Luviquat FC, Polyquart FDI, Polyquart 701, Polyquart Ampho 149, 등이다.
하나 이상의 계면활성제 A 및 하나 이상의 소수성화제 B 를 포함하는 전체 조성물은 하나의 상 용액이어야만 한다. 바람직하게는 용액은 하나 이상의 계면활성제 A 및 하나 이상의 소수성화제 B 로 필수적으로 이루어진다.
표면 컨디셔너로서 작용하는, 즉 표면에 부착하여 이의 에너지를 변화시키는 것으로 공지된 질소 함유 중합체와 같은 기타 첨가제가 Lugalvan™ P, Trilon™ types, Lutropur LMM, Sokalan™ HP 유형의 형태로 본원에 언급될 수 있다.
반대이온 Z 는 계면활성제 B 와 함께 중립 이유를 위해 존재할 수 있다.
임의의 이론에 구애되지 않고, 계면활성제 A 가 낮은 평형 및, 또는 동적 표면 장력과 함께 초기 상에서 계면활성제 A 및 소수성화제 B 를 포함하는 린스 용액의 양호한 습윤능을 확보하는 동안, 소수성화제 B 는 표면에 부착되어 이의 에너지를 높은 (친수성) 에서 낮은 (소수성) 값으로 변화시켜, 세정 액체가 회전 제거 동안 구조 상에 최소 모세관력으로 빠르게 건조되도록 할 수 있다는 것으로 여겨진다. 게다가, 제타 전위는 고유의 표면의 제타 전위와 비교하여 린스 동안 높은 절대 값을 향해 변화할 것이다.
용액의 특성은 A 및 B 의 농도 및 이들의 비율을 변화시킴으로써 조정될 수 있다.
수용액 중 계면활성제 A 및 B 의 농도는 주로 임계 미셀 농도 값 (CMC 값) 에 좌우된다. 그러므로, 농도는 광범위하게 다를 수 있고, 그러므로, 본 발명의 제시된 방법의 특별한 요건에 가장 유리하게 적응될 수 있다. 바람직하게는, 농도는 0.00005 내지 3 중량%, 더욱 바람직하게는 0.0005 내지 0.1 중량%, 가장 바람직하게는 약 0.001 내지 약 0.5 중량% 범위이며, 중량 백분율은 용액의 전체 중량에 기초한다.
계면활성제 A 와 소수성화제 B 사이의 중량 비는 1 대 500 내지 500:1, 바람직하게는 1 대 200 내지 200:1, 가장 바람직하게는 1 대 50 내지 50:1 일 수 있다.
용액 중에 하나 이상의 계면활성제 A 및 하나 이상의 계면활성제 B 가 있을 수 있다. 바람직한 구현예에서 용액 중에 하나의 계면활성제 A 및 2 개 이상의 계면활성제 B 가 있다. 또다른 바람직한 구현예에서 용액 중에 2 개 이상의 계면활성제 A 및 하나의 소수성화제 B 가 있다. 1 개 초과의 계면활성제 A 및/또는 소수성화제 B 가 사용되는 경우, 계면활성제 또는 소수성화제 각각의 조합은, 본 발명에 따른 필요조건을 만족해야 한다. 바람직하게는 또한 계면활성제 A 및 소수성화제 B 각각도 필요조건을 만족한다.
바람직한 구현예에서 비-이온성 불소화된 계면활성제는 트리-알킬 메틸 암모늄 화합물과 조합될 수 있다.
또다른 바람직한 구현예에서 알콕시화 비-이온성 계면활성제 계면활성제 A1 은 임의로 비이온성 분지형 불소화된 계면활성제 A2 와 조합으로, 트리-알킬 메틸 암모늄 화합물 B1 과 조합될 수 있다.
또다른 바람직한 구현예에서 비-이온성 불소화된 계면활성제 A1 은 임의로 아민 옥시드 계면활성제 A2 와 조합으로, 트리-알킬 메틸 암모늄 화합물 B1 과 조합될 수 있다.
또다른 바람직한 구현예에서 아세틸렌 디올 비-이온성 계면활성제 A1 은 임의로 아민 옥시드 계면활성제 A2 와 조합으로, 트리-알킬 메틸 암모늄 화합물 B1 과 조합될 수 있다.
또다른 바람직한 구현예에서 음이온성 분지형 불소화된 계면활성제 A1 은 또한 임의로 알킬 아민 옥시드 계면활성제 A2 와 조합으로, 제미니 화합물 B1 과 조합될 수 있다.
또다른 바람직한 구현예에서 알킬 아민 옥시드 계면활성제 A1 은 트리-알킬 메틸 암모늄 화합물 B1 및, 또는 제미니 화합물 B2 와 조합될 수 있다.
수용액은 수-혼화성 극성 유기 용매를 함유할 수 있다. 적합한 용매의 예는 US 2008/0280230 A, 페이지 2, 단락 [0016] 에 기재되어 있다.
바람직하게는 수용액은 5 중량% 초과, 더욱 바람직하게는 1 중량% 의 농도의 유기 용매를 함유하지 않으며, 가장 바람직하게는, 수용액은 임의의 유기 용매를 함유하지 않는다.
추가의 첨가제가 본 발명에 따른 클리닝 용액에 존재할 수 있다. 그러한 첨가제는 하기일 수 있다:
(I) 일반적으로 성분의 습윤 능력 및 또는 가용성을 개선하는 이소부틸 알코올, tert-부틸 알코올 및 알코올,
(II) pH 조정을 위한 완충 성분 예컨대 그에 제한되는 것은 아니나 (NH4)2CO3/NH4OH, Na2CO3/NaHCO3, 트리스-히드록시메틸-아미노메탄/HCl, Na2HPO4/NaH2PO4,
(III) 혼합물의 표면 장력 및 가용성을 개선하는 비-이온성, 또는, 음이온성인 하나 이상의 추가의 계면활성제, 또는
(IV) 제거된 더러움 입자 또는 중합체의 표면 재-부착을 방지하는 분산제.
본 발명의 방법에 따르면, 2 가지 상이한 유형의 계면활성제를 포함하는 수성 용액은 상이한 목적 및 대상에 사용될 수 있다. 따라서, 그것은 마스크를 통하여 화학 광선으로 조사하는 동안 포토레지스트를 액침시키기 위한 액침 용액으로서, 마스크를 통하여 화학 방사선에 노광된 포토레지스트 층에 대한 현상제 용액으로서 및 패턴화된 재료 층을 린싱하기 위한 화학적 린스 용액으로서 사용될 수 있다.
하나의 양상에서, 하기 단계를 포함하는, 집적 회로 소자, 광학 소자, 미세기계 및 기계 정밀 소자의 제조 방법이 발견되었다:
(1) 선-공간 치수 50 ㎚ 이하 및 종횡비 2 이상을 갖는 패턴화된 재료 층을 갖는 기판을 제공하는 단계;
(2) 기판을 본원에 기재된 바와 같은 적어도 계면활성제 A 및 소수성화제 B 를 함유하는 수성, 용액과 1 회 이상 접촉시키는 단계,
(3) 수성 용액을 기판과의 접촉으로부터 제거하는 단계.
본 발명에 따른 방법의 세번째 단계에서, 수성 용액은 기판과의 접촉으로부터 제거된다. 고체 표면으로터 액체를 제거하는데 관습적으로 사용되는 임의의 공지된 방법이 이용될 수 있다.
바람직하게는, 본 발명의 방법의 첫번째 단계에서, 기판은 하기 단계를 포함하는 포토리소그래픽 공정에 의해 제공된다:
(i) 기판에 액침 포토레지스트, EUV 포토레지스트 또는 eBeam 포토레지스트 층을 제공하는 단계;
(ii) 포토레지스트 층을 액침 용액의 존재 또는 부재 하에 마스크를 통하여 화학 방사선에 노광시키는 단계;
(iii) 노광된 포토레지스트 층을 현상제 용액으로 현상시켜 선-공간 치수 50 ㎚ 이하, 특히 32 ㎚ 이하, 가장 특히 22 ㎚ 이하 및 종횡비 2 초과, 바람직하게는 10 초과, 더욱더 바람직하게는 50, 가장 바람직하게는 75 이하를 갖는 패턴을 수득하는 단계;
(iv) 화학적 린스 용액을 현상된 패턴화된 포토레지스트 층에 적용하는 단계; 및
(v) 화학적 린스, 용액의 적용 후에 바람직하게는 회전 건조 또는 마란고니 (Marangoni) 효과를 이용하는 건조 공정에 의해 반도체 기판을 건조시키는 단계.
임의의 관습적인 공지된 액침 포토레지스트, EUV 포토레지스트 또는 eBeam 포토레지스트가 사용될 수 있다. 액침 포토레지스트는 이미 하나 이상의 화합물 A 또는 B 또는 이의 조합을 함유할 수 있다. 부가적으로, 액침 포토레지스트는 비이온성 계면활성제를 함유할 수 있다. 적합한 비이온성 계면활성제가, 예를 들어, US 2008/0299487 A1, 페이지 6, 단락 [0078] 에 기재되어 있다. 가장 바람직하게는, 액침 포토레지스트는 포지티브 레지스트이다.
e-Beam 노광 또는 대략 13.5 ㎚ 의 극자외선 방사선, 바람직하게는 파장 193 ㎚ 의 UV 방사선이 화학 방사선으로서 사용된다.
액침 리소그래피의 경우에 바람직하게는, 초순수가 액침 용액으로서 사용된다.
임의의 관습적인 공지된 현상제 용액이 노광된 포토레지스트 층을 현상시키는데 사용될 수 있다. 바람직하게는, 테트라메틸암모늄 히드록시드 (TMAH) 를 함유하는 수성 현상제 용액이 사용된다.
바람직하게는, 화학적 린스 용액은 수성 용액이다.
바람직하게는, 화학적 린스 용액은 노광되고 현상된 포토레지스트 층에 퍼들로서 적용된다.
본 발명의 방법에 따른 포토리소그래픽 공정에서, 액침 용액, 현상제 용액 또는 화학적 린스 용액 중 하나 이상이 하나 이상의 계면활성제 A 및 하나 이상의 첨가제 B 를 함유하는 것이 본질적이다. 가장 바람직하게는, 계면활성제(들) A 및 소수성화제(들) B 의 조합이 화학적 린스 용액에 함유된다.
반도체 산업에서 관습적으로 사용되는 관습적인 공지된 장비가 본 발명의 방법에 따른 포토리소그래픽 공정을 수행하는데 사용될 수 있다.
[도면의 간단한 설명]
도 1 은 예시적 본질을 가지며, 묘사된 공간 및 치수 관계가 실제 상태의 정확한 복제로 해석되어서는 안된다.
도면에서 참조 번호는 하기 의미를 갖는다:
1 기판,
2 포토레지스트 패턴 또는 높은 종횡비 스택 (HARS), 및
4 계면활성제/소수성화제 를 함유하지 않는 클리닝 용액.
도 1 은 포토레지스트 구조 또는 높은 종횡비 스택 (2) 이 증발하는 클리닝 용액 (4) 의 모세관력에 의해 서로를 향해 끌려지고, 상기 모세관력이 패턴 붕괴를 초래하는 방식을 보여준다.
도 2 는 음전하 표면을 가진 포토레지스트의 표면의 제타 전위의, 본 발명에 따른 클리닝 조성물의 사용에 의한 좀더 양성이고 높은 규모의 제타 전위로의 이동을 보여준다.
도 3 은 비교예 1 에 따른 비-이온성 계면활성제 A1 의 사용에 의한 린스 처리의 결과를 보여준다.
도 4 는 비교예 2 에 따른 소수성화제 B1 의 사용에 의한 린스 처리의 결과를 보여준다.
도 5 는 비교예 2 에서 사용되는 바와 같은 소수성화제 B1 과 조합으로, 비교예 1 에서 사용되는 바와 같은 계면활성제 A1 을 포함하는 조성물의 사용에 의한 실시예 3 에 따른 린스 처리의 결과를 보여준다.
도 6 은 비교예 5 에서 사용되는 바와 같은 소수성화제 B1 과 조합으로, 계면활성제 A2 및 A3 을 포함하는 조성물의 사용에 의한 실시예 4 에 따른 린스 처리의 결과를 보여준다.
도 7 은 비교예 5 에 따른 소수성화제 B1 을 포함하는 조성물의 사용에 의한 린스 처리의 결과를 보여준다.
실시예
실리콘 웨이퍼에 액침 포토레지스트의 100 ㎚ 두께 층을 제공했다. 포토레지스트 층을 액침 용액으로서 초순수를 사용하여 마스크를 통하여 파장 193 의 UV 방사선에 노광시켰다. 마스크는 22 nm 치수를 갖는 피처를 함유하였다. 그 후, 노광된 포토레지스트 층을 베이크하고, 테트라메틸암모늄 히드록시드 (TMAH) 를 함유하는 수성 현상제 용액으로 현상했다. 베이크되고 현상된 포토레지스트 층을 계면활성제 A, 계면활성제 B 및 계면활성제 A + 계면활성제 B 를 상이한 비율로 함유하는 화학적 린스 용액을 사용하는 화학적 린스 처리에 적용했다.
하기 화합물을 실시예에서 사용하였다:
A1: 불소화된 계면활성제 (비-이온성),
B1: Tri-(C>6) 알킬 메틸 암모늄 염,
A2: (C>10) 알킬 아민 옥시드 계면활성제 (비-이온성), 및
A3: 알켄 아세틸렌 디올 (비-이온성).
각각의 화학적 린스 용액을 패들로서 적용하였다. 이후, 실리콘 웨이퍼를 회전 건조시켰다. 건조된 실리콘 웨이퍼는 워터마크를 전혀 보이지 않았다. 주사 전자 현미경 (SEM) 및 원자력 현미경 (AFM) 에 의해 선-공간 치수, 선 폭 26 nm, 공간 폭 52 nm 및 종횡비 약 4 의 패턴을 갖는 건조된 패턴화된 포토레지스트 층은 패턴 붕괴를 전혀 보이지 않았다는 것을 입증할 수 있었다.
결과를 도 3 내지 7 에 제시한다.
비교예 1
비-이온성 계면활성제 A1 을 사용하는 선-공간 구조 및 선-폭 26 nm 및 종횡비 약 4 를 갖는 도전적인 피처 치수를 갖는 패턴화된 포토레지스트 층의 제조. 포토레지스트 선 사이의 공간은 52 nm 였다 [L(line) 26 nm P(pitch)78 nm].
실리콘 웨이퍼에 액침 포토레지스트의 100 ㎚ 두께 층을 제공했다. 포토레지스트 층을 액침 용액으로서 초순수를 사용하여 마스크를 통하여 파장 193 의 UV 방사선에 노광시켰다. 그 후, 노광된 포토레지스트 층을 베이크하고, 테트라메틸암모늄 히드록시드 (TMAH) 를 함유하는 수성 현상제 용액으로 현상했다. 베이크되고 현상된 포토레지스트 층을, 상이한 농도의 ACFS 002 계면활성제, 즉, 0. 0.00005, 0.0002 및 0.0004 중량% 를 함유하고 표면 장력이 42 mN/m 에서 22 mN/m 로 그리고 21 mN/m 로 각각 결과적으로 감소된 3 가지 대안적인 화학적 린스 용액을 사용하는 화학적 린스 처리에 적용했다.
도 3 은 계면활성제 ACFS 002 의 사용에 의한 린스 처리 후 AFM 에 의해 측정된 각각의 높이 프로파일을 보여준다. 농도는 왼쪽에서 오른쪽으로, 0.00005 에서 0.0002 중량% 로, 표면 장력이 42 mN/m 에서 22 mN/m 로 결과적으로 감소하면서, 패턴 붕괴에 상당한 영향을 주지 않으면서 증가한다. 선-공간 치수 26 nm 및 종횡비 약 4 의 패턴을 갖는 건조된 패턴화된 포토레지스트 층은 상당한 패턴 붕괴를 보였다.
비교예 2
화학적 린스 용액에서 계면활성제 A1 대신에 소수성화제 B 를 사용하였던 것을 제외하고 실시예 1 을 반복하였다. 0.002 중량% 농축 용액의 표면 장력은 대략 44 mN/m 이었다.
도 4 는 소수성화제 B1 및 약 44 mN/m 의 제형 표면 장력의 사용에 의한 린스 처리 후 AFM 에 의해 측정된 각각의 높이 프로파일을 보여준다. 포토레지스트 선-폭 치수 26 nm 및 종횡비 약 4 를 갖는 건조된 패턴화된 포토레지스트 층은 상당한 패턴 붕괴를 보였다.
실시예 3
3 가지 상이한 화학적 린스 용액에서 계면활성제 A1 단독 대신에 비-이온성 계면활성제 A1 및 소수성화제 B1 의 조합을 사용하였던 것을 제외하고 실시예 1 을 반복하였다. 게다가, A1 의 농도는 실시예 1 에 따른 동일한 값, 즉, 0.00005 내지 0.0002 중량% 로 다양하였던 반면, B1 화합물의 농도는, 비교예 2 에서와 같이 동일한 값, 0.002 중량% 으로 일정하게 유지되었다. 린스 제형의 표면 장력은 또한 계면활성제 A1 의 양을 32 에서 26 mN/m 로 증가시키면 감소된다.
도 5 는 첨가제 A1 및 B1 의 조합의 사용에 의한 린스 처리 후 AFM 에 의해 측정된 각각의 높이 프로파일을 보여준다. 포토레지스트 선-폭 치수 26 nm 및 종횡비 약 4 를 갖는 건조된 패턴화된 포토레지스트 층은 상당히 감소된 패턴 붕괴를 보이거나 패턴 붕괴를 보이지 않았다.
실시예 4
상이한 선-공간 포토레지스트 구조, 즉, 100 nm 두께 포토레지스트 층으로부터 수득된 선-폭 40 nm, 피치 120 nm, 종횡비 약 2 를 사용하였던 것을 제외하고 실시예 1 을 반복하였다. 포토레지스트 선 사이의 공간은 80 nm 였다 [L(line) 40 nm P(pitch)120 nm]. 게다가, 첨가제 A2 : A3 : B1 를 2.5 : 2.5 :1 의 중량 비로 포함하는 수성 린스 조성물을 사용하였다. 결과를 도 6 에 제시하며, 붕괴가 관찰되지 않는다.
비교예 5
수성 린스 제형이 오직 첨가제 B1 만을, 실시예 4 에서 사용된 것과 동일한 농도의 B1 인 0.002 중량% 의 양으로 포함하는 것을 제외하고 실시예 4 를 반복하였다. 용액의 표면 장력은 대략 44 mN/m 이었다. 결과를 도 7 에 제시한다. 음이온성 계면활성제가 사용되었던 실시예 4 (도 6) 와는 반대로, 도 7 은 강한 패턴 붕괴를 보여준다.
실시예 계면활성제 A 소수성화제 B 비-붕괴된 선의 수
1 (비교) A1 없음 5
2 (비교) 없음 B1 5
3 A1 B1 7
4 A2 +A3 B1 8
5 (비교) 없음 B1 5

Claims (22)

  1. 50 nm 이하의 선-공간 치수를 갖는 패턴을 포함하는 기판을 처리하기 위한, 하기와 같은 하나 이상의 비-이온성 계면활성제 A 및 하나 이상의 소수성화제 (hydrophobizer) B 를 포함하는 수성 조성물의 용도:
    (a) 하나 이상의 계면활성제 A 는 임계 미셀 농도에서 물 중 하나 이상의 계면활성제 A 의 용액으로부터 측정되는, 10 mN/m 내지 35 mN/m 의 평형 표면 장력을 가지고,
    (b) 소수성화제 B 는 기판에 대한 물의 접촉 각이 물 중 소수성화제 B 의 용액으로 기판을 접촉함으로써 이러한 접촉 전 기판에 대한 물의 접촉 각과 비교하여 5-95°증가되는 식으로 선택됨.
  2. 제 1 항에 있어서, 계면활성제 A 가 0° 내지 30° 의 기판에 대한 평형 접촉 각을 갖는 용도.
  3. 제 2 항에 있어서, 비-이온성 계면활성제 A 가 단 분지형 퍼플루오로알킬 계면활성제 (A1), 실리콘 기재 계면활성제 (A2), 에틸렌 옥시드와 프로필렌 옥시드 계면활성제의 알콕시 말단 공중합체 (A3), 알킬 폴리글리코시드 및 소르베이트 계면활성제 (A4), 알킬 아민 옥시드 계면활성제 (A5), 및 아세틸렌 디올 계면활성제 (A6) 로부터 선택되는 용도.
  4. 제 3 항에 있어서, 단 분지형 퍼플루오로알킬 계면활성제 (A1) 가 트리플루오로메틸, 펜타플루오로에틸, 1-헵타플루오로프로필, 2-헵타플루오로프로필, 및 펜타플루오로설파닐로부터 선택되는 3 개 이상의 단쇄 과불소화된 기 Rf 를 포함하는 용도.
  5. 제 3 항에 있어서, 실리콘 기재 계면활성제 (A2) 가 하기 일반 화학식 A-IIa 및 A-IIb 의 실록산 계면활성제로부터 선택되는 용도:
    Figure pct00012

    (A-IIa)
    Figure pct00013

    (A-IIb)
    (식 중,
    u, v 는 0 내지 5 로부터 독립적으로 선택되는 정수이고,
    w 는 0 내지 6 의 정수이고,
    x 는 1 내지 22 의 정수이고,
    y 는 1 내지 5 의 정수이고,
    R10 은 H 또는 C1 내지 C10 알킬 기로부터 선택되고,
    R11 은 H, 메틸 또는 에틸로부터 선택됨).
  6. 제 3 항에 있어서, 에틸렌 옥시드와 프로필렌 옥시드 계면활성제의 알콕시 말단 공중합체 (A3) 이 하기 일반 화학식 A-III 을 갖는 용도:
    (R12-O)c-(EO)a(PO)bH (A-III)
    (식 중,
    R12 는 임의로 불소화되거나 또는 과불소화될 수 있는 C4 내지 C30 알킬로부터 선택되는 c-가 기이고,
    EO 는 옥시에탄디일이고,
    PO 는 옥시프로판디일이고,
    a 는 1 내지 100 의 정수이고,
    b 는 0 내지 100 의 정수이고,
    c 는 1 내지 6 의 정수임).
  7. 제 3 항에 있어서, 알킬 폴리글리코시드 계면활성제 (A4) 가 하기 일반 화학식 A-IV 를 갖는 용도:
    R21O(CnH2nO)j(Z)k (A-IV)
    (식 중,
    R21 은 알킬, 알킬페닐, 히드록시알킬페닐로 이루어지는 군으로부터 선택되는 소수성 기이고, 이때 알킬 기는 약 10 내지 18 개의 탄소 원자를 함유하며,
    n 은 바람직하게는 2 또는 3 이고,
    j 는 0 내지 10 이고,
    k 는 1 내지 8 이고,
    Z 는 헥소오스, 글루코오스, 글루코오스의 유도체, 수크로오스로부터 선택됨).
  8. 제 3 항에 있어서, 알킬 아민 옥시드 계면활성제 (A5) 가 하기 일반 화학식 A-V 를 갖는 용도:
    Figure pct00014
    (A-V)
    (식 중,
    R41 은 C6 내지 C30 소수성 유기 라디칼이고,
    R42, R43 은 독립적으로 C1-C4 알킬 또는 C1-C4 히드록시알킬로부터 선택됨).
  9. 제 3 항에 있어서, 아세틸렌 디올 계면활성제 (A6) 이 하기 일반 화학식 A-VI 을 갖는 용도:
    Figure pct00015
    (A-VI)
    (식 중,
    R51 및 R4 는 선형 또는 분지형 C3 내지 C10 알킬이고,
    R52 및 R53 은 H 및 선형 또는 분지형 C1 내지 C5 알킬로부터 선택되고,
    q, r, s 및 t 는 0 내지 20 의 정수임).
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서, 두번째 계면활성제 B 가 기판에 대한 물의 접촉 각이 기판을 물 중의 두번째 계면활성제 B 의 용액과 접촉시킴으로써, 이러한 접촉 전에 기판에 대한 물의 접촉 각과 비교하여, 적어도 10°, 바람직하게는 적어도 15° 증가하도록 선택되는 용도.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서, 소수성화제 B 가 30 mN/m 내지 70 mN/m 의 평형 표면 장력을 갖는 용도.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서, 소수성화제 B 가 양이온성, 양쪽성 또는 쯔비터이온성인 용도.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서, 소수성화제 B 가 4 차 알킬 암모늄 화합물 (B1), 제미니 4 차 알킬 암모늄 화합물 (B2), 및 폴리아민 (B3) 으로부터 선택되는 용도.
  14. 제 13 항에 있어서, 4 차 알킬 암모늄 화합물 (B1) 이 하기 일반 화학식 B-I 을 갖는 용도:
    Figure pct00016
    (B-I)
    (식 중,
    R1 은 하기 화학식의 부분으로부터 선택되고:
    Figure pct00017

    R2, R3, R4 는 R1 및 H 로부터 선택되고,
    R5 는 H, OH 및 C1 내지 C10 알킬로부터 선택되고,
    z 는 1 내지 18 의 정수이고,
    R6 은 H 및 C1 내지 C10 알킬로부터 선택됨).
  15. 제 13 항에 있어서, 제미니 4 차 알킬 암모늄 화합물 B2 가 하기 일반 화학식 B-II 를 갖는 용도:
    Figure pct00018
    (B-II)
    (식 중:
    X 는 2-원자가 기이며, 하기로부터 독립적으로 선택되는 각각의 반복 단위 1 내지 n 개에 대해
    (a) 임의로 치환될 수 있고 O 및 N 으로부터 선택되는 5 개 이하의 헤테로원자가 임의로 삽입될 수 있는, 선형 또는 분지형 C1 내지 C20 알칸디일,
    (b) 임의로 치환될 수 있고 O 및 N 으로부터 선택되는 5 개 이하의 헤테로원자가 임의로 삽입될 수 있는, C5 내지 C20 시클로알칸디일,
    (c) 화학식 -X1-A-X2- 의 C6 내지 C20 유기 기 (식 중, X1 및 X2 는 C1 내지 C7 선형 또는 분지형 알칸디일로부터 독립적으로 선택되고, A 는 C5 내지 C12 방향족 부분 또는 C5 내지 C30 시클로알칸디일로부터 선택되며, 상기 H 원자는 임의로 치환될 수 있고, 상기 C 원자에는 O 및 N 으로부터 선택되는 5 개 이하의 헤테로원자가 임의로 삽입될 수 있음),
    (d) 하기 화학식 II 의 폴리옥시알킬렌 디라디칼:
    Figure pct00019
    (B-IIa)
    (식 중, p 는 0 또는 1 이고, r 은 1 내지 100 의 정수이고; R5 는 H 및 선형 또는 분지형 C1 내지 C20 알킬 기로부터 선택되고,
    R1 및 R2 는 독립적으로 H, 선형 또는 분지형 C1 내지 C20 알킬, C5 내지 C20 시클로알킬, C5 내지 C20 아릴, C6 내지 C20 알킬아릴, C6 내지 C20 아릴알킬, C1 내지 C20 히드록시알킬, 또는 C2 내지 C4 옥시알킬렌 단독중합체 또는 공중합체로부터 선택되는 1-원자가 기이고, 이들 모두는 추가로 치환될 수 있고,
    R3 및 R4 는 선형 또는 분지형 C5 내지 C30 알킬 기, C5 내지 C30 시클로알킬, C1 내지 C20 히드록시알킬, 및 C2 내지 C4 옥시알킬렌 단독중합체 또는 공중합체로부터 독립적으로 선택되는 1-원자가 기이고, 이들 모두는 임의로 치환될 수 있고, 쌍-방식 R3-R4 및 인접 R4-R4 및 R3-R3 은 임의로 함께 상기 정의된 바와 같은 2-원자가 기 X 를 형성할 수 있고, 또한 분지화에 의한 분자의 연속 Q 일 수 있으며, n 이 2 이상인 경우, R3, R4 또는 R3 및 R4 는 또한 수소 원자일 수 있고,
    n 은 1 내지 5 의 정수이고, 또는, X, R3 및 R4 중 하나 이상이 C2 내지 C4 폴리옥시알킬렌 기를 포함하는 경우, n 은 1 내지 10000 의 정수일 수 있고, 단 하나 이상의 Q 가 존재하는 경우, n 은 분지 Q 의 모든 반복 단위를 포함하고,
    Q 는
    Figure pct00020
    이고,
    z 는 전체적인 계면활성제가 전기적으로 대전되지 않도록 선택되는 정수이고,
    Z 는 반대-이온임).
  16. 제 13 항에 있어서, 폴리아민 (B3) 이 하기 일반 화학식 B-III 을 갖는 용도:
    Figure pct00021
    (B-III)
    (식 중,
    R21 은 H 또는 C1 내지 C24 알킬 또는 알케닐이고,
    R20 은 H, C1 내지 C24 알킬 또는 H-(O(CH2)k)l-기이고,
    i 는 2 또는 3 이고,
    j 는 3 내지 7 의 정수이고,
    k 는 1 내지 3 의 정수이고,
    l 은 1 내지 5 의 정수임).
  17. 제 1 항 내지 제 16 항 중 어느 한 항에 있어서, 소수성화제 B 가 표면의 제타 전위를 좀더 양성 전위를 향해 적어도 10 mV, 바람직하게는 +18 내지 +150 mV 의 값만큼 이동시키는 용도.
  18. 하기 단계를 포함하는, 집적 회로 소자, 광학 소자, 미세기계 및 기계 정밀 소자의 제조 방법:
    (1) 선-공간 치수 50 ㎚ 이하 및 종횡비 2 이상을 갖는 패턴화된 재료 층을 갖는 기판을 제공하는 단계;
    (2) 기판을 제 1 항 내지 제 17 항 중 어느 한 항에 정의된 바와 같은 하나 이상의 계면활성제 A 및 하나 이상의 소수성화제 B 를 함유하는 수성 용액과 1 회 이상 접촉시키는 단계,

    (3) 수성 용액을 기판과의 접촉으로부터 제거하는 단계.
  19. 제 18 항에 있어서, 패턴화된 재료 층이 선-공간 치수 32 nm 이하 및 비-포토레지스트 구조의 경우 종횡비 10 초과 및 포토레지스트 구조의 경우 종횡비 2 초과를 갖는 방법.
  20. 제 18 항 또는 제 19 항에 있어서, 패턴화된 재료 층이 패턴화된 현상된 포토레지스트 층, 패턴화된 장벽 재료 층, 패턴화된 멀티-스택 재료 층 및 패턴 유전 재료 층으로 이루어지는 군으로부터 선택되는 방법.
  21. 제 18 항 내지 제 20 항 중 어느 한 항에 있어서, 용액이, 용액의 전체 중량에 기초하여, 0.0005 내지 1 중량% 의 계면활성제 A 및 0.0005 내지 1 중량% 의 소수성화제 B 를 함유하는 방법.
  22. 제 18 항 내지 제 21 항 중 어느 한 항에 있어서, 기판이 하기 단계를 포함하는 포토리소그래픽 공정에 의해 제공되는 방법:
    (i) 기판에 액침 포토레지스트, EUV 포토레지스트 또는 eBeam 포토레지스트 층을 제공하는 단계;
    (ii) 포토레지스트 층을 액침 용액의 존재 또는 부재 하에 마스크를 통하여 화학 방사선에 노광시키는 단계;
    (iii) 노광된 포토레지스트 층을 현상제 용액으로 현상시켜 선-공간 치수 32 ㎚ 이하 및 종횡비 2 초과를 갖는 패턴을 수득하는 단계;
    (iv) 화학적 린스 용액을 현상된 패턴화된 포토레지스트 층에 적용하는 단계; 및
    (v) 화학적 린스 용액의 적용 후에 반도체 기판을 회전 건조시키는 단계;
    이때, 액침 용액, 현상제 용액 또는 화학적 린스 용액 중 하나 이상은 제 1 항 내지 제 17 항 중 어느 한 항에 정의된 바와 같은 하나 이상의 계면활성제 A 및 하나 이상의 소수성화제 B 를 포함하는 수성 용액임.
KR1020157018741A 2012-12-14 2013-12-04 50 nm 이하의 선-공간 치수를 갖는 패턴화된 재료를 처리할 때 항 패턴 붕괴를 피하기 위한 계면활성제 및 소수성화제를 포함하는 조성물의 용도 KR102209867B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261737123P 2012-12-14 2012-12-14
US61/737,123 2012-12-14
PCT/IB2013/060616 WO2014091363A1 (en) 2012-12-14 2013-12-04 Use of compositions comprising a surfactant and a hydrophobizer for avoiding anti pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below

Publications (2)

Publication Number Publication Date
KR20150096470A true KR20150096470A (ko) 2015-08-24
KR102209867B1 KR102209867B1 (ko) 2021-01-29

Family

ID=50933817

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157018741A KR102209867B1 (ko) 2012-12-14 2013-12-04 50 nm 이하의 선-공간 치수를 갖는 패턴화된 재료를 처리할 때 항 패턴 붕괴를 피하기 위한 계면활성제 및 소수성화제를 포함하는 조성물의 용도

Country Status (11)

Country Link
US (1) US9557652B2 (ko)
EP (1) EP2932525B1 (ko)
JP (1) JP6246830B2 (ko)
KR (1) KR102209867B1 (ko)
CN (1) CN104871289B (ko)
IL (1) IL239140B (ko)
MY (1) MY181266A (ko)
RU (1) RU2015128132A (ko)
SG (1) SG11201504607QA (ko)
TW (1) TWI622643B (ko)
WO (1) WO2014091363A1 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2872948B1 (en) * 2012-07-10 2017-10-11 Basf Se Compositions for anti pattern collapse treatment comprising gemini additives
US9791779B2 (en) * 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
JP2016139774A (ja) * 2015-01-23 2016-08-04 富士フイルム株式会社 パターン処理方法、半導体基板製品の製造方法およびパターン構造の前処理液
JP6533576B2 (ja) * 2015-07-13 2019-06-19 富士フイルム株式会社 パターン構造の処理方法、電子デバイスの製造方法およびパターン構造の倒壊抑制用処理液
JP6873100B2 (ja) * 2015-07-16 2021-05-19 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se スルホエステルのアンモニウム塩を含有する、欠陥を低減させるすすぎ液
CA2999285C (en) 2015-10-07 2023-10-03 Elementis Specialties, Inc. Wetting and anti-foaming agent
KR102507301B1 (ko) * 2015-12-23 2023-03-07 삼성전자주식회사 포토리소그래피용 린스액 및 이를 이용한 집적회로 소자의 제조 방법
CN109074002A (zh) * 2016-03-30 2018-12-21 日产化学株式会社 抗蚀剂图案被覆用水溶液以及使用了该水溶液的图案形成方法
JP6875811B2 (ja) * 2016-09-16 2021-05-26 株式会社Screenホールディングス パターン倒壊回復方法、基板処理方法および基板処理装置
CN106447590B (zh) * 2016-10-11 2019-08-30 华南理工大学 数字图像中水印的加入及提取方法
KR102519448B1 (ko) * 2017-03-24 2023-04-07 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 처리 방법 및 이를 위한 조성물
US10748757B2 (en) * 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
KR20200071137A (ko) 2017-11-03 2020-06-18 바스프 에스이 50 nm 이하의 라인-공간 치수를 갖는 패턴화된 재료를 처리할 때 패턴 붕괴를 회피하기 위한,실록산 유형의 첨가제를 포함하는 조성물의 용도
JP7349985B2 (ja) * 2017-11-28 2023-09-25 ビーエーエスエフ ソシエタス・ヨーロピア 製品を洗浄するまたはすすぐための、第一の界面活性剤および第二の界面活性剤を含む組成物
KR20200139192A (ko) * 2018-04-04 2020-12-11 바스프 에스이 반도체 기판의 제조 방법에서 사용하기 위한 절단 가능한 첨가제
US20210198602A1 (en) * 2018-05-25 2021-07-01 Basf Se Use of compositions comprising a solvent mixture for avoiding pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
US11094527B2 (en) 2018-10-10 2021-08-17 International Business Machines Corporation Wet clean solutions to prevent pattern collapse
JP2022527614A (ja) 2019-04-09 2022-06-02 ビーエーエスエフ ソシエタス・ヨーロピア 50nm以下のライン間寸法を有するパターン化材料を処理する際のパターンの崩壊を回避するための、アンモニア活性化シロキサンを含む組成物
KR20210069352A (ko) * 2019-12-03 2021-06-11 쓰리엠 이노베이티브 프로퍼티즈 캄파니 세정액 조성물 및 이를 이용한 포토레지스트 재료의 표면처리 방법
WO2022008306A1 (en) * 2020-07-09 2022-01-13 Basf Se Composition comprising a siloxane and an alkane for avoiding pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05326392A (ja) * 1992-05-14 1993-12-10 Fujitsu Ltd 半導体装置の製造方法
KR20020069114A (ko) * 2001-02-21 2002-08-29 인터내셔널 비지네스 머신즈 코포레이션 레지스트의 이미지 붕괴를 방지하기 위한 현상액/세척배합물
JP2004078217A (ja) * 2002-08-12 2004-03-11 Air Products & Chemicals Inc 半導体デバイス製造の際の欠陥低減方法及び処理溶液
WO2012027667A2 (en) * 2010-08-27 2012-03-01 Advanced Technology Materials, Inc. Method for preventing the collapse of high aspect ratio structures during drying

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5341046A (en) * 1992-12-07 1994-08-23 Ncr Corporation Threshold controlled input circuit for an integrated circuit
GB9503594D0 (en) * 1995-02-23 1995-04-12 Unilever Plc Cleaning composition comprising dialkyl cationic surfactants
JPH0943838A (ja) * 1995-07-31 1997-02-14 Shin Etsu Chem Co Ltd 水溶性パターン形成材料
SE511094C2 (sv) * 1997-12-05 1999-08-02 Akzo Nobel Nv Användning av en alkoxilerad polyamintensid som spinnbadsadditiv i viskosprocessen
AU2024099A (en) * 1998-01-02 1999-07-26 Ashland Inc. Water repellent glass treatment for automotive applications
US6379746B1 (en) * 1999-02-02 2002-04-30 Corning Incorporated Method for temporarily protecting glass articles
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US6369021B1 (en) * 1999-05-07 2002-04-09 Ecolab Inc. Detergent composition and method for removing soil
TW558736B (en) 2000-02-26 2003-10-21 Shipley Co Llc Method of reducing defects
JP3320402B2 (ja) 2000-06-26 2002-09-03 クラリアント ジャパン 株式会社 現像欠陥防止プロセス及び材料
JP4585127B2 (ja) * 2001-02-01 2010-11-24 日本ゼトック株式会社 口腔用組成物
KR100393118B1 (ko) 2001-02-22 2003-07-31 현만석 반도체 소자의 레지스트 패턴 형성 방법 및 이 방법에서 사용되는 반도체 웨이퍼 세척액
GB0130485D0 (en) * 2001-12-21 2002-02-06 Plastic Logic Ltd Self-aligned printing
US7169323B2 (en) * 2002-11-08 2007-01-30 3M Innovative Properties Company Fluorinated surfactants for buffered acid etch solutions
JP2004182773A (ja) * 2002-11-29 2004-07-02 Nec Electronics Corp 疎水性基板洗浄用液体組成物
KR100527667B1 (ko) 2003-02-28 2005-11-28 삼성전자주식회사 실리콘계 고분기 고분자 계면 활성제, 이의 제조방법 및이를 포함하는 린스용액을 이용한 린스방법
KR100576477B1 (ko) * 2003-10-06 2006-05-10 주식회사 하이닉스반도체 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성방법
US20050158672A1 (en) 2003-12-22 2005-07-21 Matsushita Electric Industrial Co., Ltd. Pattern formation method
KR100574349B1 (ko) * 2004-02-03 2006-04-27 삼성전자주식회사 세정액 조성물 및 이를 이용한 반도체 장치의 세정방법
DE102004009530A1 (de) 2004-02-20 2005-09-08 Infineon Technologies Ag Verfahren zur Herstellung einer wässrigen Lösung mit mindestens einem Tensid und Verwendung der Lösung
KR100857336B1 (ko) 2004-04-23 2008-09-05 토쿄오오카코교 가부시기가이샤 리소그래피용 린스액
JP4493393B2 (ja) 2004-04-23 2010-06-30 東京応化工業株式会社 リソグラフィー用リンス液
US20080193876A1 (en) 2004-09-01 2008-08-14 Tokyo Ohka Kogyo Co., Ltd. Rinsing Liquid for Lithography and Method for Resist Pattern Formation
MX2007003443A (es) * 2004-09-24 2007-05-23 Procter & Gamble Proceso para formar un granulo de detergente de baja densidad.
JP4437068B2 (ja) 2004-11-19 2010-03-24 東京応化工業株式会社 リソグラフィー用リンス液
JP4459857B2 (ja) 2004-12-09 2010-04-28 東京応化工業株式会社 リソグラフィー用洗浄液及びそれを用いたレジストパターン形成方法
US7906177B2 (en) * 2004-12-22 2011-03-15 The Board Of Regents Of The University Of Oklahoma Method for making an article hydrophobic and oleophobic as well as articles made therefrom and their use
DE102005000858A1 (de) 2005-01-05 2006-07-20 Merck Patent Gmbh Fluortenside
KR20080069252A (ko) 2006-01-11 2008-07-25 토쿄오오카코교 가부시기가이샤 리소그래피용 세정제 및 그것을 이용한 레지스트 패턴형성방법
JP2007262262A (ja) * 2006-03-29 2007-10-11 Sanyo Chem Ind Ltd ジェミニ型界面活性剤
US20080299487A1 (en) 2007-05-31 2008-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography material and lithography process
DE102006031151A1 (de) 2006-07-04 2008-01-10 Merck Patent Gmbh Fluortenside
DE102006031262A1 (de) 2006-07-04 2008-01-10 Merck Patent Gmbh Fluortenside
DE102006031149A1 (de) 2006-07-04 2008-01-10 Merck Patent Gmbh Fluortenside
JP5000260B2 (ja) 2006-10-19 2012-08-15 AzエレクトロニックマテリアルズIp株式会社 微細化されたパターンの形成方法およびそれに用いるレジスト基板処理液
US20080280230A1 (en) 2007-05-10 2008-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography process including a chemical rinse
AU2008300404B8 (en) * 2007-09-17 2014-01-30 Byotrol Plc Formulations comprising an anti-microbial composition
DE102008027930A1 (de) 2008-06-12 2009-12-17 Merck Patent Gmbh Fluortenside
JP5410943B2 (ja) * 2008-12-18 2014-02-05 三洋化成工業株式会社 電子材料用洗浄剤
JP5424631B2 (ja) * 2008-12-18 2014-02-26 ミヨシ油脂株式会社 カチオン性ジェミニ型界面活性剤
JP5624753B2 (ja) 2009-03-31 2014-11-12 東京応化工業株式会社 リソグラフィー用洗浄液及びこれを用いたレジストパターンの形成方法
DE102009030846A1 (de) 2009-06-26 2010-12-30 Merck Patent Gmbh Fluortenside
JP5324361B2 (ja) * 2009-08-28 2013-10-23 東京応化工業株式会社 表面処理剤及び表面処理方法
US8822553B1 (en) 2009-09-10 2014-09-02 Saga Fuel Systems, Inc. Coal-to-liquid systems and methods
JP2011122071A (ja) * 2009-12-11 2011-06-23 Kao Corp 液体漂白剤組成物
DE102011114650A1 (de) 2010-10-29 2012-05-03 Merck Patent Gmbh Oberflächenaktive Substanzen mit terminaler Pentafluorsulfoxy-Gruppe
DE102011114651A1 (de) 2010-10-29 2012-05-03 Merck Patent Gmbh Oberflächenaktive Substanzen mit terminaler Pentafluorsulfoxy-Gruppe
JP6118732B2 (ja) * 2011-01-25 2017-04-19 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 線間間隔が50nm未満であるパターンを有する集積回路を製造するための3個以上の短鎖全フッ素化基Rfを有する界面活性剤の使用方法。
EP2500777A1 (en) * 2011-03-18 2012-09-19 Basf Se Method for manufacturing integrated circuit devices, optical devices, micromachines and mechanical precision devices having patterned material layers with line-space dimensions of 50 nm and less
US8822533B1 (en) 2011-07-13 2014-09-02 The United States Of America, As Represented By The Secretary Of Agriculture Methods and compositions for repelling and/or killing insects

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05326392A (ja) * 1992-05-14 1993-12-10 Fujitsu Ltd 半導体装置の製造方法
KR20020069114A (ko) * 2001-02-21 2002-08-29 인터내셔널 비지네스 머신즈 코포레이션 레지스트의 이미지 붕괴를 방지하기 위한 현상액/세척배합물
JP2004078217A (ja) * 2002-08-12 2004-03-11 Air Products & Chemicals Inc 半導体デバイス製造の際の欠陥低減方法及び処理溶液
WO2012027667A2 (en) * 2010-08-27 2012-03-01 Advanced Technology Materials, Inc. Method for preventing the collapse of high aspect ratio structures during drying

Also Published As

Publication number Publication date
EP2932525A1 (en) 2015-10-21
CN104871289B (zh) 2017-10-10
EP2932525B1 (en) 2018-06-13
EP2932525A4 (en) 2016-08-24
US20160238944A9 (en) 2016-08-18
CN104871289A (zh) 2015-08-26
US9557652B2 (en) 2017-01-31
JP6246830B2 (ja) 2017-12-13
MY181266A (en) 2020-12-21
RU2015128132A (ru) 2017-01-18
KR102209867B1 (ko) 2021-01-29
JP2016508287A (ja) 2016-03-17
IL239140B (en) 2018-11-29
TW201437361A (zh) 2014-10-01
IL239140A0 (en) 2015-07-30
TWI622643B (zh) 2018-05-01
US20150323871A1 (en) 2015-11-12
WO2014091363A1 (en) 2014-06-19
SG11201504607QA (en) 2015-07-30

Similar Documents

Publication Publication Date Title
KR102209867B1 (ko) 50 nm 이하의 선-공간 치수를 갖는 패턴화된 재료를 처리할 때 항 패턴 붕괴를 피하기 위한 계면활성제 및 소수성화제를 포함하는 조성물의 용도
TWI772552B (zh) 含矽氧烷型添加劑之組成物用於在處理具有50nm或低於50nm之線性空間尺寸之圖案材料時避免圖案塌陷之用途
JP6063879B2 (ja) 集積回路デバイス、光デバイス、マイクロマシン及び線幅50nm以下のパターニングされた材料層を有する機械的精密デバイスの製造方法
KR20070004113A (ko) 레지스트패턴 형성방법 및 복합 린스액
JP6533576B2 (ja) パターン構造の処理方法、電子デバイスの製造方法およびパターン構造の倒壊抑制用処理液
KR102107367B1 (ko) 제미니 첨가제를 포함하는 항 패턴 붕괴 처리용 조성물
JP7349985B2 (ja) 製品を洗浄するまたはすすぐための、第一の界面活性剤および第二の界面活性剤を含む組成物
CN113574460A (zh) 用于在处理具有50nm或更小的线距尺寸的图案化材料时避免图案塌陷的包含硼型添加剂的组合物
TW201425279A (zh) 用於製造積體電路裝置、光學裝置、微機械及機械精密裝置之組成物
KR20230055697A (ko) 포토레지스트 세정액 조성물 및 이를 이용한 포토레지스트 패턴 형성 방법
JP2024079733A (ja) 50nm以下のライン間寸法を有するパターン化材料を処理したときのパターン倒壊を回避するための溶媒混合物を含む組成物を使用する方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant