KR20200139192A - 반도체 기판의 제조 방법에서 사용하기 위한 절단 가능한 첨가제 - Google Patents

반도체 기판의 제조 방법에서 사용하기 위한 절단 가능한 첨가제 Download PDF

Info

Publication number
KR20200139192A
KR20200139192A KR1020207030926A KR20207030926A KR20200139192A KR 20200139192 A KR20200139192 A KR 20200139192A KR 1020207030926 A KR1020207030926 A KR 1020207030926A KR 20207030926 A KR20207030926 A KR 20207030926A KR 20200139192 A KR20200139192 A KR 20200139192A
Authority
KR
South Korea
Prior art keywords
formula
group
semiconductor substrate
compound
straight
Prior art date
Application number
KR1020207030926A
Other languages
English (en)
Inventor
안드레아스 클리프
크리슈티안 비트너
지몬 브라운
귄터 외터
예니 부르크
Original Assignee
바스프 에스이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 바스프 에스이 filed Critical 바스프 에스이
Publication of KR20200139192A publication Critical patent/KR20200139192A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C271/00Derivatives of carbamic acids, i.e. compounds containing any of the groups, the nitrogen atom not being part of nitro or nitroso groups
    • C07C271/06Esters of carbamic acids
    • C07C271/08Esters of carbamic acids having oxygen atoms of carbamate groups bound to acyclic carbon atoms
    • C07C271/10Esters of carbamic acids having oxygen atoms of carbamate groups bound to acyclic carbon atoms with the nitrogen atoms of the carbamate groups bound to hydrogen atoms or to acyclic carbon atoms
    • C07C271/12Esters of carbamic acids having oxygen atoms of carbamate groups bound to acyclic carbon atoms with the nitrogen atoms of the carbamate groups bound to hydrogen atoms or to acyclic carbon atoms to hydrogen atoms or to carbon atoms of unsubstituted hydrocarbon radicals
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/88Ampholytes; Electroneutral compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)

Abstract

반도체 기판의 적어도 하나의 표면의 개질 및/또는 처리에서 절단 가능한 첨가제로서, 바람직하게는 절단 가능한 계면활성제로서의 유기 화합물의 사용이 기재되어 있다. 더욱이, 반도체 기판을 제조하는 방법이 설명되어 있는데, 이는 적어도 하나의 표면을 유기 화합물과, 또는 이를 포함하는 조성물과 접촉시켜 상기 표면을 처리 또는 개질하는 단계, 상기 유기 화합물을 단편들의 세트로 절단하는 단계 및 상기 단편들의 세트를 접촉 표면에서 제거하는 단계를 포함한다. 보다 구체적으로, 반도체 기판 또는 중간 반도체 기판을 세정 또는 린싱하는 방법이 기재되어 있다. 또한, 위에서 지적한 용도 및 방법에 적합하고 바람직하게는 절단 가능한 계면활성제인 화합물이 기재되어 있다.

Description

반도체 기판의 제조 방법에서 사용하기 위한 절단 가능한 첨가제
본 발명은 반도체 기판의 적어도 하나의 표면의 개질 및/또는 처리에서 절단 가능한 첨가제, 바람직하게는 절단 가능한 계면활성제로서의 유기 화합물 또는 그 염의 용도에 관한 것이다. 본 발명은 또한 적어도 하나의 표면을 절단 가능한 첨가제인 유기 화합물 또는 그 염과 접촉시켜 상기 표면이 개질되거나 처리되도록 하는 단계, 상기 유기 화합물을 단편들의 세트로 절단하는 단계 및 바람직하게는 접촉 표면으로부터 상기 단편들의 세트를 제거하는 단계를 포함하는 반도체 기판의 제조 방법에 관한 것이다. 보다 구체적으로, 본 발명은 또한 반도체 기판 또는 중간 반도체 기판을 세정하거나 린싱하는 방법에 관한 것으로, 하나 이상의 재료와 함께 적어도 일정량의 유기 화합물, 바람직하게는 절단 가능한 계면활성제를 상기 기판의 표면으로부터 제거하는 단계를 포함한다. 마찬가지로, 본 발명은 본 발명에 따른 용도 및 방법을 위한 절단 가능한 첨가제로서의 상기 유기 화합물을 포함하는 조성물에 관한 것이다. 더욱이, 본 발명은 본 발명에 따른 용도 및 방법에 적합하고 바람직하게는 절단 가능한 첨가제, 보다 바람직하게는 절단 가능한 계면활성제인 화합물에 관한 것이다.
반도체 기판의 제조 방법은 전자 회로 또는 마이크로전자 디바이스가 순수 반도체 재료로 만들어진 웨이퍼 ("반도체 웨이퍼") 에 점차적으로 생성되는 포토리소그래픽 및 화학 처리 단계의 여러 단계 시퀀스이다. 바람직하게는, 실리콘이 반도체 재료로 사용되지만, 다양한 반도체 재료가 알려져 있고 특수 용도에 적용된다. 그 시작부터 전기 및 전자 디바이스에서 즉시 사용할 수 있는 패키징된 "칩"에 이르기까지 전체 제조 공정은 6-8 주가 소요되며 고도로 전문화된 시설에서 수행된다. 일반적인 반도체 웨이퍼는 소위 "초크랄스키 공정"을 사용하여 직경이 최대 300mm 인 단결정 원통형 잉곳 (불) 으로 성장하는 극도로 순수한 실리콘으로 만들어진다. 반도체 웨이퍼는 일반적으로 이러한 잉곳이 약 0.75mm 두께의 웨이퍼로 슬라이스되고 매우 규칙적이고 평평한 표면을 얻기 위해 연마되기 전에 소위 "부동 영역 공정"에 의해 추가로 정제된다.
반도체 기판을 제조하기 위한 특정 공정은 예를 들어 소위 "FEOL" (front-end-of-line) 및 "BEOL" (back-end-of-line) 공정 페이즈를 포함하는 여러 페이즈로 구성된다.
FEOL 처리 페이즈는 반도체 표면 상에 트랜지스터를 형성하는 것을 말한다. 원시 반도체 웨이퍼는 에피택시를 통해 사실상 결함이 없는 초순수 실리콘 층의 성장에 의해 설계된다. 프론트 엔드 표면 엔지니어링은 게이트 유전체 (일반적으로 HfSiOx 와 같은 하이-k 재료) 성장, 게이트 패터닝, 소스 및 드레인 영역의 주입, 후속하는 콘택 영역의 형성이 수반된다.
다양한 반도체 디바이스가 생성되면, 이들은 원하는 전기 회로 또는 마이크로전자 디바이스를 형성하기 위해 상호 연결되어야 한다. 이는 집합적으로 BEOL이라고 하는 일련의 웨이퍼 처리 단계에서 발생한다. BEOL 처리 페이즈에는 반도체 웨이퍼 표면에 금속 상호연결 배선을 생성하는 것이 포함되며, 이는 유전 상수가 낮거나 "초저"인 재료로 만들어진 층으로 분리된다.
이 절연 재료는 전통적으로 SiO2 의 일 형태 또는 규산염 유리이지만, 오늘날에는 유전 상수가 (SiO2 의 경우 3.82 인 것에 비해) 약 2.7 인 실리콘 옥시카르바이드와 같은 저 유전 상수 재료 (종종 "로우-k 재료"라고도 함) 가 성능을 더욱 향상시키는데 사용되고 있으며, 상수가 2.0 이하인 재료도 또한 알려져 있다.
낮은 유전 상수 값 ("k-값") 은 더 높은 다공성과 더 낮은 밀도를 가진 유전 재료를 가져 왔다. 다공성이 증가함에 따라, 유전체 매트릭스의 내부 기공 구조가 더욱 상호연결될 수 있다. 상호 연결된 기공 부피와 결합된 이 높은 다공성은 비절연 재료, 특히 구리가 로우 k-값 유전체 재료를 통해 확산되거나 침투할 수 있도록 한다. 따라서, 결함 및 오류 메커니즘이 발생하여 성능이 저하되고 디바이스의 작동 수명이 단축되며 심지어 완전한 디바이스 오류로 이어질 수 있다. 따라서, 최근에 로우-k 유전체 재료의 다공성을 감소시키는 방법이 논의되고 있으며, 예를 들어 로우-k 유전체 재료에 적절한 화학 시약을 흡착 또는 증착하여 기공을 닫거나 채우는 것을 포함하는 소위 "기공 실링" 공정을 들 수 있다.
재료의 높은 다공성과 낮은 밀도로 인한 다공성 낮은 k-값 유전체 재료의 또 다른 알려진 문제는 트렌치 또는 소위 "비아" (" 수직 상호연결 액세스") 와 같은 피쳐가 에칭된 이후 불규칙하거나 부드럽지 않은 측벽을 갖는 경향이 있다는 것이다. 그 결과, 후속적으로 형성 및/또는 침착된 재료는 로우 k-값 유전체 층의 측벽을 따라 불규칙적으로 형성될 수 있다. 따라서, 예를 들어, 로우 k-값 유전체 층의 측벽을 따라 균일한 두께로 구리 확산 장벽 층을 형성하는 것은 로우 k-값 유전체 층 측벽의 불규칙성 때문에 문제가 될 수 있다. 현재, "로우-k 리페어" 또는 "낮은 유전 상수 재료의 리페어"로 알려져 있고 주로 실릴화를 기초로 하는 리페어 공정은 이에 따라 이전 패터닝 단계를 따르기 위해 탄소가 풍부한 종을 재결합하고 유전체의 특성을 회복하는 것으로 간주된다 (예를 들어 N. Ahner et al., Solid State Phenomena 219 (2015) 193-196 참조).
반도체 기판, 특히 반도체 웨이퍼 제조에서 중요한 치수가 계속 축소됨에 따라, BEOL 집적 회로 ("IC") 의 금속 피쳐에 대한 부식의 영향이 문제가 되었다. 예를 들어 구리는 성능을 향상시키기 위해 IC에 금속 인터커넥트로 도입되는 경우 많은 장점이 있는 금속이지만, 구리의 사용은 적절하게 처리하지 않는 경우 부식되는 경향 등의 문제도 도입되고 있다. 이는 구리가 예를 들어 알루미늄처럼 쉽게 자연 산화물 부식 방지 기능을 개발하지 않기 때문이다. 한때 눈치채지 못한 부식은 IC 성능에 광범위한 영향을 미칠 수 있다. BEOL 습식 공정에서 발생할 수 있는 일반적인 부식 유형은 종종 공정 화학 재료과 초순수 탈이온 린스 물 간의 상호 작용의 결과이다. 따라서 린스 조에서 부식 억제제를 사용하는 것은 이러한 유형의 부식을 줄이기 위해 일반적으로 사용되는 추가 중간 용매 린스의 필요성을 제거할 가능성으로 논의되었다.
인터커넥트 레벨의 수가 증가함에 따라, 후속 리소그래피 전에 평평한 표면을 보장하기 위해 이전 레이어의 평탄화가 필요하다. 그것 없이는, 레벨이 점점 비뚤어져, 사용 가능한 리소그래피의 초점 깊이를 벗어나도록 확장되어, 패턴 기능을 방해한다. "CMP" (화학적 기계적 평탄화 또는 화학적 기계적 연마) 는 이러한 평탄화를 달성하기 위한 주요 처리 방법이다.
최신 전자 칩은 1000 개 이상의 순차적 처리 단계에서 최대 11 개의 금속 레벨을 생성한다. 반도체 기판, 특히 반도체 웨이퍼를 제조하기 위한 공정에서, 다양한 처리 단계는 증착, 제거, 패터닝 및 전기적 특성의 개질의 네 가지 일반적인 범주로 그룹화될 수 있다.
증착은 반도체 웨이퍼에 재료를 성장, 코팅 또는 전달하는 모든 프로세스이다. 사용 가능한 기술에는 그 중에서도 물리 기상 증착 ("PVD"), 화학 기상 증착 ("CVD"), 전기 화학 증착 ("ECD"), 분자 빔 에피택시 ("MBE") 및 최근에는 원자 층 증착 ("ALD") 이 있다.
이와 관련하여 제거는 웨이퍼에서 재료를 제거하는 모든 공정을 의미하며, 예를 들어 에칭 공정 (습식 또는 건식) 및 CMP가 있다.
이와 관련하여 패터닝은 증착된 재료의 성형 또는 변경을 의미하며 일반적으로 리소그래피의 정지를 포함한다. 예를 들어, 종래의 리소그래피에서, 반도체 웨이퍼는 포토레지스트로 코팅된 다음, 소위 "스테퍼" 기계가 불활성 마스크 (레티클) 에 초점을 맞추고 마스크를 정렬하고 이동시켜, 아래의 반도체 웨이퍼의 선택 부분을 단파장 광에 노광시키고; 포토레지스트의 노광 또는 비노광 영역 (각각 포지티브 또는 네거티브 포토레지스트의 사용에 따라 다름) 은 현상액에 의해 세척된다. 에칭 또는 기타 처리 후, 남아있는 포토레지스트는 "플라즈마 애싱"이라는 공정에 의해 제거된다. 플라즈마 애싱의 잔류물은 종종 특정 습식 세정 단계를 위해 특별히 설계되는, 보통 세정 용액 ("습식 화학 재료") 을 통해 별도의 작업 단계에서 제거되어야 한다.
전기적 특성의 개질은 역사적으로 트랜지스터 소스와 드레인을 도핑하는 것을 수반했는데, 원래는 확산로에 의해 그리고 나중에는 이온 주입에 의해 이루어졌다. 이러한 도핑 공정은 노 어닐링으로 또는 고급 디바이스에서는 급속 열 어닐링 ("RTA") 으로 이어지며; 어닐링은 주입된 도펀트를 활성화하는 역할을 한다. 이제 전기적 특성의 개질은 또한 UV 처리 ("UVP") 에서 자외선에 노광되고 로우-k 재료에서 "포로젠"이 방출됨으로써 로우-k 재료 절연체에서 재료의 유전 상수 감소로 확장된다. 포로젠은 일반적으로 고체에 기공을 만드는데 사용되는 (일반적으로 특정 형상과 크기를 갖는) 입자의 임의의 덩어리, 예를 들어 구조 공학에 사용되는 몰딩된 구조이며, 그리고 일반적으로 구조가 굳은 후에 용해, 세척 또는 린싱된다.
대부분의 이러한 처리 단계 범주에서, 화학 첨가제는 반도체 기판, 특히 반도체 웨이퍼 또는 반도체 기판을 제조하기 위한 중간체를 개질 및/또는 처리하는 다른 목적들을 위해 사용된다. 특히, 화학 첨가제, 특히 계면활성제는 다음 처리 단계를 위해 및/또는 처리 페이즈 또는 제조 전체를 마무리하기 위해, 반도체 기판, 특히 반도체 웨이퍼의 표면을 준비하도록 상기 처리 단계 범주로부터 하나 이상의 처리 단계 전 및/또는 후에 적용된다.
반도체 기판, 특히 반도체 웨이퍼 상의 작은 패턴의 습식 화학 처리는 사용 후 공정 화학물질 및/또는 작업 액체 및/또는 작업 유체를 제거하는 문제를 포함하여 다수의 문제를 포함한다.
기술이 발전하고 치수 요건이 더 엄격해짐에 따라 기판 상에 상대적으로 얇고 높은 포토레지스트의 구조 또는 피쳐, 즉 높은 종횡비를 갖는 피쳐를 포함하는 포토레지스트 패턴이 필요하다. 이러한 구조는 특히 세정 또는 린싱 공정에서, 특히 스핀 건조 공정 동안 인접하는 포토레지스트 피쳐 사이에 세정 또는 린싱 용액으로부터 잔류하는 액체 또는 용액의 과도한 모세관 힘으로 인해, 구부러지거나 붕괴될 수 있다 ("패턴 붕괴" 현상으로 알려짐). 모세관 힘에 의해 야기되는 작은 피쳐 사이의 최대 응력 (σ) 은 예를 들어 세정 또는 린싱 유체의 표면 장력 γ(동적 및 평형 표면 장력 모두) 을 감소시킴으로써 낮출 수 있다. 유체 또는 액체의 표면 장력을 감소시키기 위해, 계면활성제가 일반적으로 상기 유체 또는 액체에 첨가된다.
기존의 포토리소그래피 공정의 또 다른 문제는 레지스트 및 광학 해상도 한계로 인한 라인 에지 거칠기 ("LER") 및 라인 폭 거칠기 ("LWR") 이다. LER 는 피쳐의 이상적인 형태로부터의 수평 및 수직 편차를 포함한다. 특히, 임계 치수가 축소됨에 따라 LER이 더 문제가 되고 트랜지스터 누설 전류 증가와 같은 부정적인 영향을 미치므로 IC 디바이스의 성능이 저하된다.
반도체 기판, 특히 반도체 웨이퍼의 치수 축소로 인해 입자 제거는 결함 감소를 달성하는데 중요한 팩터가 된다. 후자는 또한 포토레지스트 패턴뿐만 아니라 반도체 기판, 광학 디바이스 및 기계 정밀 디바이스의 제조 중에 생성되는 다른 패터닝된 재료 층에도 적용된다.
기존의 포토리소그래피 공정의 또 다른 문제는 워터마크 결함이 있다는 것이다. 탈 이온수 또는 결함 린스 용액이 포토레지스트의 소수성 표면에서 분리될 수 없기 때문에 포토레지스트에 워터마크가 형성될 수 있다. 워터마크는 수율 및 IC 디바이스 성능에 해로운 영향을 미친다.
또 다른 문제는 소위 "블럽 결함"의 발생이다. 이러한 결함은 UV 노출 및/또는 포토레지스트 현상 동안 발생하며, 종종 포토레지스트 상의 하나 이상의 상부 층, 예를 들어 중합성 및 감광성 층에 둥근 "크레이터와 같은" 개구부의 형태를 갖는다. 작은 입자 또는 기타 불용성 재료가 이러한 개구부에 갇혀 개구부의 비효율적인 입자 제거 또는 차단을 초래할 수 있다. 특히, 소수성 단편 또는 소수성 분자의 응집체는 이러한 결함면에 흡수될 수 있다. 이러한 잔여 입자, 단편 또는 응집체는 이후 공정 단계에서 문제를 일으킨다.
종래의 포토리소그래픽 공정의 또 다른 문제는 포토레지스트 층 또는 패터닝된 재료 층에 의한 용매의 흡광도가 이들 층의 팽창을 초래한다는 것이다. 매우 근접한 패턴, 특히 선폭이 50 nm 이하인 선-공간 구조를 갖는 패턴은 이로써 팽창 후 서로 직접 접촉하게 된다. 더욱이, 서로 직접 접촉하는 팽윤된 패턴은 제품, 특히 본 발명에 따른 제품의 현상, 세정 또는 린싱 후에도 후속하여 함께 부착될 것이다. 따라서 포토레지스트 팽윤은 특히 본 발명에 따른 제품에 대한 제품의 최소 달성 가능한 선-공간 치수를 제한한다.
전술한 바와 같이, 반도체 기판, 바람직하게는 반도체 웨이퍼를 제조하기 위한 일반적인 공정은 상기 반도체 기판, 특히 반도체 웨이퍼의 표면의 개질 및 처리를 포함하는 포토리소그래피 및 화학적 처리 단계의 다단계 시퀀스이다. 일반적으로 각각의 개별 처리 단계에 대해, 처리할 표면을 적절하게 준비해야 하며 특히 이전 처리 단계의 잔여물, 입자 또는 유체와 같은 모든 흔적을 제거해야 한다. 이는 예를 들어 사용된 임의의 계면활성제를 포함하여 상기 이전 공정 단계 동안 사용될 수 있는 모든 화학 첨가제, 공정 화학물질, 작업 유체 및/또는 작업 액체 (예를 들어, 결함 감소 린스로부터, 아래 참조) 에 대해서도 마찬가지이다.
예를 들어 필요한 공정 단계 수를 줄이고/줄이거나 처리 단계마다 전환 시간을 줄임으로써 반도체 기판의 처리를 촉진 및/또는 가속화하기 위해, 반도체 기판의 표면을 개질 및/또는 처리하는 목적을 수행한다면 및/또는 다음 또는 후속 처리 단계가 개시되기 전에, 공정 화학물질, 예를 들어 화학 첨가제, 작업 유체 및/또는 작업 액체는 편리하게, 빠르게, 쉽게 - 화학 첨가제에 대한 반도체 기판 표면의 장기적 또는 영구적인 노출이 필요하지 않거나 유익하지 않은 경우 - 바람직하게는 또한 완벽하게 제거되는 것이 바람직하다. 처리 시간을 줄이는 것은 경제적일뿐만 아니라 종종 기술적인 요건이기도 하며, 예를 들어 장시간 동안 처리 환경에 노출되지 않을 수 있는 반도체 웨이퍼에 센서티브 층을 적용하는 경우이다.
그러나 현재 사용 가능한 기술의 사용으로 반도체 기판에 사용하거나 적용한 후 계면활성제를 포함한 공정 화학물질 또는 화학 첨가제와 같은 잔류물을 제거하는 것, 바람직하게는 완전히 제거하는 것이 종종 기술적 과제이다.
이러한 방법 또는 화합물에 관한 상이한 교시가 특허 문헌에 보고되어 있다:
문서 US 7,022,861은 열적으로 불안정한 Diels-Alder 부가물을 포함하는 절단 가능한 계면활성제를 설명한다.
문서 WO 2009/048611은 생물학적 재료, 예를 들어 단백질 또는 세포막의 가용화, 소화, 제조, 분석 및/또는 특성화를 돕는 방법에 사용될 수 있는 특정 절단 가능한 계면활성제 화합물을 설명한다.
문서 WO 02/097393은 절단 가능한 계면활성제 및 소수성 단백질의 MALDI MS 분석에서의 그 용도를 설명한다.
문서 WO 95/08529는 키랄 계면활성제 및 키랄 분리에서의 그 사용 방법을 설명한다.
문서 US 5,868,821은 특정 전자 수용 카르복실산을 포함하는 열 가역성 색상 형성 조성물 및 각각의 열 가역성 기록 매체를 설명한다.
관련 기술은 또한 다음과 같다:
문서 US 2013/0217238 A1은 마스킹 층을 포함하는 기판 처리를 다룬다.
문서 US 2006/264020 A1은 유전체 영역에 의해 분리된 전기 전도성 영역 상에 캡핑 층의 형성을 용이하게 하기 위해 유전체 영역 상에 마스킹 층의 형성을 설명한다.
전술한 관점에서, 본 발명의 주요 목적은 반도체 기판, 특히 반도체 웨이퍼의 적어도 하나의 표면의 개질 또는 처리에서 절단 가능한 첨가제로서 사용하기 위한 유기 화합물을 제공하는 것이었고, 이는 절단 가능한 첨가제를 편리하게, 빠르게, 쉽게, 그리고 필요하거나 유익한 경우 또한 완전하게 제거할 수 있다.
본 발명의 또 다른 목적은 반도체 기판의 적어도 하나의 표면을 상기 유기 화합물, 바람직하게는 절단 가능한 첨가제와 접촉시켜 상기 표면이 개질 또는 처리되고 절단 가능한 첨가제가 후속하여 편리하게, 빠르게, 쉽게 그리고 바람직하게는 완전하게 제거될 수 있도록 하는 것을 포함하는 반도체 기판, 특히 반도체 웨이퍼의 제조 방법을 제공하는 것이었다.
본 발명의 보다 구체적인 목적은 세정 및/또는 린스된 반도체 기판을 제조하기 위해 반도체 기판, 특히 반도체 웨이퍼 또는 중간 반도체 웨이퍼를 세정 및/또는 린싱하는 방법을 제공하는 것이었고, 이 방법은 바람직하게는 절단 가능한 계면활성제인 유기 화합물을 수반한다.
본 발명의 또 다른 목적은 반도체 기판, 특히 반도체 웨이퍼의 적어도 하나의 표면의 개질 및/또는 처리에서 절단 가능한 첨가제로서, 특히 절단 가능한 계면활성제로서 적합한 유기 화합물을 제공하는 것이었다.
본 발명 뿐만 아니라 바람직한 변형, 및 파라미터, 특성 및 요소의 바람직한 조합은 첨부된 청구범위에서 정의된다. 본 발명의 바람직한 양태는 하기의 설명 및 하기에 기재된 실시예에서 추가로 정의된다.
이제 본 발명의 주요 목적 및 다른 목적은 화학식 I의 유기 화합물 또는 그 염을,
A-L-B (I),
(식에서
A 는 테일 기이고,
B 는 헤드 기이며,
L 은 연결 기임)
반도체 기판의 적어도 하나의 표면의 개질 및/또는 처리에서 절단 가능한 첨가제로서 사용하여 달성된다는 것이 밝혀졌고,
상기 화학식 I 의 화합물은 상기 표면으로부터의 제거를 용이하게 하기 위해, 상기 개질 또는 처리에 후속하여 단편들의 세트로 절단된다.
본 발명의 맥락에서, "반도체 기판"은 반도체 웨이퍼의 생산 시작 (즉, 블랭크, 미처리된, 비코팅된 및 비패턴화된 반도체 웨이퍼, 본문에서 "시작 반도체 웨이퍼"라고도 지칭됨) 부터 모든 처리 단계 전체에 걸쳐 (위에 설명된 FEOL 및 BEOL 처리 페이즈에서의 모든 처리 단계 포함; 본문에서 "중간 반도체 웨이퍼"라고도 지칭됨) 처리 완료까지를 포함한다. 반도체 웨이퍼의 처리가 완료되면, 바람직하게는 반도체 웨이퍼의 적어도 하나의 표면에 위치하는 "마이크로전자 디바이스"가 생성된다. 이러한 마이크로전자 디바이스는 또한 본 발명의 맥락에서 사용되는 용어 "반도체 기판"에 의해 포함된다.
본 발명의 맥락에서 기술 분야의 일반적인 이해와 일치하여, 마이크로전자 디바이스는 바람직하게는 트랜지스터, 커패시터, 인덕터, 저항기, 다이오드, 절연체 및 전도체로 구성된 군에서 선택된다. 복수의 마이크로전자 디바이스는 하나 이상의 집적 회로를 형성할 수 있다.
본 발명에 따른 반도체 웨이퍼는 반도체 재료, 바람직하게는 실리콘을 포함하거나 그로 구성된다. 바람직하게는, 개질 또는 처리될 반도체 웨이퍼의 적어도 하나의 표면은 금속 질화물, 바람직하게는 TiN; 및 Si의 산화물, 바람직하게는 HfSiOx, SiOC(N) 및 SiO2, 더 바람직하게는 결정질 SiO2 로 구성된 군으로부터 선택된 하나 이상의 재료를 포함한다.
반도체 기판 또는 반도체 웨이퍼 (처리 페이즈 동안 반도체 웨이퍼는 "중간 반도체 웨이퍼"라고도 지칭됨) 를 처리하는 동안, 일반적으로 위에서 설명한대로 표면 중 적어도 하나에 상이한 재료의 여러 코팅이 도포되며, 예를 들어 하나 이상의 포토레지스트 층, 하나 이상의 보호 층 (예를 들어, 에칭 스톱층), 하나 이상의 절연 층 (예를 들어, 낮은 전기 상수 절연 재료의 층, 로우-k 재료로도 알려짐) 및/또는 하나 이상의 기능성 층의 코팅을 들 수 있다. 도포 직후 코팅 또는 층은 일반적으로 패터닝되지 않는다. 후속하여, 코팅 또는 층, 특히 기능성 코팅은 또한 위에서 설명된 바와 같이 당업계에 공지된 공정에 의해 패턴화 (또는 구조화) 될 수 있다. 반도체 웨이퍼가 표면 중 적어도 하나의 표면에 패턴 또는 구조를 갖는 경우, 본문에서는 각각 "패턴화된 반도체 웨이퍼" 또는 "구조화된 반도체 웨이퍼"라고도 한다. 본문에서 사용된 용어 "중간 반도체 웨이퍼"는 이러한 패턴화된 반도체 웨이퍼 및 구조화된 반도체 웨이퍼를 포함한다.
본 발명의 맥락에서 그리고 기술 분야의 일반적인 이해와 일치하는 반도체 기판, 특히 반도체 웨이퍼는 바람직하게는 거시적으로 평면이며, 즉 반도체 기판 또는 반도체 웨이퍼의 표면 중 적어도 하나의 표면 상의 층, 패턴 또는 구조는 5 ㎛ 이하이다 (즉, 반도체 기판 또는 반도체 웨이퍼의 표면에 수직 방향으로 높이가 5 ㎛ 를 넘지 않음).
본 발명의 맥락에서 절단 가능한 첨가제는 2 개의 기, 테일 기 A 및 헤드 기 B를 포함하는 화학식 I 의 유기 화합물이며, 이 기는 연결 기 L에 의해 연결된다. 바람직하게는, L 은 A 및 B 중 임의의 것에 공유 결합을 통해 결합된다.
기 A 및 B 는 반도체 기판의 적어도 하나의 표면의 개질 또는 처리에서 효과를 갖는데 적합한 관능기를 포함하는 화학기이다. 바람직하게는, 기 A 및 B는 이하에 추가로 설명되는 바와 같이, 반도체 기판의 적어도 하나의 표면의 개질 및/또는 처리의 특정 목적에 따라 상이하고 및/또는 조정된다.
본 발명의 바람직한 변형에서, 절단 가능한 첨가제로서 화학식 I의 화합물을 사용하는 것은 절단 가능한 계면활성제로서의 사용이다. 본 발명의 맥락에서, "절단 가능한 계면활성제"는 - 기술 분야의 일반적인 이해와 일치하며 - 일반적으로 소수성 테일 기과 극성 헤드 기 사이에 "약한 연결"이 의도적으로 삽입된 양친매성이다. 본 발명에 따른 사용을 위해, 테일 기 A는 소수성 테일 기로서 바람직하고 헤드 기 B는 본문에 상세히 정의된 바와 같이 극성 헤드 기로서 바람직하다. 용어 "절단 가능한 계면활성제"는 현장에서 확립되며 이전에 설명된 바와 같이 화합물 유형에 일반적으로 사용되며; 문서 US 7,022,861; WO 2009/048611 또는 WO 02/097393 또는 A. Tehrani-Bagha 등의 리뷰 기사, Current Opinion in Colloid & Interface Science, Vol. 12 Is 2 (2007) 81-91을 참고한다.
특히, 화학식 I의 화합물이 (아래에 보다 상세히 설명되는 바와 같이) 절단 가능한 계면활성제로 사용되는 본 발명의 바람직한 변형에서, 테일 기 A는 바람직하게는 소수성 기이고, 보다 바람직하게는 직쇄 또는 분지형 C4-C20-알킬기이며, 이는 1 내지 4 개의 에테르기로 치환되거나 바람직하게는 비치환된다. 더욱 더 바람직하게는, 이 바람직한 변형에서, A 는 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고, 이는 1 내지 4 개의 에테르기로 치환되거나 바람직하게는 비치환된다. 가장 바람직하게는, 이 바람직한 변형에서, A는 1가 기 "R1-CH2-" 이고, 여기서 R1 는 총 4 내지 20 개, 바람직하게는 6 내지 16 개, 더욱 바람직하게는 7 내지 14 개, 더욱 더 바람직하게는 7 내지 12 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이다.
특히 화학식 I의 화합물이 절단 가능한 계면활성제로서 사용되는 본 발명의 바람직한 변형에서, 헤드 기 B는 바람직하게는 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트, 더 바람직하게는 카르복실레이트; 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환되는 암모늄 ("알킬 암모늄") 으로부터 독립적으로 선택된 적어도 하나의 이온성 기를 그 화학 구조에 포함하는 바람직하게는 극성 기이다. 보다 바람직하게는, 바람직한 변형에서, B 는 총 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이며, 이는 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트; 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환되는 암모늄으로부터 독립적으로 선택된 1 개 또는 2 개의, 바람직하게는 1 개의 이온성 기로 치환된다. 가장 바람직하게는, 이 바람직한 변형에서 B는 화학식 II 의 1가 이온성 기이고,
Figure pct00001
식에서 R2 는 총 1 개 내지 6 개, 바람직하게는 1 개 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고, Y+ 는 비치환된 단일 하전 암모늄 양이온 ("암모늄 양이온") 또는 1 내지 3 개의 C1-C4-알킬기로 치환된 단일 하전 암모늄 양이온 ("알킬 암모늄 양이온") 이고; 그리고 바람직하게는 Y+ 는 비치환된 암모늄이다.
연결기 L은 연결기 L에 선택적으로 작용하는 적어도 하나의 적합한 트리거 또는 트리거링 이벤트에 의해 유도될 때 각각의 경우 선택적으로 절단되거나 재배열되어 화학식 I 의 화합물로부터 단편들의 세트를 방출하는 결합 또는 화학기를 포함하거나 나타낸다 (바람직하게는 나타낸다). "선택적으로 작용하는"은 바람직하게는, L에 대한 상기 트리거 또는 트리거링 이벤트의 작용으로 인해 화학식 I의 화합물로부터 단편 A 및 B 를 방출하는 것 외에 (즉, 단편 A 및 B 에 대한 결합에서 관여하지 않는 단편 A 및 B의 화학적 구조에 직접 영향을 미치지 않는 것 외에), 상기 트리거 또는 트리거링 이벤트가 단편 A 및 B 또는 이들의 화학 구조에 직접 영향을 미치지 않음을 의미한다. 연결기 L의 선택적 절단 또는 재배열은 바람직하게는 절단 가능한 첨가제 (식 I 의 화합물) 의 단편 세트로의 제어되고 선택적인 절단을 야기한다. 상기 단편이 화학식 I의 화합물보다 작기 때문에, 이들은 일반적으로 상기 분해되지 않은 화학식 I의 화합물보다 표면으로부터, 바람직하게는 반도체 기판의 표면으로부터 쉽게 제거될 수 있다. 바람직하게는, L은 공유 결합을 통해 A와 B 중 어느 하나에 결합된다.
본 발명의 모든 양태의 바람직한 변형 (용도, 제조 방법, 세정 및/또는 린싱 방법 및 화합물) 에서, 바람직하게는 화학식 I의 화합물이 절단 가능한 계면활성제인 바람직한 변형에서, 연결기 L은 (2가) 우레탄 기 "-N(H)-C(O)O-" 이다. 이러한 바람직한 변형 중 하나의 변형에서, 연결 기 L은 질소 원자를 통해 기 A, 바람직하게는 위에서 정의된 바람직한 기 A에 연결되고, 그리고 카르복실기의 산소 원자를 통해 기 B, 바람직하게는 위에서 정의된 바람직한 기 B에 연결될 수 있다 (이로써 구조 "A-N(H)-C(O)O-B" 를 생성함). 이러한 바람직한 변형의 또 다른 변형에서, 연결 기 L은 카르복실기의 산소 원자를 통해 기 A에 연결되고 질소 원자를 통해 기 B에 연결될 수 있다 (이로써 구조 "B-N(H)-C(O)O-A"를 생성함). 연결 기 L이 질소 원자를 통해 기 A에 연결되고 카르복실기의 산소 원자를 통해 기 B에 연결되는 변형은 본 발명의 모든 양태와 관련하여 바람직하다.
바람직하게는, 화학식 I의 화합물 또는 그 염은 분자량이 1500 g/mol을 초과하지 않고, 더욱 바람직하게는 1000 g/mol을 초과하지 않는다. 중합체와 달리, 화학식 I의 화합물 또는 그 염은 복수의 동일한 (단량체) 단위로만 구성되지 않는다.
본 발명의 맥락에서 반도체 기판의 적어도 하나의 표면의 "개질"은, 바람직하게는 반도체 기판 또는 마이크로전자 디바이스를 제조하는 방법의 특정 공정 단계 후에, 상기 반도체 기판, 바람직하게는 반도체 웨이퍼, 보다 바람직하게는 중간 반도체 웨이퍼의 상기 적어도 하나의 표면과의 접촉시, 절단 가능한 첨가제가 상기 표면에 그 효과를 발휘하고 상기 효과의 발휘에 후속하여, 단편 세트로 절단된다는 것을 의미한다. 후속적으로 상기 단편이 상기 표면으로부터 제거되고, 바람직하게는 적어도 부분적으로 제거된다. 필요하거나 유익한 경우, 상기 표면으로부터의 상기 단편의 부분적 제거는 상기 단편의 적어도 일부가 상기 표면에 남아있을 수 있고 상기 표면에 더 오래 지속되거나 영구적인 효과를 발휘할 수 있는 효과를 갖는다. 반도체 기판, 바람직하게는 반도체 웨이퍼의 상기 적어도 하나의 표면을 개질 또는 개질하는 것을 포함하는 바람직한 공정은, 전술한 바와 같이, 로우-k 유전체 재료의 기공 실링, 로우-k 유전체 재료의 막 리페어, 상기 반도체 기판의 적어도 하나의 표면의 제타 전위 변화, 상기 반도체 기판의 적어도 하나의 표면에서의 접촉각 변화, 화학식 I 의 화합물과 관련된 반도체 기판의 적어도 하나의 표면의 흡착 또는 접착 특성의 변경, 및 바람직하게는 구리, 코발트 및 텅스텐으로 구성된 군으로부터 선택되는 부식에 민감한 재료의 부식 억제, 더 바람직하게는 구리의 부식 억제로 이루어지는 군으로부터 선택된다.
본 발명의 맥락에서 반도체 기판의 적어도 하나의 표면의 "처리"는 바람직하게는, 중간 반도체 기판, 바람직하게는 반도체 웨이퍼, 더욱 바람직하게는 중간 반도체 웨이퍼의 적어도 하나의 표면을, 절단 가능한 첨가제가 상기 적어도 하나의 표면과 접촉할 때 상기 표면에 그 효과를 발휘하고 상기 효과를 발휘한 것에 후속하여 바람직하게는 트리거 또는 트리거링 이벤트에 따라 단편 세트로 절단되는 방식으로 처리하는 것을 의미한다. 상기 단편은 후속하여 상기 표면으로부터 제거되고, 바람직하게는 완전히 제거된다. 반도체 기판, 바람직하게는 반도체 웨이퍼의 상기 적어도 하나의 표면을 처리 또는 처리하는 것을 포함하는 바람직한 공정은 세정 및 린싱이다. "처리"로서 본 발명에 따라 가장 바람직한 것은 세정이다.
본 발명의 맥락에서 반도체 기판의 적어도 하나의 표면의 "세정"은 바람직하게는, 반도체 기판, 바람직하게는 반도체 웨이퍼, 보다 바람직하게는 중간 반도체 웨이퍼의 적어도 하나의 표면을 이전 공정 단계의 잔류물 또는 나머지로부터, 바람직하게는 FEOL 또는 BEOL 공정과 같은 이전 공정 단계의 결과로서 형성될 수 있는 포토레지스트 또는 기타 층의 입자, 조각 단편 (본문에서는 "오염"이라고도 함) 을 제거함으로써, 예를 들어 포스트 에칭 잔류물 (예를 들어 E. Kester et al., Solid State Phenomena 219 (2015) 201-204 참조), 예를 들어 이온, 미량의 금속 및 그 질화물, 산화물 및/또는 불화물과 같은 건식 에칭 공정에서 발생하는 에칭 플라즈마 잔류물을 제거함으로써 세정하는 것을 의미한다. 반도체 기판의 표면의 세정 필요성은 반도체 기판, 바람직하게는 마이크로전자 디바이스를 제조하기 위한 공정의 다른 단계에서, 특히 반도체 결정의 생산 후 및 임의의 FEOL 처리 페이즈 전에, FEOL 처리 페이즈 동안 여러 단계에서 및 그 후에, 및 BEOL 처리 페이즈 이전, 그 동안의 다른 단계에서 및 그 후에 발생할 수 있다. 상기 설명된 바와 같이 본 발명의 맥락에서 용어 "세정"은 또한, 이전 처리 단계의 나머지, 바람직하게는 예를 들어 FEOL 또는 BEOL 공정의 이전 처리 단계의 결과로서 형성될 수 있는 포토레지스트 또는 기타 층의 입자, 조각 또는 단편이 반도체 기판의 적어도 하나의 표면에서 "린스" 또는 린스 오프되는 단계를 포함한다. 특히, 본 발명의 맥락에서 용어 "세정"은 결함 감소 린스 (아래 참조), 애싱 후 잔류물 제거 린스, 에칭후 잔류물 제거 린스 및 포토레지스트 잔류물 제거 린스를 포함한다.
본 발명의 맥락에서 반도체 기판의 적어도 하나의 표면의 "린싱"은 바람직하게는, 반도체 기판, 바람직하게는 반도체 웨이퍼, 더 바람직하게는 중간 반도체 웨이퍼의 표면을 린싱하여 이전 처리 단계로부터 존재할 수 있는 임의의 작업 유체 또는 작업 액체를 제거하고 및/또는 린싱 단계 후 건조를 위해 반도체 웨이퍼를 준비하는 것을 의미한다. 반도체 기판의 표면의 린싱 필요성은 반도체 기판, 바람직하게는 마이크로전자 디바이스를 제조하기 위한 공정의 다른 단계에서, 특히 반도체 결정의 생산 후 및 임의의 FEOL 처리 페이즈 이전에, FEOL 처리 페이즈 동안 여러 단계에서 및 그 후에, 및 BEOL 처리 페이즈 이전 및 그 동안의 다른 단계에서 및 그 후에 발생할 수 있다.
본 발명에 따른 세정 및/또는 린싱 단계는 바람직하게는 반도체 기판의 표면 구조를 특정 처리 상태로, 예를 들어 FEOL 처리 단계에 들어가기 전에 일반 반도체 웨이퍼 상태 ("시작 반도체 웨이퍼") 로 또는 이후에 예를 들어 포토레지스트, 절연체 (예를 들어, 로우 k-값) 재료 및/또는 금속 ("중간 반도체 웨이퍼") 의 하나 이상의 패턴화 또는 비패턴화된 층을 포함하는 패턴화 또는 비패턴화된 반도체 웨이퍼로서의 상태로 보존하는 방식으로 수행되어야 한다. 보다 바람직하게는, 세정 및/또는 린싱 단계는 반도체 기판 또는 반도체 웨이퍼의 표면 또는 상기 표면에 또는 상기 표면에 존재하는 하나 이상의 층에 이미 존재하는 임의의 패턴 또는 구조를 보존하도록, 그리고 이로써 바람직하게는 포토리소그래피 공정에서 종종 발생하는 원치않는 현상, 특히 패턴 붕괴, 라인 에지 거칠기, 워터 마크 결함, 포토레지스트 팽윤 및/또는 얼룩 결함을 방지, 회피 및/또는 감소하도록 하는 방식으로 수행될 수 있으며, 여기서 상기 원치않는 현상을 방지, 회피 및/또는 감소시키는 세정 및/또는 린싱 단계가 또한 알려져 있으며 본 발명의 맥락에서 "결함 감소 린스"로 통칭된다.
본 발명에 따른 용도가 바람직하며, 여기서
- 상기 반도체 기판은
- 반도체 웨이퍼,
- 중간 반도체 웨이퍼 및
- 마이크로전자 디바이스로 이루어지는 군으로부터 선택되며,
그리고/또는
- 상기 개질은 로우-k 유전체 재료의 기공 실링, 로우-k 유전체 재료의 막 리페어, 상기 반도체 기판의 적어도 하나의 표면의 제타 전위 변화, 상기 반도체 기판의 적어도 하나의 표면에서의 접촉각 변화, 상기 화학식 I 의 화합물에 대한 상기 반도체 기판의 적어도 하나의 표면의 흡착 또는 접착 특성의 변경 및 부식 억제로 이루어지는 군으로부터 선택되고;
그리고/또는
- 상기 처리는 세정 및 린싱으로 이루어지는 군으로부터 선택된다.
또한 상기 개질 및/또는 처리는 세정 및/또는 린싱이거나 또는 세정 및/또는 린싱을 포함하고 ("세정 및/또는 린싱인 것"이 바람직함) 바람직하게는 결함 감소 린스, 애싱 후 잔류물 제거 린스, 에칭 후 잔류물 제거 린스 및 포토레지스트 잔류물 제거 린스를 포함하는, 본 발명에 따른 용도 (또는 본문에서 바람직한 것으로 지정된 본 발명에 따른 용도) 가 바람직하다.
더욱이,
- 상기 화학식 I의 화합물의 절단이 화학 반응, 바람직하게는 pH 변화, 환원, 산화, 친핵성 공격, 친전자성 공격 및 효소적 절단으로 이루어진 군으로부터 독립적으로 선택된 적어도 하나의 트리거에 의해 유도되고; 그리고 에너지, 바람직하게는 열 및/또는 조사의 적용에 의해 유도되며,
그리고/또는
- 상기 제거가 증발, 승화, 린싱, 가수분해 및 용해로 구성된 군에서 선택된 적어도 하나의 공정에 의해 수행되며, 그리고
보다 바람직하게는 상기 제거가 증발에 의해 수행되는, 본 발명에 따른 용도 (또는 본문에서 바람직한 것으로 지정된 본 발명에 따른 용도) 가 바람직하다.
본 발명의 맥락에서, 화학식 I의 화합물의 사용은 반도체 기판의 적어도 하나의 표면의 처리에서 바람직하고, 반도체 기판의 적어도 하나의 표면의 세정 및/또는 린싱에서 바람직하고, 반도체 웨이퍼의 적어도 하나의 표면의 세정 및/또는 린싱에서 더욱 바람직하고, 중간 반도체 웨이퍼의 적어도 하나의 표면의 세정 또는 린싱에서 더욱 더 바람직하다. 이러한 바람직한 변형에서, 상기 화학식 I의 화합물은 바람직하게는 절단 가능한 계면활성제로서 사용된다 (아래에서 더 상세히 설명됨).
본 발명에 따르면, 상기 화학식 I 의 화합물의 절단은 바람직하게는 (상기 언급된 바와 같은) 적어도 하나의 트리거 또는 트리거링 이벤트에 의해 유도된다. 적합하거나 적용 가능한 트리거 (또는 트리거링 이벤트) 및 이에 따른 화학식 I의 절단 가능한 첨가제를 절단하는 적합하거나 적용 가능한 메커니즘은 바람직하게는, 바람직하게는 연결기 L을 화학식 I의 화합물의 일부로서 조정하거나 선택함으로써, 변형 또는 처리될 반도체 기판의 하나 이상의 표면의 특성에 따라 조정되거나 선택되어, 하기에 더 상세히 설명되는 바와 같이, 화학식 I의 절단 가능한 첨가제를 절단하는데 필요한 메커니즘을 충족시킨다.
본 발명에 따르면, 상기 화학식 I의 화합물의 절단은 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 절단 온도에서 열 스트리거에 의해 유도되는 것이 바람직하다. 해당 분야의 숙련가가 이해할 수 있듯이, 이전에 정의된 온도 범위의 더 높은 온도는 반도체 기판, 특히 반도체 웨이퍼 또는 중간 반도체 웨이퍼에 그 생산 시작시 적용되며, 즉 여기서 승온에 민감할 수 있고 이전에 정의된 온도 범위의 낮은 온도만 반도체 기판, 특히 반도체 웨이퍼에 그 생산 후기 단계 또는 그 생산 공정 말미에 적용될 수 있는 다양한 코팅, 패턴 또는 재료 구조를 반도체 기판 또는 웨이퍼는 포함하지 않는다. 따라서 적용되는 절단 온도는 바람직하게는 개질 및/또는 처리될 반도체 기판 상의 층, 코팅, 패턴 또는 구조가 상기 온도의 적용에 의해 훼손, 손상 또는 파괴되지 않도록 선택된다. 적절한 온도를 선택하기 위한 이러한 근거는 반도체 기판의 적어도 하나의 표면으로부터 화학식 I의 화합물의 단편 세트를 제거하기 위한 적절한 온도를 선택하고 적절한 절단 온도를 선택하는데 동일하게 적용된다.
예를 들어 절단 가능한 계면활성제가 생리학적 공정에 적합하거나 양립가능한 온도에서, 예컨대 60 ℃ 내지 (단시간 노출) 90 ℃ 또는 105 ℃ 범위의 온도에서 및 보통 주변 압력에서 (예를 들어, WO 2009/048611 또는 US 7,022,861 참조) 제거되어야 하는 종래 기술에서 알려진 방법과 달리, 본 발명에서 사용하기 위한 절단 가능한 첨가제 (화학식 I의 화합물, 바람직하게는 화학식 Ib의 화합물) 는 더 높은 온도 및/또는 감압 (위 및 아래에 설명됨), 즉 반도체 기판, 바람직하게는 반도체 웨이퍼 및/또는 마이크로전자 디바이스를 생산하기 위한 산업 공정에서 사용될 수 있거나 양립할 수 있는 조건하에서 바람직하게는 절단 (분해) 및/또는 증발된다.
본 발명의 상기 정의된 용도의 하나의 변형에서, 상기 반도체 기판의 적어도 하나의 표면으로부터의 상기 화학식 I의 화합물의 상기 제거는 상기 표면으로부터 상기 단편 또는 단편 세트를 린싱 또는 린싱 오프함으로써 수행된다. 이 변형에서, 상기 화학식 I의 화합물의 이전 절단은 바람직하게는 상기 단편 세트로부터 발생한 단편이 반도체 기판의 적어도 하나의 표면에 부착되는 것을 감소시켜 화학식 I의 화합물을 (즉, 그 조각을) 상기 표면으로부터 제거하는 것을 용이하게 한다.
본 발명의 상기 정의된 용도의 바람직한 변형에서, 상기 반도체 기판의 적어도 하나의 표면으로부터의 상기 화학식 I의 화합물의 상기 제거는 상기 표면으로부터의 상기 단편 세트의 증발에 의해 행해지며, 특히 바람직하게는 여기서 상기 화학식 I의 화합물의 단편 세트로의 절단이 상기 설명된 바와 같이 열의 유발에 의해 유도된다. 상기 단편 세트의 증발은 바람직하게는 가열, 바람직하게는 100 내지 400 ℃ 범위 ("절단 온도"), 더욱 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 온도로, 또는 상기 절단 온도 아래의 온도로 및/또는 증발 압력에서 가열함으로써 수행된다. 바람직하게는 증발 압력은 감압, 바람직하게는 10 hPa 이하, 더 바람직하게는 10-2 hPa 이하, 보다 더 바람직하게는 10-3 hPa 이하의 압력이다.
증발은 본 발명의 모든 양태 (용도, 제조 방법, 세정 및/또는 린싱 방법) 에서 화학식 I의 화합물의 상기 단편 세트를 제거하는 방법으로서 바람직하며, 이는 반도체 기판 또는 마이크로전자 디바이스를 제조하는 방법, 또는 반도체 기판을 세정 또는 린싱하는 방법에서의 (즉, "사용 시점에서의") 대부분 또는 모든 처리 단계에 도입될 수 있는 다양하고, 편리하고, 신속하고, 용이한 방법이기 때문이다.
상기 화학식 I의 화합물의 상기 절단이 열의 트리거에 의해 유도되고 상기 생성된 단편 세트의 제거가 증발에 의해 수행되는 본문에서 정의된 (또는 바람직한 것으로 정의된) 본 발명의 용도 및 방법이 특히 바람직하다.
상기 표면으로부터 상기 화학식 I의 화합물의 제거가 증발에 의해 수행되는 경우, 바람직하게는, 화학식 I의 화합물 및/또는 화학식 I의 화합물이 상기 개질 또는 처리에 후속하여 절단되어 형성되는 단편은 비-휘발성 또는 저 휘발성 화합물을 나타내거나, 형성하거나 또는 이들로 분해되어서는 안되며, 그리고/또는 반도체 기판의 상기 적어도 하나의 표면으로부터의 화학식 I의 화합물의 제거 또는 완전한 제거를 방해할 수 있는 분해 또는 열 제거시 어떠한 부반응도 일으키지 않아야 한다. 바람직하게는, 화학식 I의 화합물 및 각각의 기 A 및 B는 이러한 요건을 준수하도록 선택된다.
또한 본 발명에 따른 용도 (또는 본문에서 바람직한 것으로 지정된 본 발명에 따른 용도) 가 바람직하며, 여기서
- 상기 반도체 기판은
`- 반도체 웨이퍼,
- 중간 반도체 웨이퍼; 및
- 마이크로전자 디바이스로 이루어지는 군으로부터 선택되며,
그리고/또는
- 반도체 기판의 적어도 하나의 표면에 대한 상기 개질 또는 처리는 처리이고, 보다 바람직하게는 세정 및/또는 린싱이고,
그리고/또는
- 상기 화학식 I 의 화합물의 절단은 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 절단 온도에서 열의 트리거에 의해 유도되고,
그리고/또는
- 상기 제거는 증발에 의해, 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 상기 절단 온도에서; 또는 상기 절단 온도 아래의 온도에서 수행된다.
본 발명의 바람직한 변형에서는, 아래의 본 발명에 따른 용도 (또는 본문에서 바람직한 것으로 지정된 본 발명에 따른 용도) 가 바람직하며,
- 화학식 I의 화합물 또는 그 염에서,
L 은 아세탈 기; 케탈 기; 에스테르 기; 티오에스테르 기; 오르토 에스테르 기; 탄산염 기; 아미드 기; 유기실릴 기, Diels-Alder 부가물, 우레탄 기, 이황화 기, 디아조술포네이트 기 및 알킬아릴케톤 술포네이트 기로 이루어지는 군으로부터 선택되고;
바람직하게는, L 은 우레탄기이고,
및/또는 (바람직하게는 및)
L 은 A와 B 중 어느 하나에 공유 결합을 통해 결합되고,
및/또는 (바람직하게는 및)
A와 B는 상이하고,
그리고 바람직하게
A는
o 소수성 기, 바람직하게는 직쇄 또는 분지형 C4-C20-알킬기 (이는 1 내지 4 개의 에테르기로 치환되거나 바람직하게는 비치환됨);
o 가용화기;
o 입체 장애기;
o 패키징 개선기;
o 리펠링기 및
o 자기 조립 단층을 형성하기 위한 전구체기로 이루어진 군으로부터 선택되고,
바람직하게 A는 소수성 기, 보다 바람직하게는 직쇄 또는 분지형 C4-C20-알킬기 (이는 1 내지 4 개의 에테르기로 치환되거나 바람직하게는 비치환됨) 이고,
및/또는 (바람직하게는 및)
B는
o 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트; 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환된 암모늄으로부터 독립적으로 선택된 적어도 하나의 이온성 기를 화학 구조에 포함하는 것이 바람직한, 극성기;
o 마이크로전자 디바이스의 적어도 하나의 표면에 친화성을 갖는 접착기;
o 수막 결합기;
o 마이크로전자 디바이스의 적어도 하나의 표면에 반응성인 앵커링기;
o 마이크로전자 디바이스의 적어도 하나의 표면에 흡착하는 앵커링기;
o Si-OH 결합에 반응성인 앵커링기 및
o 알킬-실릴기로 이루어진 군으로부터 선택되고;
바람직하게 B는 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트; 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환된 암모늄으로부터 독립적으로 선택된 적어도 하나의 이온성 기를 화학 구조에 포함하는 것이 바람직한, 극성기이고,
그리고/또는
- 화학식 I 의 화합물 또는 그 염은 분자량이 1500 g/mol을 초과하지 않고, 바람직하게는 1000 g/mol을 초과하지 않는다.
본 발명에 따른 용도 또는 바람직한 용도를 위해, 화학식 I의 화합물의 일부로서 테일 기 A 및 헤드 기 B는 바람직하게는 반도체 기판, 바람직하게는 반도체 웨이퍼의 적어도 하나의 표면을 개질 또는 처리하는데 필요한 목적을 충족시키도록 조정되거나 선택된다.
화학식 I의 화합물의 목적이 세정 또는 린싱인 경우, 테일 기 A는 바람직하게는 소수성기, 보다 바람직하게는 직쇄 또는 분지형 C4-C20-알킬 (이는 1 내지 4 개의 에테르기로 치환되거나 바람직하게는 비치환됨) 이고; 그리고 헤드 기 B는 바람직하게는 극성기, 바람직하게는 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트; 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환된 암모늄으로부터 독립적으로 선택된 적어도 하나의 이온성 기를 화학 구조에 포함하는 극성기이다.
화학식 I의 화합물의 목적이 패턴 붕괴 (상기 설명된 바와 같음) 의 영향을 피하는데 특히 강조하여 린싱되는 경우, 테일 기 A는 바람직하게는 소수성 기, 보다 바람직하게는 직쇄 또는 분지형 C4-C20-알킬 (이는 1 내지 4 개의 에테르기로 치환되거나 바람직하게는 비치환됨) 이고; 그리고 레펠링기; 및 헤드 기 B는 바람직하게는 수막 결합기, 반도체 기판의 적어도 하나의 표면에 반응성인 앵커링기 및 반도체 기판의 적어도 하나의 표면에 흡착하는 앵커링기로 이루어진 군으로부터 선택된다.
화학식 I의 화합물의 목적이 로우-k 유전체 재료의 기공 밀봉 (상기 설명된 바와 같음) 인 경우, 헤드 기는 바람직하게는 입체 장애기 및 자기 조립된 단층을 형성하기 위한 전구체기로 구성된 군에서 선택되고; 그리고 헤드기 B 는 바람직하게는 극성기, 바람직하게는 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트, 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환된 암모늄으로부터 독립적으로 선택된 적어도 하나의 이온성 기를 화학 구조에 포함하는 극성기이고; 그리고 반도체 기판의 적어도 하나의 표면에 흡착하는 앵커링기.
화학식 I의 화합물의 목적이 (상기 설명된 바와 같이) 로우-k 유전체 재료의 막을 리페어하는 것인 경우, 테일 기 A는 바람직하게는 가용화기이고; 헤드 기 B는 바람직하게는 Si-OH 결합에 반응성인 앵커링기 및 알킬-실릴기로 이루어진 군으로부터 선택된다.
화학식 I의 화합물의 목적이 (상기 설명된 바와 같이) 부식을 억제하는 경우, 테일 기 A는 바람직하게는 가용화기, 입체 장애기 및 패키징 개선기로 구성된 군으로부터 선택되고; 헤드 기 B는 바람직하게는 반도체 기판의 적어도 하나의 표면에 친화성을 갖는 기이다.
본 발명의 용도 또는 바람직한 용도 및 다른 양태를 위해, 화학식 I의 화합물의 일부로서 연결기 L은 바람직하게는 화학식 I의 절단 가능한 첨가제를 절단하는데 필요한 메커니즘을 충족하도록 조정되거나 선택된다. 화학식 I의 절단 가능한 첨가제를 절단하는 메카니즘은 바람직하게는 반도체 기판, 바람직하게는 반도체 웨이퍼의 적어도 하나의 표면의 특성에 따라 조정되며, 즉 상기 표면이 패턴화되거나 패턴화되지 않은 경우 및/또는 예를 들어, 보존해야 하지만 특정 처리 방법에 민감하거나 양립할 수 없는 비반도체 재료 층을 담지하는 경우 그러하다. 이에 따라서, 연결기 L 은 바람직하게는 하기로 이루어진 군에서 선택된다:
- 열 또는 열적으로 불안정한 연결기 L, 바람직하게는 우레탄 기 및/또는 Diels-Alder 부가물, 보다 바람직하게는 우레탄 기. 열 또는 열적으로 불안정한 기는 본 발명의 맥락에서 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 ℃ 내지 300 ℃, 가장 바람직하게는 150 내지 250 ℃ 범위의 절단 온도에서 열의 트리거에 의해 선택적으로 절단되거나 재배열된다.
- 산 불안정한 연결기 L, 바람직하게는 아세탈기; 케탈기 및/또는 오르토 에스테르기. 산 불안정한 연결기 L은 pH 변화의 트리거, 바람직하게는 pH 감소의 트리거 및/또는 산 처리의 트리거에 의해 유도될 때 선택적으로 절단되거나 재배열된다.
- 알칼리 불안정한 연결기 L, 바람직하게는 에스테르 기 및/또는 티오에스테르 기. 알칼리 불안정한 연결기 L은 pH 변화의 트리거, 바람직하게는 pH 증가의 트리거 및/또는 알칼리 (염기) 처리의 트리거에 의해 유도될 때 선택적으로 절단되거나 재배열된다;
- 조사-불안정한 연결기 L, 바람직하게는 UV-조사-불안정한 기 L, 바람직하게는 알킬아릴케톤 설포네이트 기; 디아조설포네이트 기 및/또는 이황화 기. 조사-불안정한 연결기 L은 전자기 조사의 트리거, 바람직하게는 UV 광의 조사, 더욱 바람직하게는 190 내지 400nm 범위의 파장의 UV 광에 의한 조사에 의해 유도될 때 선택적으로 절단되거나 재배열된다;
- 산화 환원 불안정한 연결기 L, 바람직하게는 이황화 기. 산화 환원 불안정한 기 L은 화학적 환원 또는 화학적 산화의 트리거에 의해 유도될 때 선택적으로 절단되거나 재배열된다.
- 효소적-불안정한 연결기 L, 바람직하게는 에스테르 기, 아미드기 및/또는 카르보네이트 기. 효소적 불안정한 기는 효소적 전환의 트리거에 의해 유도될 때 선택적으로 절단되거나 재배열된다. 효소적 전환은 종종 기질-특이적이다.
- 친핵성 또는 친전자성 불안정한 기 L, 바람직하게는 유기실릴기. 친핵성 또는 친전자성 불안정한 기 L은 예를 들어 불소 음이온에 의한 친핵성 공격과 같은 친핵성 또는 친전자성 공격의 트리거에 의해 유도될 때 선택적으로 절단되거나 재배열된다.
연결기 L 및 본 발명의 화학식 I의 화합물에서의 사용 또는 적용에도 적합한 상기 연결기 L을 선택 및/또는 선택적으로 절단 또는 재배열하는 적합한 방법은 일반적으로 해당 분야에, 예를 들어 US 7,022,861; WO 2009/048611 A2 또는 WO 02/097393 A2, 또는 A. Tehrani-Bagha et al., Current Opinion in Colloid & Interface Science, Vol. 12 Is. 2 (2007) 81-9, 또는 내부에 인용된 문헌에 공지되어 있다. 따라서 상기 문헌의 개시는 참조에 의해 그 전체가 본 명세서에 원용된다.
본 발명의 모든 양태 (용도, 제조 방법, 세정 방법) 의 목적을 위해, 열 또는 열적으로 불안정한 연결기 L은 추가 시약 또는 화학 물질 (예를 들어, 산, 염기 또는 친핵제) 의 첨가를 필요로 하지 않기 때문에 바람직하며, 이에 따라서 일반적으로 예를 들어 다른 생산 환경 및/또는 다른 특성 (패턴화, 패턴화되지 않음, 코팅됨, 코팅되지 않음) 의 마이크로전자 디바이스의 표면에서 보다 보편적인 적용이 가능하다.
본 발명의 하나의 바람직한 변형에서, 화학식 I 의 화합물은 이에 따라 화학식 Ia 의 화합물이다:
A-N(H)-C(O)O-B (Ia),
여기서 A 및 B는 화학식 I의 화합물에 대해 상기 정의된 바와 같은 (또는 바람직한 것으로 상기 정의된 바와 같은) 의미를 가지며 우레탄기 "-N(H)-C(O)O-"를 통해 연결된다.
본 발명의 추가 변형에서는, 아래의 본 발명에 따른 용도 (또는 본문에서 바람직한 것으로 지정된 본 발명에 따른 용도) 가 바람직하며,
- 화학식 I의 화합물 또는 그 염에서,
A 는 1 내지 4 개의 에테르기로 치환되거나 바람직하게는 비치환되는, 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
및/또는 (바람직하게는 및)
B 는 총 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이며, 이는 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트, 보다 바람직하게는 카르복실레이트; 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환된 암모늄, 바람직하게는 비치환된 암모늄으로부터 독립적으로 선택된 1 개 또는 2 개, 바람직하게는 1 개의 이온성 기로 치환되고,
및/또는 (바람직하게는 및)
L은 우레탄 기 (-N(H)-C(O)O-) 이고,
및/또는
- 절단 가능한 첨가제는 절단 가능한 계면활성제이고;
및/또는
- 상기 반도체 기판은 반도체 웨이퍼이다.
또 다른 변형에서는, 아래의 본 발명에 따른 용도 (또는 본 명세서에서 바람직한 것으로 지정된 본 발명에 따른 용도) 가 바람직하며,
- 상기 화학식 I 의 화합물의 절단은 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 절단 온도에서 열의 트리거에 의해 유도되고,
및/또는
- 상기 제거는 증발에 의해, 바람직하게는 일정 온도에서, 보다 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 상기 절단 온도에서; 또는 상기 온도 아래의 온도에서, 바람직하게는 상기 절단 온도 아래의 온도에서 수행된다.
본 발명의 용도 또는 바람직한 용도 및 다른 양태의 경우, 상기 화학식 I 의 화합물 또는 그 염은 분자량이 1500 g/mol을 초과하지 않고, 바람직하게는 1000 g/mol을 초과하지 않는다. 특정 범위의 분자량을 갖는 화학식 I의 화합물 또는 그 염은 바람직하게는 단편 세트로 절단될 수 있으며, 여기서 단편은 반도체 기판, 바람직하게는 반도체 웨이퍼를 처리할 때의 일반적인 조건 및/또는 요건과 양립가능한 조건하에서, 예컨대 적절한 처리 온도 (위에서 정의한 바와 같음) 및/또는 압력 (아래에서 정의한 바와 같음) 하에서, 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 상기 절단 온도에서; 또는 상기 절단 온도보다 낮은 온도에서, (아래에서 더 자세히 설명하는 바와 같이) 증발에 의한 바람직한 제거에 의해 반도체 기판의 표면으로부터 제거될 수 있고, 바람직하게는 완전히 제거될 수 있다.
유사하게, 테일 기 A가 위에 정의된 바와 같은 직쇄 또는 분지형 지방족 탄화수소 기이고 헤드 기 B가 위에 정의된 극성의, 치환된 직쇄 또는 분지형 지방족 탄화수소 기인 경우, 화학식 I의 각각의 화합물을 열적으로 절단하는 것으로부터 형성된 단편은 바람직하게는 증발에 의해, 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 온도 및/또는 (바람직하게는 "또는") 10 hPa 이하, 바람직하게는 10-2 hPa 이하, 더 바람직하게는 10-3 hPa 이하의 압력 ("증발 압력") 에서의 증발에 의해 제거될 수 있는 단편이다.
화학식 I의 화합물 또는 그 염 및 본 발명의 다른 양태의 용도 또는 바람직한 용도를 위해, 연결기 L은 바람직하게는 우레탄 기 (-N(H)-C(O)O-) 이다. 연결기 L 이 우레탄 기인 화학식 I의 화합물 또는 그 염, 바람직하게는 바람직한 화학식 I의 화합물 또는 그 염은 바람직하게는 열의 트리거에 의해, 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 절단 온도에서 단편 세트로 절단될 수 있다. 자체 실험에서 우레탄 기 L의 상기 열 분해는 일반적으로 200 ℃ 를 초과하지 않는 온도에서 원활하게 진행되는 것으로 나타났다.
연결기 L이 우레탄 기인 화학식 I의 화합물, 바람직하게는 화학식 Ia의 화합물, 보다 바람직하게는 화학식 Ib의 화합물 (아래에 정의됨) 이 열의 트리거에 의해 유도되어 단편 세트로 선택적으로 절단되고 증발에 의해 유도된 반도체 웨이퍼의 표면에서 거의 완전히, 바람직하게는 완전히 제거된다는 것이 자체 실험에서 발견되었다. 자체 실험에서, 비교할 수 있는 조건하에 - Diels-Alder 부가물 유형의 특정 절단 가능한 계면활성제는 증발에 의해 유도된 반도체 웨이퍼 표면에서 완전히 제거되지는 않지만 상당한 양의 유기 화합물의 잔류물이 Diels-Alder 부가물 유형으로부터 상기 절단 가능한 계면활성제의 가열 및 증발 후에 발견되었다는 것이 또한 보여질 수 있다 (실시예 섹션 참조).
아래의 본 발명에 따른 용도 (또는 본문에서 바람직한 것으로 지정된 본 발명에 따른 용도) 도 바람직하며,
- 화학식 I의 화합물 또는 그 염에서,
A 는 1 내지 4 개의 에테르기로 치환되거나 바람직하게는 비치환되는, 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
그리고
B 는 총 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이며, 이는 음이온성 기, 바람직하게는 카르복실레이트, 및 양이온성 기, 바람직하게는 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환되거나 또는 바람직하게는 비치환되는 암모늄으로부터 독립적으로 선택된 1 개 또는 2 개, 바람직하게는 1 개의 이온성 기로 치환되고;
그리고
L 은 우레탄 기이고 A와 B 중 어느 하나에 공유 결합을 통해 결합되고,
및/또는 (바람직하게는 "및")
- 상기 화학식 I의 화합물 또는 그 염은 절단 가능한 계면활성제로 사용되고,
및/또는 (바람직하게는 "및")
- 상기 화학식 I의 상기 화합물은 반도체 웨이퍼의 세정 및/또는 린싱에서 절단 가능한 계면활성제로서 사용된다.
추가 변형에서는, 아래의 본 발명에 따른 용도 (또는 본문에서 바람직한 것으로 표시된 본 발명에 따른 용도) 가 또한 바람직하며, 화학식 I의 유기 화합물 또는 그 염에서,
- A 는 1가 기 R1-CH2- 이고,
R1 은 총 4 내지 20 개, 바람직하게는 6 내지 16 개, 보다 바람직하게는 7 내지 14 개, 보다 더 바람직하게는 7 내지 12 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
B 는 화학식 II의 1가 이온성 기이고,
Figure pct00002
식에서
R2 는 총 1 개 내지 6 개, 바람직하게는 1 개 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
Y+ 는 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환되거나 비치환된 단일 하전 암모늄 양이온이고; 그리고 바람직하게는 비치환된 암모늄이다.
그리고
- L 은 우레탄기이다.
이 바람직한 변형에서, 바람직하게는 상기 화학식 I의 화합물의 상기 용도는 반도체 웨이퍼의 적어도 하나의 표면의 세정 및/또는 린싱에서 절단 가능한 계면활성제로서 사용된다.
여기에 추가로 명시된 바와 같은 화학식 I의 화합물 또는 바람직한 화학식 I의 화합물은 열의 트리거에 의해, 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 절단 온도에서 단편 세트로 절단될 수 있기 때문에 반도체 웨이퍼의 세정 또는 린싱에서 절단 가능한 계면활성제로서 특히 적합하다는 것이 자체 실험에서 밝혀졌고, 그리고 단편은, 상기 세정 또는 린싱에 후속하여, (아래에 보다 상세히 설명되는 바와 같이) 증발에 의해 유도된 바람직한 제거에 의해 상기 반도체 기판의 표면으로부터, 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 상기 절단 온도에서; 또는 상기 절단 온도 아래의 온도에서 및/또는 절단 압력에서, 바람직하게는 증발 압력 (상기에 정의된 바와 같음) 에서, (상기에 정의된 바와 같이) 제거될 수 있고, 바람직하게는 완전하게 제거될 수 있다.
본 발명에 따른 상기 정의된 바와 같은 화학식 I의 화합물의 용도 (또는 본문에서 바람직한 것으로 지정된 본 발명에 따른 용도) 가 바람직하며, 여기서 상기 개질 또는 처리, 바람직하게는 상기 세정 또는 린싱은 마이크로전자 디바이스, 회로 디바이스, 광학 디바이스, 전자 디스플레이 및/또는 기계적 정밀 디바이스, 바람직하게는 마이크로전자 디바이스를 제조하는 공정, 바람직하게는 산업 공정의 일부이다.
본 발명은 또한 다음의 단계들을 포함하는 반도체 기판, 바람직하게는 마이크로전자 디바이스의 제조 방법에 관한 것이다:
- 적어도 하나의 표면을 갖는 반도체 기판, 바람직하게는 시작 반도체 웨이퍼 또는 중간 반도체 웨이퍼, 바람직하게는 중간 반도체 웨이퍼를 제조 또는 제공하는 단계,
- 상기 표면이 개질 또는 처리되도록, 상기 적어도 하나의 표면을 화학식 (I) 의 유기 화합물 또는 그 염과 접촉시키는 단계,
A-L-B (I),
(식에서
A 는 테일 기이고,
B 는 헤드 기이며,
L 은 연결 기임)
그리고 후속하여
- 상기 표면상의 상기 유기 화합물 또는 그 염을 단편들의 세트로 절단하는 단계,
그리고 바람직하게는, 후속하여
- 접촉 표면으로부터 상기 단편들의 세트를 제거하는, 완전히 제거하는 단계,
그리고 바람직하게는 후속하여
- 추가 후속 단계를 수행하는 단계를 포함하여
반도체 기판, 바람직하게는 마이크로전자 디바이스가 생성되도록 한다.
일반적으로, 화학식 I 의 유기 화합물의 본 발명의 용도에 관한 맥락에서 상기 본원에 논의된 본 발명의 모든 양태는 본 발명의 제조 방법에 준용된다. 마찬가지로 본원에 논의된 본 발명에 따른 반도체 기판을 제조하는 방법의 모든 양태는 본 발명에 따른 화학식 I의 유기 화합물의 용도에 준용된다.
상기 정의된 바와 같은 제조 방법은 바람직하게는, 바람직하게는 집적 회로 또는 집적 회로를 포함하는 디바이스를 포함하는, 전자 제품에 적용하기 위한 마이크로전자 디바이스의, 바람직하게는 상호연결 산업에서의 산업 시리얼 생산이다.
상기 정의된 바와 같은 제조 방법에서, 접촉 단계는 바람직하게는 시작 반도체 웨이퍼 또는 중간 반도체 웨이퍼의, 바람직하게는 중간 반도체 웨이퍼의 상기 적어도 하나의 표면을 화학식 I의 화합물과 접촉시키는 단계를 포함하여, 상기 표면이 로우-k 유전체 재료의 기공 실링, 로우-k 유전체 재료의 막 리페어, 중간 반도체 기판의 적어도 하나의 표면의 제타 전위 변화, 중간 반도체 기판의 적어도 하나의 표면에서의 접촉각 변화, 화학식 I 의 화합물에 대한 중간 반도체 기판의 적어도 하나의 표면의 흡착 또는 접착 특성 변화, 및 부식 억제로 이루어지는 군으로부터 선택된 적어도 하나의 개질에 의해 개질되도록 하고, 그리고/또는 상기 표면이 세정 및/또는 린싱으로 이루어지는 군으로부터 선택된 적어도 하나의 처리에 의해 처리되도록 한다. 바람직하게는, 접촉 단계는 상기 표면이 세정되거나 린싱되도록 상기 적어도 하나의 표면을 접촉시키는 것을 포함한다.
아래의 상기에 정의된 바와 같은 본 발명에 따른 제조 방법이 또한 바람직하며,
- 상기 접촉시키는 단계는 바람직하게는 로우-k 유전체 재료의 기공 실링, 로우-k 유전체 재료의 막 리페어, 중간 반도체 기판의 적어도 하나의 표면의 제타 전위 변화, 상기 중간 반도체 기판의 적어도 하나의 표면에서의 접촉각 변화, 화학식 I 의 화합물에 대한 상기 중간 반도체 기판의 적어도 하나의 표면의 흡착 또는 접착 특성 변화에 의한 개질; 및 바람직하게는 세정 및/또는 린싱에 의한 처리로 이루어지는 군으로부터 선택된 적어도 하나의 효과를 달성하도록 수행되고;
바람직하게는 적어도 하나의 효과는 세정 및/또는 린싱이거나 이를 포함하며, 더 바람직하게는 결함 감소 린스, 애싱 후 잔류물 제거 린스, 에칭 후 잔류물 제거 린스 및 포토레지스트 잔류물 제거 린스를 포함하고,
그리고/또는
- 상기 절단 단계는
바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 절단 온도에서; 및/또는 절단 압력에서, 상기 화학식 I의 화합물 또는 그 염을 단편들의 세트로 열 절단하는 것을 포함하고,
그리고/또는
- 적어도 하나의 접촉 표면으로부터 상기 단편 세트를 제거하는 상기 단계는, 바람직하게는
o 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 상기 절단 온도에서; 또는 상기 절단 온도 아래의 온도에서
및/또는
o 상기 절단 압력에서 또는 상기 절단 압력 아래의 압력에서 상기 단편들을 증발시키는 것을 포함한다.
상기 정의된 바와 같은 바람직한 제조 방법에서, 상기 화학식 I의 화합물을 열적으로 절단하는 상기 단계는 바람직하게는 열의 트리거에 의해 유도된다.
상기 정의된 바와 같은 바람직한 제조 방법에서, 상기 절단 압력은 바람직하게는 주변 압력 (대기압) 이고 상기 절단 압력 미만의 상기 압력은 바람직하게는 감압, 바람직하게는 10 hPa 이하, 더욱 바람직하게는 10-2 hPa 이하, 보다 더 바람직하게는 10-3 hPa 이하의 압력 ("증발 압력") 이다.
본 발명의 맥락에서, 접촉 표면으로부터 화학식 I의 화합물의 단편 세트를 "제거"한다는 것은 바람직하게는 화학식 I의 화합물 (즉, 그 단편) 의 적어도 99.5 질량% 가 본 발명에 따른 제조 방법의 결과로서, 바람직하게는 질량의 차등 측정에 의해 결정된 바와 같이, 상기 접촉 표면으로부터 제거된다는 것을 의미한다. 즉, 상기 적어도 하나의 표면을 화학식 (I) 의 유기 화합물과 접촉시키는 단계에서 이전에 적용된 화학식 I의 화합물의 총 질량의 0.5 질량% 이하는 (상기 정의된 바와 같은) 본 발명에 따른 제조 방법의 절단 및 제거 단계가 수행된 이후 발견된다.
본 발명의 맥락에서, 접촉 표면으로부터 화학식 I의 화합물의 단편 세트를 "완전히 제거"한다는 것은 바람직하게는 화학식 I의 화합물 (즉, 그 단편) 의 적어도 99.9 질량% 가 본 발명에 따른 제조 방법의 결과로서, 바람직하게는 질량의 차등 측정에 의해 결정된 바와 같이, 상기 접촉 표면으로부터 제거된다는 것을 의미한다. 즉, 상기 적어도 하나의 표면을 화학식 (I) 의 유기 화합물과 접촉시키는 단계에서 이전에 적용된 화학식 I의 화합물의 총 질량의 0.1 질량% 이하는 (상기 정의된 바와 같은) 본 발명에 따른 제조 방법의 절단 및 제거 단계가 수행된 이후 발견된다.
본 발명의 제조 방법에 따라 반도체 웨이퍼의 접촉 표면으로부터 화학식 I의 화합물 또는 그 단편을 성공적으로 "제거" 또는 "완전히 제거"하기 위한 직접 테스트가 바람직하지 않은 경우, 또는 의심되는 경우, 열의 트리거에 의해 절단될 수 있는 특정 화학식 I 또는 Ia의 화합물, 바람직하게는 화학식 Ib의 화합물이 (상기 정의된 바와 같은) 본 발명에 따른 제조 방법에 의해, 즉 본 발명에 따른 제조 방법의 조건하에 "제거" 또는 "완전히 제거"될 수 있는지 여부를 결정하기 위해 간접 측정 방법이 바람직하게 사용된다.
따라서 아래의 본 발명에 따른 제조 방법 (또는 본문에서 바람직한 것으로 지정된 본 발명에 따른 제조 방법) 이 또한 바람직하며,
- 화학식 I의 화합물은 화학식 Ib의 화합물이고
및/또는
- 제거하는 단계는 바람직하게는 질량의 차동 측정에 의해 결정된 바와 같이 접촉 표면으로부터 화학식 I의 화합물, 바람직하게는 화학식 Ib의 화합물의 적어도 99.1 질량%, 바람직하게는 적어도 99.9 질량%를 제거하는 것을 포함하여,
바람직하게는 화학식 I 또는 Ib의 화합물의 적어도 99.1 질량%, 바람직하게는 적어도 99.9 질량%의 상기 제거가 다음 단계를 포함하는 테스트 방법에 의해 (간접적으로) 결정된다:
- 정의된 질량의 화학식 I 또는 Ib의 화합물을 석영 유리관, 바람직하게는 길이 3 cm 및 직경 20 cm의 원통형 석영 유리관에 로딩하는 단계,
- 하기의 처리 조건에서 석영 유리관에서 화학식 I 또는 Ib의 화합물에 30 분 동안 적용하는 단계:
- 대기압에서 10-3 hPa 까지 범위의 압력 및
- 100 ℃ 내지 400 ℃ 범위, 바람직하게는 150 ℃ 내지 250 ℃ 범위의 온도.
질량의 차동 측정에 의해 화학식 I, 바람직하게는 화학식 Ib의 화합물의 제거 정도를 결정하는 것은 바람직하게는 본 발명의 맥락에서 또는 하기 실시예 3에 기재된 방법과 유사하게 수행된다.
추가 변형에서, 상기 정의된 바와 같이 아래의 본 발명에 따른 제조 방법 (또는 본문에서 바람직한 것으로 지정된 본 발명에 따른 제조 방법) 이 바람직하며,
- 화학식 I의 화합물 또는 그 염에서,
A 및 B는 다르며 L은 A와 B에 공유 결합을 통해 결합된다.
및/또는 (바람직하게는 및)
A 는 1 내지 4 개의 에테르기로 치환되거나 바람직하게는 비치환되는, 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
및/또는 (바람직하게는 및)
B 는 총 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이며, 이는 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트; 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환된 암모늄으로부터 독립적으로 선택된 1 개 또는 2 개의, 바람직하게는 1 개의 이온성 기로 치환되고,
및/또는 (바람직하게는 및)
L 은 우레탄기이고,
그리고/또는
- 화학식 I 의 화합물 또는 그 염은 분자량이 1500 g/mol을 초과하지 않고, 바람직하게는 1000 g/mol을 초과하지 않는다.
상기 정의된 바와 같은 본 발명에 따른 제조 방법 (또는 본문에서 바람직한 것으로 지정된 본 발명에 따른 제조 방법) 의 변형에서, 상기 적어도 하나의 표면과 화학식 I의 화합물 또는 그 염을 접촉하는 단계가 상기 적어도 하나의 표면을 화학식 I의 화합물 또는 그 염을 포함하는 조성물, 바람직하게는 수성 조성물 (즉, 물을 함유하는 조성물) 과 접촉시키는 것을 포함하는 방법이 바람직하다.
상기 정의된 본 발명의 제조 방법의 특정 변형 (또는 본문에서 바람직한 것으로 지정된 본 발명에 따른 제조 방법) 에서, 본 발명은 또한 반도체 기판, 바람직하게는 중간 반도체 웨이퍼를 세정 및/또는 린싱하는 방법에 관한 것으로, 다음 단계를 포함한다:
- 적어도 하나의 표면을 갖고 적어도 하나의 표면 상에 하나 이상의 재료를 갖는, 반도체 기판, 바람직하게는 중간 반도체 웨이퍼를 제조 또는 제공하는 단계,
- 반도체 기판, 바람직하게는 중간 반도체 웨이퍼의 적어도 하나의 표면 상의 상기 하나 이상의 재료를 화학식 I 의 유기 화합물, 바람직하게는 절단 가능한 계면활성제 또는 그 염과 접촉시키는 단계:
A-L-B (I),
(식에서
A 는 테일 기이고,
B 는 헤드 기이며,
L 은 연결 기임)
- 상기 재료 중 하나 이상의 재료와 함께 적어도 하나의 표면으로부터 화학식 I의 화합물, 바람직하게는 계면활성제, 또는 그 염의 양을 제거하여, 하나 이상의 표면에 상기 화학식 I의 화합물 또는 그 염의 잔류량이 부착된 세정 또는 린싱된 반도체 기판, 바람직하게는 중간 반도체 웨이퍼가 생성되게 하는 단계,
- 절단 압력에서 절단 온도로 가열함으로써 상기 표면(들) 상의 상기 화학식 I 의 화합물, 바람직하게는 계면활성제, 또는 그 염의 상기 잔류량의 적어도 일부 또는 전체를 단편들의 세트로 절단하는 단계로서, 각각의 단편은 적용된 절단 압력, 바람직하게는 증발 압력에서 상기 절단 온도 아래의 비등점을 갖는, 상기 절단하는 단계, 및
- 바람직하게는 세정 또는 세정된 반도체 기판, 바람직하게는 중간 반도체 웨이퍼가 생성되도록, 바람직하게는 접촉된 표면으로부터 상기 단편 세트를 증발에 의해 제거, 바람직하게는 완전히 제거하는 단계.
일반적으로, 화학식 I의 유기 화합물의 본 발명의 용도 및 반도체 기판을 제조하는 본 발명의 방법과 관련하여 상기에서 논의된 본 발명의 모든 양태가 본 발명의 반도체 기판의 세정 또는 린싱 방법에 준용된다. 마찬가지로 본 명세서에서 논의된 반도체 기판을 세정 또는 린싱하는 본 발명의 방법의 모든 양태는 화학식 I의 유기 화합물의 본 발명에 따른 용도 및/또는 본 발명의 반도체 기판의 제조 방법에 준용된다.
상기 정의된 바와 같은 본 발명에 따른 세정 또는 린싱 방법에서, 바람직하게는 상기 재료는 이전 공정 단계로부터 유래된 잔류물, 잔여물, 입자, 작업 유체 및/또는 작업 액체이다. 바람직하게는 이러한 재료는 상기 마이크로전자 디바이스 또는 중간 마이크로전자 디바이스의 표면에 단단히 결합, 연결 또는 고정되지 않지만, 바람직하게는 업계에서 통상적인 세정 (세척, 린싱) 공정에서 세척 또는 린싱이 가능할 정도로 충분히 느슨하게 상기 표면에 안착된다. 특히, 상기 재료는 이전 프로세싱 단계의 결과로서, 예를 들어 반도체 기판 또는 중간 반도체 기판의 표면에 이전에 도포될 수 있었던 포토레지스트 층 또는 기타 층으로부터의 잔류물 및/또는 에칭후 잔류물로 형성될 수 있는 입자, 조각 또는 단편일 수 있고; 그리고/또는 상기 재료는 이전 처리 단계에서 및/또는 린싱 단계 후 건조를 위해 반도체 기판 또는 중간 반도체 기판을 준비할 때 존재할 수 있는 작옵 유체 또는 작업 액체일 수 있다.
상기 정의된 바와 같은 본 발명에 따른 세정 또는 린싱 방법에서, 상기 유기 화합물의 상기 잔류량의 적어도 일부 또는 전체를 절단하는 단계에서, 상기 잔류량의 ≥ 99.5 질량% 또는 전체를 절단하는 것이 바람직하다.
상기 정의된 바와 같은 본 발명에 따른 세정 또는 린싱 방법에서, 바람직하게는 상기 화학식 I의 화합물을 절단하여 생성된 각각의 단편은 분해되지 않은 화학식 I의 화합물보다 낮은 비등점을 가지므로, 상기 화학식의 화합물을 절단하여 생성된 단편을 제거, 바람직하게는 증발시키는 것은 (상술된 바와 같이) 분해되지 않은 화학식 I의 화합물에 비해 적은 노력으로 (즉, 더 낮은 온도 및/또는 더 높은 증발 압력에서) 달성될 수 있다.
또한, 상기 정의된 바와 같은 본 발명에 따른 세정 및/또는 린싱 방법이 바람직하며, 여기서 상기 단편 세트를 제거하는 단계는
- 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 상기 절단 온도에서; 또는 상기 절단 온도 아래의 온도에서
및/또는 (바람직하게는 및)
- 상기 절단 압력에서 또는 상기 절단 압력 아래의 압력에서, 바람직하게는 상기 절단 압력 아래의 압력에서, 보다 바람직하게는 10-2 hPa 이하의 압력에서, 더 바람직하게는 10-3 hPa10 이하의 압력에서
상기 단편을 증발시키는 것을 포함한다.
본 발명의 하나의 변형에서, 상기 정의된 바와 같은 본 발명에 따른 세정 및/또는 린싱 방법 (또는 본문에서 바람직한 것으로 지정된 본 발명에 따른 세정 및/또는 린싱 방법) 이 바람직하고, 상기 화학식 I의 화합물 또는 그 염에서,
A 는 1가 기 R1-CH2- 이고,
R1 은 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고;
B 는 화학식 II의 1가 이온성 기이고,
Figure pct00003
식에서
R2 는 총 1 개 내지 6 개, 바람직하게는 1 개 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
Y+ 는 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환되거나 비치환된 단일 하전 암모늄 양이온이고; 그리고 바람직하게는 비치환된 암모늄이다.
그리고
L 은 우레탄기이다.
본 발명의 추가 변형에서, 상기 정의된 바와 같은 본 발명에 따른 세정 및/또는 린싱 방법 (또는 본문에서 바람직한 것으로 지정된 본 발명에 따른 세정 및/또는 린싱 방법) 이 바람직하고, 여기서 상기 적어도 하나의 표면과 화학식 I의 화합물, 바람직하게는 절단 가능한 계면활성제, 또는 그 염을 접촉하는 단계는 상기 적어도 하나의 표면을 화학식 I의 화합물, 바람직하게는 절단 가능한 계면활성제, 또는 그 염을 포함하는 조성물, 바람직하게는 수성 조성물 (즉, 물을 함유하는 조성물) 과 접촉시키는 것을 포함한다.
본 발명은 또한 화학식 Ib 의 화합물에 관한 것이다:
Figure pct00004
식에서
R1 은 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
R2 는 총 수 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
여기서 바람직하게는 R2 는 키랄 센터를 포함하지 않고,
그리고
Y+ 는 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환되거나 비치환된 단일 하전 암모늄 양이온이고; 그리고 바람직하게는 비치환된 암모늄이고,
그리고
바람직하게는
R1 은 총 7 내지 14 개, 보다 바람직하게는 7 내지 12 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
보다 더 바람직하게는 R1 은, R1 이 결합되는 메틸렌 기 (즉, "-CH2-" 기) 와 함께, 2-에틸헥실; 2-프로필헵틸; n-도데실; 2,5,7,7-테트라메틸옥틸; 이소데실; 이소트리데실 및 이소트리데실과 이소펜타데실의 혼합물로 이루어진 군에서 선택된 지방족 탄화수소 기이고,
가장 바람직하게는 R1 은, R1 이 결합되는 메틸렌 기 (즉, "-CH2-" 기) 와 함께, 2-프로필헵틸; n-도데실 및 이소트리데실로 이루어진 군에서 선택된 지방족 탄화수소 기이고,
R2 는 총 1 내지 2 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고 보다 바람직하게는 키랄 센터를 포함하지 않고,
보다 더 바람직하게는 R2 는 -CH2- 이며,
그리고
Y+ 는 암모늄이다.
일반적으로, 본 발명에 의한 화학식 I의 유기 화합물의 용도, 본 발명에 의한 반도체 기판의 제조 방법 및 본 발명에 의한 반도체 기판의 세정 및/또는 린싱의 방법의 맥락에서 상기 본원에 논의된 본 발명의 모든 양태는 본 발명의 화합식 Ib의 화합물에도 준용된다. 그리고 마찬가지로 본원에서 논의된 본 발명의 화학식 Ib의 화합물의 모든 양태는 화학식 I의 유기 화합물의 용도, 본 발명에 의한 반도체 기판의 제조 방법 및 본 발명에 의한 반도체 기판의 세정 및/또는 린싱의 방법에도 준용된다.
화학식 Ib의 화합물은, 모두 각각의 경우에 위에서 정의된 바와 같이 (또는 각각의 경우에 바람직한 것으로 위에서 정의된 바와 같이), 본 발명의 용도 및 방법에서, 바람직하게는 절단 가능한 첨가제로서의 용도에서, 더욱 바람직하게는 절단 가능한 계면활성제로서의 용도에서, 반도체 기판의 적어도 하나의 표면의 개질 및/또는 처리에서; 반도체 기판, 바람직하게는 마이크로전자 디바이스의 제조 방법에서, 및/또는 반도체 기판의 세정 및/또는 린싱 방법에서, 특히 적합하고 적용하는 것으로 의도된다.
바람직한 변형에서, 화학식 Ib의 화합물은, 바람직하게는 애싱 후 잔류물 제거 린스, 에칭 후 잔류물 제거 린스 및 포토레지스트 잔류물 제거 린스를 포함하는, 반도체 기판의 적어도 하나의 표면의 세정 또는 린싱에서 절단 가능한 계면활성제로서의 본 발명에 따른 용도 또는 바람직한 용도의 바람직한 화학식 I의 화합물이다.
상기 정의된 바와 같은 본 발명에 따른 화학식 Ib의 화합물에서, R2 는 바람직하게는 키랄 중심을 포함하지 않는다. 바람직하게는, R2 는 이에 따라서 바람직하게는 동일한 탄소 원자에서 두 개의 다른 (보석) 치환기를 담지하지 않는다. 더 바람직하게는, R2 는 "-CH2-" 및 "n-C2H4-" 에서 선택되고 가장 바람직하게는 R2 는 "-Ch2-" (메틸렌) 이다.
상기 정의된 바와 같은 화학식 Ib의 화합물에서, R1 의 바람직한 의미는, R1 이 결합되는 메틸렌 기와 함께, "이소데실" 탄화수소 기이다. "이소데실"은 10 개의 탄소 원자와 2 내지 2.5 범위의 평균 분지화도를 갖는 탄화수소기의 이성질체의 혼합물을 나타낸다 (즉, C10-이성질체는 평균적으로 탄소 사슬에 2 ~ 2.5 개의 분지를 갖는다). 분지화도는 1H-NMR 분광법에 의해 "CH3-" 기 (신호) 마이너스 1 개의 "CH3-" 기의 양으로 결정될 수 있다. 이소데실 기는 상업적으로 입수 가능한 공급원, 예를 들어 이소데실 알코올 (CAS RN 25339-17-7) 로부터 유기 분자로 도입될 수 있다. "이소데실"은 아래 화학식 VIII의 화합물에서 "iC10H21"로 축약된다.
상기 정의된 바와 같은 화학식 Ib의 화합물에서, R1 의 또 다른 바람직한 의미는, R1 이 결합되는 메틸렌 기와 함께 "이소트리데실" 탄화수소 기이다. "이소트리데실"은 13 개의 탄소 원자와 2 내지 3.5 범위의 평균 분지화도를 갖는 탄화수소기의 이성질체의 혼합물을 나타낸다 (즉, C13-이성질체는 평균적으로 탄소 사슬에 2 내지 3.5 개의 분지를 갖는다). 이소트리데실 기는 상업적으로 입수 가능한 공급원, 예를 들어 이소트리데실 알코올 (CAS RN 27458-92-0) 로부터 유기 분자로 도입될 수 있다. "이소트리데실"은 하기 화학식 V의 화합물에서 "iC13H27"로 축약된다.
상기 정의된 바와 같은 화학식 Ib의 화합물에서, R1 의 또 다른 바람직한 의미는, R1 이 결합되는 메틸렌 기와 함께, "이소트리데실" 및 "이소펜타데실" 탄화수소 기의 혼합물이다. 상기 "이소트리데실" 및 "이소펜타데실" 기의 혼합물은 각각 13 개 또는 15 개의 탄소 원자를 갖고 0.1 내지 0.9 범위의 평균 분지화도는 탄화수소 기의 이성질체의 혼합물을 나타낸다 (즉, C13- 또는 C15-이성질체는 평균적으로 탄소 사슬에 0.1 내지 0.9 개의 분지가 있다). 이소트리데실 및 이소펜타데실기의 혼합물은 예를 들어 상응하는 상업적으로 입수 가능한 알파-올레핀의 공지된 하이드로포밀화 반응에 의해 상업적으로 입수 가능한 공급원으로부터 유기 분자로 도입될 수 있다. "이소트리데실"과 "이소펜타데실"의 혼합물은 아래 화학식 IX의 화합물에서 "iC13H27/iC15H31" 로 축약된다.
본 발명에 따른 그리고 본 발명의 용도 및 방법을 위한 특히 바람직한 화학식 Ib의 화합물은 하기에 나타낸 화학식 III 내지 IX의 화합물의 군으로부터 선택된다:
Figure pct00005
Figure pct00006
본 발명의 용도 및 방법을 위한 가장 바람직한 화학식 Ib의 화합물은 상기에 나타낸 바와 같은 화학식 III, IV 및 V의 화합물이다.
본 발명은 또한 화학식 Ib의 화합물 또는 그 염, 및 통상적인 용매 (물 포함) 및/또는 첨가제를 포함하는 조성물에 관한 것이다.
본 발명은 또한 바람직하게는, 반도체 기판의 적어도 하나의 표면을 개질 또는 처리하기 위한, 상기에 정의된 바와 같은 (또는 바람직한 것으로 상기에 정의된 바와 같은) 화학식 I의 화합물 또는 그 염 및/또는 상기 정의된 바와 같은 (또는 바람직한 것으로 상기에 정의된 바와 같은) 화학식 Ib의 화합물 또는 그 염을 포함하는 조성물의 용도에 관한 것이다.
일반적으로, 본 발명에 의한 화학식 I의 화합물의 용도, 본 발명에 의한 반도체 기판, 바람직하게는 마이크로전자 디바이스의 제조 방법, 본 발명에 의한 반도체 기판의 세정 및/또는 린싱의 방법, 및/또는 본 발명에 따른 화학식 Ib 의 화합물의 맥락에서 상기 본원에 논의된 본 발명의 모든 양태는, 화합식 I의 화합물 또는 그 염 및/또는 화학식 Ib의 화합물 또는 그 염에도 준용된다. 그리고 마찬가지로, 본원에 논의된 화학식 I의 화합물 또는 그 염 및/또는 화학식 Ib의 화합물 또는 그 염을 포함하는 조성물의 본 발명의 용도의 모든 양태는, 본 발명에 의한 화학식 I의 화합물의 용도, 본 발명에 의한 반도체 기판, 바람직하게는 마이크로전자 디바이스의 제조 방법, 본 발명에 의한 반도체 기판의 세정 및/또는 린싱의 방법, 및/또는 본 발명에 따른 화학식 Ib 의 화합물에도 준용된다.
본원에 개시된 화학식 I의 화합물은 당업계에 일반적으로 공지된 방법에 의해, 예를 들어 문헌 US 7,022,861; WO 2009/048611 A2 또는 WO 02/097393 A2에 개시된 방법에 의해, 또는 A. Tehrani-Bagha et al., Current Opinion in Colloid & Interface Science, Vol. 12 Is. 2 (2007) 81-9 또는 내부에서 인용된 문헌에 의해 (본원에서 참조로 원용됨, 상기를 참조) 제조될 수 있다.
화학식 Ib의 화합물은 바람직하게는 하기 실시예 섹션에 제공된 일반적인 절차(들)에 의해 제조될 수 있다.
본 발명은 하기 양태 A1 내지 A15 에서 추가로 기술되고 요약된다:
A1. 화학식 I 의 유기 화합물 또는 그 염의, 반도체 기판의 적어도 하나의 표면의 개질 및/또는 처리에서 절단 가능한 첨가제로서의 용도로서,
A-L-B (I),
식에서
A 는 테일 기이고,
B 는 헤드 기이며,
L 은 연결 기이고,
상기 화학식 I 의 화합물은 상기 표면으로부터의 제거를 용이하게 하기 위해, 상기 개질 또는 처리에 후속하여 단편들의 세트로 절단된다.
A2. 양태 1에 따른 용도로서,
- 화학식 I의 화합물 또는 그 염에서,
L 은 아세탈 기; 케탈 기; 에스테르 기; 티오에스테르 기; 오르토 에스테르 기; 탄산염 기; 아미드 기; 유기실릴 기, Diels-Alder 부가물, 우레탄 기, 이황화 기, 디아조술포네이트 기 및 알킬아릴케톤 술포네이트 기로 이루어지는 군으로부터 선택되고;
및/또는
L 은 A와 B 중 어느 하나에 공유 결합을 통해 결합되고,
및/또는
A와 B는 상이하고,
그리고 바람직하게
A는
o 소수성 기, 바람직하게는 1 내지 4 개의 에테르기로 치환되거나 비치환되는 C4-C20-알킬기;
o 가용화기;
o 입체 장애기;
o 패키징 개선기;
o 리펠링기 및
o 자기 조립 단층을 형성하기 위한 전구체기로 이루어진 군으로부터 선택되고,
바람직하게 A는 소수성 기, 더 바람직하게는 1 내지 4 개의 에테르기로 치환되거나 비치환되는 C4-C20-알킬기이고;
및/또는
B는
o 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트; 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환된 암모늄으로부터 독립적으로 선택된 적어도 하나의 이온성 기를 화학 구조에 포함하는 것이 바람직한, 극성기;
o 마이크로전자 디바이스의 적어도 하나의 표면에 친화성을 갖는 접착기;
o 수막 결합기;
o 마이크로전자 디바이스의 적어도 하나의 표면에 반응성인 앵커링기;
o 마이크로전자 디바이스의 적어도 하나의 표면에 흡착하는 앵커링기;
o Si-OH 결합에 반응성인 앵커링기 및
o 알킬-실릴기로 이루어진 군으로부터 선택되고;
바람직하게 B는 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트; 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환된 암모늄으로부터 독립적으로 선택된 적어도 하나의 이온성 기를 화학 구조에 포함하는 것이 바람직한, 극성기이고,
및/또는
- 화학식 I 의 화합물 또는 그 염은 분자량이 1500 g/mol을 초과하지 않고, 바람직하게는 1000 g/mol을 초과하지 않는다.
A3. 이전 양태 중 임의의 양태에 따른 용도로서,
- 화학식 I의 화합물 또는 그 염에서,
A는 1 내지 4 개의 에테르기로 치환되거나 비치환되는, 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
및/또는
B 는 총 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이며, 이는 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트; 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환된 암모늄으로부터 독립적으로 선택된 1 개 또는 2 개의 이온성 기로 치환되고,
및/또는
L 은 우레탄기이다.
및/또는
- 절단 가능한 첨가제는 절단 가능한 계면활성제이다.
A4. 이전 양태 중 임의의 양태에 따른 용도로서, 바람직하게는 양태 3에 따른 용도로서,
- 상기 화학식 I 의 화합물의 절단은 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 절단 온도에서 열의 트리거에 의해 유도되고,
및/또는
- 상기 제거는 증발에 의해, 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 온도에서; 또는 상기 온도보다 낮은 온도에서 수행된다.
A5. 이전 양태 중 임의의 양태에 따른 용도로서,
- 상기 반도체 기판은 반도체 웨이퍼, 중간 반도체 웨이퍼 및 마이크로전자 디바이스로 구성된 군에서 선택되며,
및/또는
- 상기 개질은 로우-k 유전체 재료의 기공 실링, 로우-k 유전체 재료의 막 리페어, 상기 반도체 기판의 적어도 하나의 표면의 제타 전위 변화, 상기 반도체 기판의 적어도 하나의 표면에서의 접촉각 변화, 상기 반도체 기판에 대한 상기 반도체 기판의 적어도 하나의 표면의 흡착 또는 접착 특성의 변경으로 이루어지는 군으로부터 선택되고;
및/또는
- 상기 처리는 세정 및 린싱으로 이루어지는 군으로부터 선택된다.
A6. 이전 양태 중 임의의 양태에 따른 용도로서, 바람직하게는 양태 3 에 따른 용도로서, 상기 화학식 I 의 화합물 또는 그 염에서,
A 는 1가 기 R1-CH2- 이고,
R1 은 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고;
B 는 화학식 II의 1가 이온성 기이고,
Figure pct00007
식에서
R2 는 총 1 개 내지 6 개, 바람직하게는 1 개 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
Y+ 는 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환되거나 비치환된 단일 하전 암모늄 양이온이고; 그리고 바람직하게는 비치환된 암모늄이고,
그리고
L 은 우레탄기이다.
A7. 반도체 기판의 제조 방법으로서,
- 적어도 하나의 표면을 갖는 반도체 기판, 바람직하게는 시작 반도체 웨이퍼 또는 중간 반도체 웨이퍼를 제조 또는 제공하는 단계,
- 상기 표면이 개질 또는 처리되도록, 상기 적어도 하나의 표면을 화학식 (I) 의 유기 화합물 또는 그 염과 접촉시키는 단계,
A-L-B (I),
(식에서
A 는 테일 기이고,
B 는 헤드 기이며,
L 은 연결 기임)
그리고 후속하여
- 상기 표면 상의 상기 유기 화합물 또는 그 염을 단편들의 세트로 절단하는 단계,
그리고 바람직하게는 후속하여
- 접촉된 상기 표면으로부터 상기 단편들의 세트를 제거하는 단계를 포함한다.
A8. 양도 7에 따른 방법으로서,
- 상기 접촉시키는 단계는 로우-k 유전체 재료의 기공 실링, 로우-k 유전체 재료의 막 리페어, 중간 반도체 기판의 적어도 하나의 표면의 제타 전위 변화, 상기 중간 반도체 기판의 적어도 하나의 표면에서의 접촉각 변화, 화학식 I 의 화합물에 대한 상기 중간 반도체 기판의 적어도 하나의 표면의 흡착 또는 접착 특성 변화; 및 세정 및/또는 린싱에 의해 처리하는 것으로 이루어지는 군으로부터 선택된 적어도 하나의 효과를 달성하도록 수행되고;
바람직하게는 적어도 하나의 효과는 세정 및/또는 린싱이거나 이를 포함하며, 더 바람직하게는 결함 감소 린스, 애싱 후 잔류물 제거 린스, 에칭 후 잔류물 제거 린스 및 포토레지스트 잔류물 제거 린스를 포함하고,
및/또는
- 상기 절단하는 단계는 상기 표면 상의 상기 화학식 I 의 화합물 또는 그의 염을
바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 절단 온도 및 절단 압력에서
단편들의 세트로 열 절단하는 것을 포함하고,
및/또는
- 적어도 하나의 접촉 표면으로부터 상기 단편 세트를 제거하는 상기 단계는, 바람직하게는
o 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 상기 절단 온도에서; 또는 상기 절단 온도 아래의 온도에서
및/또는
o 상기 절단 압력에서 또는 상기 절단 압력 아래의 압력에서
상기 단편들을 증발시키는 단계를 포함한다.
A9. 양태 7 내지 8 중 어느 양태에 따른 방법으로서,
- 화학식 I의 화합물 또는 그 염에서,
A 및 B는 다르며 L은 A와 B에 공유 결합을 통해 결합되고,
및/또는
A 는 1 내지 4 개의 에테르기로 치환되거나 바람직하게는 비치환되는, 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
및/또는
B 는 총 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이며, 이는 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트; 및 양이온성 기, 바람직하게는 비치환되거나 C1-C4-알킬기로 1 내지 3 회 치환되는 암모늄으로부터 독립적으로 선택된 1 개 또는 2 개의 이온성 기로 치환되고,
및/또는
L 은 우레탄기이고,
및/또는
- 화학식 I 의 화합물 또는 그 염은 분자량이 1500 g/mol을 초과하지 않고, 바람직하게는 1000 g/mol을 초과하지 않는다.
A10. 바람직하게는 양태 7 내지 9 중 어느 하나에 따른, 반도체 기판을 세정 및/또는 린싱하는 방법은:
- 적어도 하나의 표면을 가지며 그 표면 중 적어도 하나의 표면 상에 하나 이상의 재료를 갖는 반도체 기판을 제조 또는 제공하는 단계,
- 반도체 기판의 하나 이상의 표면 상의 상기 하나 이상의 재료를 화학식 I 의 유기 화합물 및 그 염과 접촉시키는 단계로서,
A-L-B (I),
A 는 테일 기이고,
B 는 헤드 기이며,
L 은 연결 기인, 상기 접촉시키는 단계,
- 상기 재료 중 하나 이상의 재료와 함께, 상기 적어도 하나의 표면으로부터 상기 화학식 I의 화합물 또는 그 염의 양을 제거하여, 상기 화학식 I의 화합물 또는 그 염의 잔류량이 그 표면 중 하나 이상의 표면에 부착된 반도체 기판을 세정 또는 린싱되게 하는 단계,
- 절단 압력에서 절단 온도로 가열함으로써 상기 표면(들) 상의 상기 화학식 I 의 화합물 또는 그 염의 상기 잔류량의 적어도 일부 또는 전체를 단편들의 세트로 절단하는 단계로서, 각각의 단편은 적용된 절단 압력에서 상기 절단 온도 아래의 비등점을 갖는, 상기 절단하는 단계, 및
- 제거하는 단계, 바람직하게는 증발에 의해 접촉 표면으로부터 상기 단편들의 세트를 완전히 제거하는 단계를 포함한다.
A11. 양태 10에 따른 방법으로서, 상기 단편들의 세트를 제거하는 단계는
- 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 상기 절단 온도에서; 또는 상기 절단 온도 아래의 온도에서
및/또는
- 상기 절단 압력에서 또는 상기 절단 압력 아래의 압력에서 상기 단편들을 증발시키는 단계를 포함한다.
A12. 양태 10 내지 11 중 어느 양태에 따른 방법으로서, 상기 화학식 I의 화합물 또는 그 염에서,
A 는 1가 기 R1-CH2- 이고,
R1 은 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고;
B 는 화학식 II의 1가 이온성 기이고,
Figure pct00008
식에서
R2 는 총 1 개 내지 6 개, 바람직하게는 1 개 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
Y+ 는 1 내지 3 개의 C1-C4-알킬기로 치환 또는 비치환되는 단일 하전된 암모늄 양이온; 그리고 바람직하게는 비치환된 암모늄이고,
그리고
L 은 우레탄기이다.
A13. 화학식 Ib 의 화합물로서,
Figure pct00009
식에서
R1 은 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
R2 은 총 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
여기서 바람직하게는 R2 는 키랄 센터를 포함하지 않고,
그리고
Y+ 는 1 내지 3 개의 C1-C4-알킬기로 치환 또는 비치환되는 단일 하전된 암모늄 양이온; 그리고 바람직하게는 비치환된 암모늄이다.
A14. 양태 13에 따른 화학식 Ib 의 화합물로서,
R1 은 총 7 내지 14 개, 보다 바람직하게는 7 내지 12 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
보다 바람직하게는 R1 은, R1 이 결합되는 메틸렌 기와 함께, 2-에틸헥실; 2-프로필헵틸; n-도데실; 2,5,7,7-테트라메틸옥틸; 이소데실; 이소트리데실 및 이소펜타데실로 이루어진 군에서 선택된 지방족 탄화수소 기이고,
R2 는 총 1 내지 2 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고 보다 바람직하게는 키랄 센터를 포함하지 않고,
보다 더 바람직하게는 R2 는 -CH2- 이며,
그리고
Y+ 는 암모늄이다.
A15. 반도체 기판의 적어도 하나의 표면을 개질 또는 처리하기 위한, 양태 1 내지 6 중 어느 하나의 양태에 정의된 화학식 I 의 화합물 또는 그 염, 및/또는 양태 13 내지 14 중 어느 하나의 양태에 정의된 화학식 Ib 의 화합물 또는 그 염을 포함하는 조성물의 용도.
실시예:
다음의 실험은 그 범위를 제한하지 않고 본 발명을 더욱 설명 및 예시하기 위한 것이다.
실시예 1: 화학식 1b 의 화합물의 합성
일반 절차:
a) 알킬 이소시아네이트의 합성
필요한 이소시아네이트를 구입하지 않은 경우 (또는 시판되지 않은 경우), 이를 당업계에 일반적으로 알려진 바와 같이, 다음 일반 절차에 따라 디포스겐 (CAS RN 503-38-8) 으로 처리하여 해당 1 차 아민에서 합성했다 (아래의 반응 스킴 1, RS-1 참조):
Figure pct00010
반응 스킴 1 및 2 (RS-1, RS-2) 에서의 R1 및 R2 는 화학식 Ib의 화합물에 대해 상기에 주어진 의미 또는 바람직한 의미를 갖는다.
교반기, 온도계 및 환류 응축기가 장착된 1000 ml 4-구 둥근 바닥 플라스크에서, 각각의 1 차 알킬 아민 (1.0 몰 당량) 을 클로로 벤젠 (알킬 아민 1g 당 클로로 벤젠 2g) 에 용해시켰고 실온에서 20 분 동안 교반했다. 0 ℃로 냉각시킨 후, 디포스겐 (1.0 몰 당량) 을 적가하였다. 생성된 혼합물을 0 ℃에서 3 시간 동안 교반하고 이어서 20 ℃에서 20 시간 동안 교반하였다. 혼합물을 125℃ 로 점차 가열하였고, 이 온도에서 8 h 동안 교반하였다. 용매를 80℃ 의 회전 증발기에서 제거하였고 10-20 hPa에서 2 시간 내에 제거했다. 원하는 구조는 각각의 경우 1H-NMR 에 의해 확인되었다. 필요한 경우, 생성된 이소시아네이트를 증류로 정제하였다.
b) 알킬 우레탄 알킬렌 카르복실산 메틸 에스테르의 합성
Figure pct00011
교반기, 온도계 및 환류 응축기가 장착된 500 ml 4-구 둥근 바닥 플라스크에서, 각각의 알킬 이소시아네이트 (제조를 위해 상기 절차 단계 a) 를 참조; 1.0 몰 당량) 을 디클로로 메탄 (알킬 이소시아네이트 1 g 당 디클로로 메탄 7.2 g) 에 용해시켰고 실온에서 20 분 동안 교반했다. 0 ℃ 로 냉각한 후, 트리메틸아민 (0.1 몰 당량) 및 N,N-디메틸아미노피리딘 (0.01 몰 당량) 을 첨가했다. 그 후, 글리콜산 메틸 에스테르 (1.0 몰 당량) 를 0 ℃에서 1 시간 이내에 적가하였다. 생성된 혼합물을 20 ℃ 로 가온하고 이 온도에서 20 시간 동안 교반하였다. 그후, 혼합물을 50 ℃ 로 점차 가열하였고 이 온도에서 20 시간 동안 교반하였다. 혼합물을 20 ℃ 로 냉각시키고 추가 량의 디클로로 메탄 (알킬 이소시아네이트 1 g 당 디클로로 메탄 6 g) 을 첨가하였다. 유기상을 1M 수성 염산 (디클로로 메탄 부피의 절반 부피) 으로 추출하였다. 유기층을 분리하고 MgSO4 상에서 건조했다. 건조된 유기 용매를 회전 증발기에서 40 ℃ 및 10-20 hPa의 압력에서 4 시간 이내에 제거했다. 원하는 구조는 각각의 경우 1H-NMR 에 의해 확인되었다.
c) 알킬 우레탄 알킬렌 카르복실산의 합성
교반기, 온도계 및 환류 응축기가 장착된 500 ml 4-구 둥근 바닥 플라스크에서, 알킬 우레탄 알킬렌 카르복실산 메틸 에스테르 (제조를 위해 위의 절차 단계 b) 참조, 1.0 몰 당량) 를 물 (알킬 우레탄 알킬렌 카르복실산 메틸 에스테르 1 g 당 물 6.2 g) 및 수산화 나트륨 용액 (1.0 몰 당량, 물 중 50% w/w NaOH) 과 실온에서 혼합하였다. 혼합물을 20 ℃에서 20 시간 동안 교반하고 이후에 50 ℃에서 24 시간 동안 교반하였다. 다음, 혼합물을 20 ℃로 냉각시키고 tert-부틸 메틸 에테르 (물 1g 당 tert-부틸 메틸 에테르 0.67 g) 를 첨가하고 혼합물을 20℃ 에서 추가로 2 시간 동안 교반하였다. 이어서 혼합물을 탁한 상이 투명해질 때까지 50 ℃로 점차 가온하였다. 혼합물을 분리 깔때기로 완전히 옮기고 상을 40 ℃에서 분리했다. 분리 후, 수성 상을 5 ℃로 냉각시키고 진한 염산 (1.0 몰 당량) 으로 처리하였다. 물을 회전 증발기에서 80 ℃ 및 10 hPa에서 4 시간 이내에 제거하여 알킬 우레탄 알킬렌 카르복실산을 얻었다. 원하는 구조는 각각의 경우 1H-NMR 에 의해 확인되었다.
d) 알킬 우레탄 알킬렌 카르복실레이트 암모늄 염의 합성
1000 ml 1-구 둥근 바닥 플라스크에서, 알킬 우레탄 알킬렌 카르복실산 (제조를 위해 위의 절차 단계 c)를 참조; 1.0 몰 당량) 을 에탄올 (알킬 우레탄 알킬렌 카르복실산 1 g 당 에탄올 15.6.2 g) 및 에탄올 중의 암모니아 용액 (에탄올 중 4% w/w NH3 용액의 10 몰 당량 NH3) 과 실온에서 혼합하였다. 플라스크를 회전 증발기에 장착하고 25 ℃에서 30 분, 60 ℃에서 2 시간 동안 회전시켰다. 에탄올을 60 ℃에서 점차 제거하고 4 시간 이내에 감압 (30 ~ 200 hPa) 하였다. 조 암모늄 염을 초음파 배쓰에서 60 ℃에서 tert-부틸 메틸 에테르 (조 암모늄 염 1g 당 tert-부틸 메틸 에테르 3 g) 와 혼합한 다음 20 ℃로 냉각시켰다. 정제된 암모늄염을 여과하고, 그리고 점차 감압함으로써 미량의 tert-부틸 메틸 에테르를 제거하였다. 원하는 구조는 각각의 경우 1H-NMR 에 의해 확인되었다.
하기 화학식 Ib의 화합물은 아래에 제공된 바와 같은 총 수율 (아래에 제공된 바와 같이, 절차 단계 a) 또는 b) 에서 사용된 시작 재료에 대한 수율%) 에서 일반적인 절차에 따라 수득되었다:
화학식 III의 화합물: 절차 단계 b) 에서 사용된 재료 대비 59%.
MeOD (참조 표준으로서, 테트라메틸실란 "TMS") 중 화학식 III의 화합물의 1H-NMR: δ = 0.8 - 1.0 ppm (m, 6 H, 2 x CH3 의 알킬 모이어티), 1.1 - 1.6 ppm (m, 9 H, CH 및 CH2 의 알킬 모이어티), 3.0 - 3.1 ppm (d, 2 H, 우레탄 기의 N 에 근접하는 CH2), 3.3 ppm (MeOH), 4.35 - 4.5 ppm (s, 2 H, 카르복실레이트와 우레탄 기 사이의 CH2), NH4 및 NH: 광범위한 신호
화학식 IV의 화합물: 절차 단계 a) 에서 사용된 재료 대비 37%.
MeOD (TMS) 중 화학식 IV의 화합물의 1H-NMR: δ = 0.8 - 1.0 ppm (m, 6H, 2 x CH3 의 알킬 모이어티), 1.1 - 1.6 ppm (m, 13 H, CH 및 CH2 의 알킬 모이어티), 3.0 - 3.1 ppm (d, 2 H, 우레탄 기의 N 에 가까운 CH2), 3.3 ppm (MeOH), 4.35 - 4.5 ppm (s, 2H, 카르복실레이트와 우레탄 기 사이의 CH2), NH4 및 NH: 광범위한 신호
화학식 V의 화합물: 절차 단계 a) 에서 사용된 재료 대비 43%.
MeOD (TMS) 중 화학식 V의 화합물의 1H-NMR: δ = 0.8 - 1.6 ppm (m, 25 H, CH2 및 CH3 의 알킬 모이어티), 3.0 - 3.1 ppm (d, 2 H, 우레탄 기의 N 에 가까운 CH2), 3.3 ppm (MeOH), 4.35 - 4.5 ppm (s, 2 H, 카르복실레이트와 우레탄 기 사이의 CH2), NH4 및 NH: 광범위한 신호
화학식 VI의 화합물: 절차 단계 b) 에서 사용된 재료 대비 18%.
MeOD (참조 표준으로서, 테트라메틸실란) 중 화학식 VI의 화합물의 1H-NMR: δ = 0.8 - 1.0 ppm (t, 3 H, CH3 의 알킬 모이어티), 1.1 - 1.6 ppm (m, 20 H, 10 x CH2 의 알킬 모이어티), 3.0 - 3.1 ppm (d, 2 H, 우레탄 기의 N 에 가까운 CH2), 3.3 ppm (MeOH), 4.35 - 4.5 ppm (s, 2 H, 카르복실레이트와 우레탄 기 사이의 CH2), NH4 및 NH: 광범위한 신호.
화학식 VII의 화합물: 절차 단계 a) 에서 사용된 재료 대비 51%.
실시예 2: 화학식 X 및 XI의 비교 화합물의 합성
a) 아미드화
Figure pct00012
교반기, 온도계 및 환류 응축기가 장착된 250 ml 4-구 둥근 바닥 플라스크에, 말레산 무수물 (19.61 g, 0.20 mol, 1.0 몰 당량) 을 아세트산 (100 ml) 에 용해시키고 25 ℃에서 교반하였다. 그후, 2-에틸헥실아민 (25.85 g, 0.20 mol, 1.0 몰 당량) 을 2 시간에 걸쳐 적가하였다. 다음, 생성된 혼합물을 교반하고 80 ℃에서 6 시간 동안 가열하고 이어서 25 ℃ 에서 92 시간 동안 가열하였다. 용매를 80 ℃ 의 회전 증발기에서 제거하였고 10-20 hPa에서 2 시간 내에 제거했다. 조 생성물의 1H-NMR은 원하는 아미드 ((2Z)-4-[(2-에틸헥실)아미노]-4-옥소-2-부텐산, CAS RN 6975-33-3) 의 단일 형성을 나타냈다.
b) 염소화 및 고리화
Figure pct00013
교반기, 온도계 및 환류 응축기가 장착된 1000 ml 4 구 둥근 바닥 플라스크에서, 단계 a) 의 조 생성물 (35.38 g, 0.156 mol, 1.0 몰 당량) 을 10 ℃에서 교반된 디클로로메탄 (500 ml) 에 용해시켰다. N,N-디메틸포름아미드 (0.19 g) 를 첨가하였다. 그후, 옥살릴 클로라이드 (21.93 g, 0.173 mol, 1.1 몰 당량) 을 45 분에 걸쳐 적가하였다. 다음, 생성된 혼합물을 교반하고 25 ℃에서 16 시간 동안 가열하고 이어서 25 ℃ 에서 92 시간 동안 가열하였다. 용매를 80 ℃ 의 회전 증발기에서 10-20 hPa에서 2 시간 내에 제거했다. 조 혼합물의 1H-NMR은 원하는 염소화 이미드 ("3-클로로-1-(2-에틸헥실)피롤리딘-2,5-디온") 의 단일 형성을 나타냈다.
c) 소거
Figure pct00014
교반기, 온도계 및 환류 응축기가 장착된 1000 ml 4 구 둥근 바닥 플라스크에서, 단계 b) 의 조 생성물 (37.5 g, 0.153 mol, 1.0 몰 당량) 을 25 ℃에서 교반된 디클로로메탄 (500 ml) 에 용해시켰다. 그후, 트리에틸 아민 (23.16 g, 0.229 mol, 1.5 몰 당량) 을 15 분에 걸쳐 25 ℃에서 적가하였다. 그후, 생성된 혼합물을 20 ℃ 에서 24 hr 동안 교반하였다. 유기상을 염산 수용액 (물 중 1N HCl 의 2 x 500 ml) 으로 추출하였다. 유기상을 황산 마그네슘 상에서 건조시키고 여과하였다. 용매를 50 ℃ 의 회전 증발기에서 10-20 hPa에서 2 시간 내에 제거했다. 조 혼합물의 1H-NMR은 원하는 말레산 이미드 (1-(-에틸헥실)-1H-피롤-2,5-디온; CAS RN 48149-71-9) 의 단일 형성을 나타냈다.
d) Diels-Alder 반응
Figure pct00015
교반기, 온도계 및 환류 응축기가 장착된 250 ml 4 구 둥근 바닥 플라스크에서, 단계 c) 의 조 생성물 (19.6 g, 0.094 mol, 1.0 몰 당량) 을 60 ℃에서 2-퍼니스 카르복실산 (10.50 g, 0.094 mol, 1.0 몰 당량) 과 혼합하였다. 그후, 수득한 혼합물을 70 ℃ 에서 25.5 hr 동안 교반하였다. 그후, tert-부틸 메틸 에테르 (150 ml) 를 25 ℃에서 첨가하고 혼합물을 1 시간 동안 교반하였다. 그후, n-펜탄 (150 ml) 을 첨가하고 혼합물을 25 ℃에서 1 시간동안 교반하였다. 소량의 침전물이 형성되고 여과된다. 용매를 50 ℃ 의 회전 증발기에서 10-20 hPa에서 2 시간 내에 제거했다. 18.3 g 의 생성물이 분리되었다. 조 생성물의 1H-NMR은 Diels-Alder-부가물의 형성을 확인했다 (2 개의 화합물의 혼합물, 위의 반응 스킴을 참조).
e) 중화
교반기, 온도계 및 환류 응축기가 장착된 1000 ml 4 구 둥근 바닥 플라스크에서 단계 d) 의 조 생성물 (6 g) 을 에탄올 (20 ml) 에 용해시키고 20 ℃에서 교반하였다. 그후, 수중 NH4OH 40 ml (수중 25 wt.-%) 를 25 ℃에서 30 분에 걸쳐 첨가하였다. 다음, 생성된 혼합물을 메탄올 (500 g) 로 희석하고 목탄 (10 g) 을 첨가하였다. 목탄을 여과하고 용매를 25 ℃ 및 10 hPa 미만의 압력에서 점차 제거했다. 6 g 의 조 생성물이 분리되었다. 1H-NMR 의 조 혼합물은 화학식 X 및 XI의 Diels-Alder 부가물의 원하는 암모니아 염의 형성을 나타냈다. 생성물을 물에 용해시켜 활성 함량이 15 wt.-% 인 수용액을 얻었다.
유리관 시험 (아래 실시예 3 참조) 을 위해, 화학식 X 및 XI의 화합물의 혼합물을 용매 (물 및 메탄올) 를 제거하여 건조시키고 생성된 고체를 테스트에 사용하였다.
실시예 3: 유리관 테스트
다음 화합물은 실시예 3에서 테스트되었다 ("테스트 화합물"):
본 발명에 따른 화학식 V 의 화합물.
비교를 위한 (본 발명에 따르지 않는) 화학식 X의 화합물 (Diels-Alder 부가물):
Figure pct00016
및 화학식 XI의 화합물 (Diels-Alder 부가물):
Figure pct00017
의 혼합물 (합성에 대해서는 위의 실시예 2를 참조).
빈 원통형 석영 유리관 (길이: 3cm, 직경: 20cm, 어떠한 테스트 화합물도 포함하지 않음) 의 총 중량 (질량) 을 실온에서 측정했다. 그후, 테스트 화합물 (1,35 g) 을 각각의 경우에 석영 유리관에 로딩하고 테스트 화합물이 채워진 석영 유리관의 총 중량 (질량) 을 실온에서 측정하였다. 각각의 테스트 화합물로 채워진 석영 유리관을 1 mbar (1 hPa) 의 압력으로 배기하고 30 분 동안 200 ℃의 온도로 가열했다. 실온으로 냉각한 후, 석영 유리관의 총 중량 (질량) (테스트 화합물 또는 그 단편의 나머지/잔여물을 포함) 을 다시 측정했다.
테스트 화합물을 로딩한 후 발견된 석영 유리관의 중량 (질량) (석영 유리관과 테스트 화합물의 질량) 과 가열 및 배기 후 발견된 석영 유리관의 중량 (질량) (예를 들어, 테스트 화합물의 절단 및 제거; 석영 유리관의 질량에 테스트 화합물 또는 그 단편의 잔여물/잔류물을 플러스한 질량) 의 차이를 계산하고, 그리고 그 결과를 아래 표 1에서, (i) 가열 및 배기 후 테스트 화합물의 잔여 질량으로서 및 (ii) 석영 유리관에 이전에 로딩된 테스트 화합물의 총 질량에 대한 가열 및 배기 후 테스트 화합물의 잔류 질량 백분율로서의 각 경우로 나타낸다 (질량의 차동 측정).
표 1: 유리관 분해 테스트의 테스트 결과
Figure pct00018
실시예 4: 워터마크 테스트
다음 화합물 (계면활성제) 은 실시예 4에서 테스트되었다 ("테스트 화합물"):
화학식 VII의 화합물 (본 발명에 따름);
비교를 위한 선형 도데실 벤젠 설포네이트 및 루텐솔 T08 (둘 다 본 발명에 따르지 않음).
물에 0.5 g/L의 투명한 테스트 화합물 용액 4 방울을 SiO2 플레이트의 표면에 떨어뜨렸다. 용액을 건조시킨 다음, 30 분 동안 200 ℃로 가열하였다.
실온으로 냉각한 후, 육안 검사 및 포토리소그래피에 의해, (본 발명에 따르지 않는) 선형 도데실 벤젠 술포네이트의 잔류물 및 (본 발명에 따르지 않는) 루텐솔 T08 의 잔류물이 가시적인 잔류물없이 SiO2 플레이트의 표면으로부터 증발될 수 없지만, 가열후 플레이트 표면에 계면활성제 응집체의 고리가 남아 있음을 알 수 있었다.
대조적으로, 육안 검사에 의해, (본 발명에 따른) 화학식 VII의 화합물은 테스트 조건하에서 SiO2 플레이트의 표면으로부터 가시적인 잔여물없이 증발될 수 있고 가열 후 플레이트 표면에 가시적인 잔류물이 없는 것으로 나타났음을 알 수 있었다.
실시예 5: 접촉각 테스트
하기 표 2에 나타낸 화합물 (본 발명에 따른 화학식 Ib의 "절단 가능한 계면활성제") 을 실시예 5 ("테스트 화합물") 에서 테스트하였다.
순수한 물의 한 방울과 실리콘 웨이퍼의 평면 고체 표면 사이의 접촉각은 표준 테스트 방법 ASTM D7490-13에 따라 DataPhysics 및 Data Physics 표준 소프트웨어의 디바이스 OCA 200을 사용하여 고정 낙하 방법에 따라 측정되었다.
약 0.5 cm 거리에서 웨이퍼 표면에 약 10 ㎕의 물을 주사기에서 떨어뜨렸다. 액적이 표면에 닿자마자, 고속 비디오 카메라로 초당 최대 200 장의 사진이 촬영되었다. 각 액적의 윤곽을 분석하고, 그리고 고체 샘플 표면과 액적 가장자리에서의 액적 형상의 접선 사이의 각도인 접촉각 (평상시처럼) 을 결정했다. 접촉각은 최대 100 초의 시간 함수로 기록되었으며 아래 표 2에서 10 초 후 측정된 접촉각으로 제공되었다.
실리콘 웨이퍼를 수성 HF (수중 1% w/w) 용액으로 세정하고 물로 린싱하고 웨이퍼를 200 ℃에서 1 시간 동안 가열했다. 결과적으로 웨이퍼 표면의 물 접촉각은 모든 경우에서 약 120° 인 것으로 나타났다 (표 2: "전처리 값" 참조).
테스트 용액 (수중 0.5 g/L의 각 테스트 화합물, 아래 표 2 참조) 및 순수 (대조군) 를 pH 값 6.2로 조정하고, 웨이퍼 표면에 도포하고, 그리고 웨이퍼 표면을 각 경우에 실온에서 건조했다. 그후, 물의 접촉각은 테스트 용액과 물로 전처리한 후 웨이퍼 표면에서 결정되었다. 순수한 물이 도포된 위치에서 결정된 물의 접촉각 값과 비교할 때 테스트 용액이 도포 (및 건조) 된 웨이퍼 표면의 위치에서 결정된 물의 접촉각이 감소된 값 (표 2: "처리 값" 참조) 을 나타냄을 발견했다.
그후 실리콘 웨이퍼를 30 분 동안 200 ℃로 가열하고 다시 실온으로 냉각하여 표면에서 테스트 화합물을 제거했다. 그후 위에서 설명한 위치에서 물의 접촉각을 다시 결정했다 (표 2: "후처리 값" 참조). 열처리 후, 테스트 용액이 도포된 웨이퍼 표면의 위치에서 결정된 물의 접촉각이 다시 전처리 값에 거의 도달했다는 것을 확인하였다. 접촉각 테스트 결과를 아래 표 2 에 요약한다:
표 2: 접촉각 테스트의 결과
Figure pct00019
실시예 5의 결과는, 본 발명에 따른 테스트 화합물 ("절단 가능한 계면활성제") 이 접촉된 표면을 처리 또는 개질, 특히 세정 또는 린싱하기 위한 목적으로 실리콘 웨이퍼의 표면과 접촉될 수 있고, 그리고 - 열의 트리거에 의해 절단된 후 (200 ℃의 온도로 가열됨) - 증발에 의해 다시 제거되거나 완전히 제거될 수 있음을 보여준다.

Claims (15)

  1. 화학식 I 의 유기 화합물 또는 그 염의, 반도체 기판의 적어도 하나의 표면의 개질 및/또는 처리에서 절단 가능한 첨가제로서의 용도로서,
    A-L-B (I),
    식에서
    A 는 1 내지 4 개의 에테르기로 치환되거나 비치환되는, 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
    B 는 총 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이며, 이는 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트; 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환되는 암모늄으로부터 독립적으로 선택된 1 개 또는 2 개의 이온성 기로 치환되고,
    그리고
    L 은 우레탄기이고,
    상기 화학식 I 의 화합물은 상기 표면으로부터의 제거를 용이하게 하기 위해, 상기 개질 또는 처리에 후속하여 단편들의 세트로 절단되는, 용도.
  2. 제 1 항에 있어서,
    - 상기 화학식 I 의 화합물 또는 그 염에서, L은 공유 결합을 통해 A 및 B 중 임의의 것에 결합되고,
    그리고/또는
    - 상기 화학식 I 의 화합물 또는 그 염은 분자량이 1500 g/mol을 초과하지 않고, 바람직하게는 1000 g/mol을 초과하지 않는, 용도.
  3. 제 1 항 또는 제 2 항에 있어서,
    - 상기 절단 가능한 첨가제는 절단 가능한 계면활성제이고,
    그리고/또는
    - 상기 용도는 반도체 기판의 적어도 하나의 표면의 처리, 바람직하게는 세정 및/또는 린싱에 있는, 용도.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서, 바람직하게는 제 3 항에 있어서,
    - 상기 화학식 I 의 화합물의 절단은 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 절단 온도에서 열의 트리거에 의해 유도되고,
    그리고/또는
    - 상기 제거는 증발에 의해, 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 온도에서; 또는 상기 온도 아래의 온도에서 수행되는, 용도.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    - 상기 반도체 기판은 반도체 웨이퍼, 중간 반도체 웨이퍼 및 마이크로전자 디바이스로 구성된 군에서 선택되며,
    그리고/또는
    - 상기 개질은 로우-k 유전체 재료의 기공 실링, 로우-k 유전체 재료의 막 리페어, 상기 반도체 기판의 적어도 하나의 표면의 제타 전위 변화, 상기 반도체 기판의 적어도 하나의 표면에서의 접촉각 변화, 상기 화학식 I 의 화합물에 대한 상기 반도체 기판의 적어도 하나의 표면의 흡착 또는 접착 특성의 변경 및 부식 억제로 이루어지는 군으로부터 선택되고;
    그리고/또는
    - 상기 처리는 세정 및 린싱으로 이루어지는 군으로부터 선택되는, 용도.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, 바람직하게는 제 3 항에 있어서,
    상기 화학식 I 의 화합물 또는 그 염에서,
    A 는 1가 기 R1-CH2- 이고,
    R1 은 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고;
    그리고
    B 는 화학식 II 의 1가 이온성 기이고
    Figure pct00020

    R2 는 총 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
    Y+ 는 비치환되거나 또는 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환되는 단일 하전 암모늄 양이온이고; 그리고 바람직하게는 비치환된 암모늄인, 용도.
  7. 반도체 기판의 제조 방법으로서,
    - 적어도 하나의 표면을 갖는 반도체 기판, 바람직하게는 시작 반도체 웨이퍼 또는 중간 반도체 웨이퍼를 제조 또는 제공하는 단계,
    - 상기 표면이 개질 또는 처리되도록, 상기 적어도 하나의 표면을 화학식 (I) 의 유기 화합물 또는 그 염과 접촉시키는 단계로서,
    A-L-B (I),
    A 는 1 내지 4 개의 에테르기로 치환되거나 비치환되는, 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
    B 는 총 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이며, 이는 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트; 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환되는 암모늄으로부터 독립적으로 선택된 1 개 또는 2 개의 이온성 기로 치환되고, 그리고
    L 은 우레탄기인, 상기 접촉시키는 단계,
    그리고 후속하여
    - 상기 표면 상의 상기 유기 화합물 또는 그 염을 단편들의 세트로 절단하는 단계,
    그리고 바람직하게는 후속하여
    - 접촉된 상기 표면으로부터 상기 단편들의 세트를 제거하는 단계를 포함하는, 반도체 기판의 제조 방법.
  8. 제 7 항에 있어서,
    - 상기 접촉시키는 단계는 로우-k 유전체 재료의 기공 실링, 로우-k 유전체 재료의 막 리페어, 중간 반도체 기판의 적어도 하나의 표면의 제타 전위 변화, 상기 중간 반도체 기판의 적어도 하나의 표면 상에서의 접촉각 변화, 화학식 I 의 화합물에 대한 상기 중간 반도체 기판의 적어도 하나의 표면의 흡착 또는 접착 특성 변화 및/또는 부식 억제에 의한 개질; 및 세정 및/또는 린싱에 의한 처리로 이루어지는 군에서 선택된 적어도 하나의 효과를 달성하도록 수행되고;
    바람직하게는 상기 적어도 하나의 효과는 세정 및/또는 린싱, 더 바람직하게는 결함 감소 린스, 애싱 후 잔류물 제거 린스, 에칭 후 잔류물 제거 린스 및 포토레지스트 잔류물 제거 린스를 포함하는 세정 및/또는 린싱이거나 또는 이를 포함하고,
    그리고/또는
    - 상기 절단하는 단계는,
    바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 절단 온도에서 및 절단 압력에서,
    상기 표면 상의 상기 화학식 I 의 화합물 또는 그 염을 단편들의 세트로 열 절단하는 것을 포함하고;
    그리고/또는
    - 상기 적어도 하나의 접촉 표면으로부터 상기 단편들의 세트를 상기 제거하는 단계는 바람직하게는,
    o 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 상기 절단 온도에서; 또는 상기 절단 온도 아래의 온도에서;
    그리고/또는
    o 상기 절단 압력에서 또는 상기 절단 압력 아래의 압력에서
    단편들을 증발시키는 것을 포함하는, 반도체 기판의 제조 방법.
  9. 제 7 항 또는 제 8 항에 있어서,
    상기 화학식 I 의 화합물 또는 그 염은 분자량이 1500 g/mol을 초과하지 않고, 바람직하게는 1000 g/mol을 초과하지 않는, 반도체 기판의 제조 방법.
  10. 반도체 기판의 세정 및/또는 린싱 방법으로서,
    바람직하게는 제 7 항 내지 제 9 항 중 어느 한 항에 따른 방법이고,
    - 적어도 하나의 표면을 가지며 그 표면 중 적어도 하나의 표면 상에 하나 이상의 재료를 갖는 반도체 기판을 제조 또는 제공하는 단계,
    - 상기 반도체 기판의 적어도 하나의 표면 상의 상기 하나 이상의 재료를 화학식 I 의 유기 화합물 및 그 염과 접촉시키는 단계로서,
    A-L-B (I),
    A 는 1 내지 4 개의 에테르기로 치환되거나 비치환되는, 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
    B 는 총 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이며, 이는 음이온성 기, 바람직하게는 카르복실레이트, 설페이트, 설포네이트 또는 포스페이트; 및 양이온성 기, 바람직하게는 비치환되거나 1 내지 3 개의 직쇄 또는 분지형 C1-C4-알킬기로 치환되는 암모늄으로부터 독립적으로 선택된 1 개 또는 2 개의 이온성 기로 치환되고, 그리고
    L 은 우레탄기인, 상기 접촉시키는 단계,
    - 상기 재료 중 하나 이상의 재료와 함께, 상기 적어도 하나의 표면으로부터 상기 화학식 I의 화합물 또는 그 염의 양을 제거하여, 상기 화학식 I의 화합물 또는 그 염의 잔류량이 그 표면 중 하나 이상의 표면에 부착된 반도체 기판을 세정 또는 린싱되게 하는 단계,
    - 절단 압력에서 절단 온도로 가열함으로써 상기의 표면(들) 상의 상기 화학식 I 의 화합물 또는 그 염의 상기 잔류량의 적어도 일부 또는 전체를 단편들의 세트로 절단하는 단계로서, 각각의 단편은 적용된 절단 압력에서 상기 절단 온도 아래의 비등점을 갖는, 상기 절단하는 단계, 및
    - 제거하는 단계, 바람직하게는 증발에 의해 접촉 표면으로부터 상기 단편들의 세트를 완전히 제거하는 단계를 포함하는, 반도체 기판의 세정 및/또는 린싱 방법.
  11. 제 10 항에 있어서,
    상기 단편들의 세트를 제거하는 단계는
    - 바람직하게는 100 내지 400 ℃ 범위, 보다 바람직하게는 125 내지 300 ℃ 범위, 가장 바람직하게는 150 내지 250 ℃ 범위의 상기 절단 온도에서; 또는 상기 절단 온도 아래의 온도에서
    및/또는
    - 상기 절단 압력에서 또는 상기 절단 압력 아래의 압력에서
    상기 단편들을 증발시키는 것을 포함하는, 반도체 기판의 세정 및/또는 린싱 방법.
  12. 제 10 항 또는 제 11 항에 있어서,
    상기 화학식 I 의 화합물 또는 그 염에서,
    A 는 1가 기 R1-CH2- 이고,
    R1 은 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고;
    그리고
    B 는 화학식 II 의 1가 이온성 기이고
    Figure pct00021

    R2 는 총 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
    Y+ 는 비치환되거나 또는 1 내지 3 개의 C1-C4-알킬기로 치환되는 단일 하전된 암모늄 양이온; 그리고 바람직하게는 비치환된 암모늄인, 반도체 기판의 세정 및/또는 린싱 방법.
  13. 화학식 Ib 의 화합물로서,
    Figure pct00022

    식에서
    R1 은 총 4 내지 20 개, 바람직하게는 6 내지 16 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
    R2 은 총 1 내지 6 개, 바람직하게는 1 내지 4 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고, 여기서 바람직하게는 R2 는 키랄 센터를 포함하지 않고,
    그리고
    Y+ 는 비치환되거나 또는 1 내지 3 개의 C1-C4-알킬기로 치환되는 단일 하전된 암모늄 양이온; 그리고 바람직하게는 비치환된 암모늄인, 화합물.
  14. 제 13 항에 있어서,
    R1 은 총 7 내지 14 개, 보다 바람직하게는 7 내지 12 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고,
    그리고 보다 바람직하게는 R1 은, R1 이 결합되는 메틸렌 기와 함께, 2-에틸헥실; 2-프로필헵틸; n-도데실; 2,5,7,7-테트라메틸옥틸; 이소데실; 이소트리데실 및 이소펜타데실로 이루어진 군에서 선택된 지방족 탄화수소 기이고,
    R2 는 총 1 내지 2 개의 탄소 원자를 갖는 직쇄 또는 분지형 지방족 탄화수소 기이고 바람직하게는 키랄 센터를 포함하지 않고,
    그리고 보다 바람직하게는 -CH2- 이며,
    그리고
    Y+ 는 암모늄인, 화합물.
  15. 반도체 기판의 적어도 하나의 표면을 개질 또는 처리하기 위한, 제 1 항 내지 제 6 항 중 어느 한 항에 정의된 화학식 I 의 화합물 또는 그 염, 및/또는 제 13 항 또는 제 14 항에 정의된 화학식 Ib 의 화합물 또는 그 염을 포함하는 조성물의 용도.
KR1020207030926A 2018-04-04 2019-04-02 반도체 기판의 제조 방법에서 사용하기 위한 절단 가능한 첨가제 KR20200139192A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP18165603.4 2018-04-04
EP18165603 2018-04-04
PCT/EP2019/058247 WO2019192990A1 (en) 2018-04-04 2019-04-02 Cleavable additives for use in a method of making a semiconductor substrate

Publications (1)

Publication Number Publication Date
KR20200139192A true KR20200139192A (ko) 2020-12-11

Family

ID=61911392

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207030926A KR20200139192A (ko) 2018-04-04 2019-04-02 반도체 기판의 제조 방법에서 사용하기 위한 절단 가능한 첨가제

Country Status (6)

Country Link
US (1) US11742197B2 (ko)
EP (1) EP3776635B1 (ko)
KR (1) KR20200139192A (ko)
CN (1) CN111937121B (ko)
TW (1) TWI823922B (ko)
WO (1) WO2019192990A1 (ko)

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2172282C (en) 1993-09-20 2011-05-31 Jeffrey R. Mazzeo Chiral surfactants and methods for their use in chiral separations
US5868821A (en) 1996-01-31 1999-02-09 Richo Company, Ltd. Thermally reversible color forming composition and thermally reversible recording medium using the thermally reversible color forming composition
BR0015423A (pt) 1999-11-09 2002-07-09 Alcon Inc Análogos de ácido 15-hidroxieicosatetraenóico interrompidos por heteroátomo e métodos de uso
US7074936B2 (en) 2001-05-29 2006-07-11 Vanderbilt University Cleavable surfactants and methods of use thereof
US7022861B1 (en) 2004-06-10 2006-04-04 Sandia National Laboratories Thermally cleavable surfactants
US7749881B2 (en) 2005-05-18 2010-07-06 Intermolecular, Inc. Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US8575021B2 (en) 2004-11-22 2013-11-05 Intermolecular, Inc. Substrate processing including a masking layer
EP2584027B1 (en) 2007-10-11 2015-12-30 Promega Corporation Cleavable surfactants
MY181266A (en) * 2012-12-14 2020-12-21 Basf Se Use of compositions comprising a surfactant and a hydrophobizer for avoiding anti pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below

Also Published As

Publication number Publication date
CN111937121A (zh) 2020-11-13
WO2019192990A1 (en) 2019-10-10
CN111937121B (zh) 2023-12-08
TW201942106A (zh) 2019-11-01
TWI823922B (zh) 2023-12-01
EP3776635B1 (en) 2022-12-07
EP3776635A1 (en) 2021-02-17
US11742197B2 (en) 2023-08-29
US20210166934A1 (en) 2021-06-03

Similar Documents

Publication Publication Date Title
KR102330127B1 (ko) 에칭 조성물
KR100718532B1 (ko) 반도체 제조용 감광성수지 제거제 조성물
TWI405848B (zh) 含有胺基苯磺酸的半水性剝除及清潔組成物
TWI791498B (zh) 用來移除在半導體基材上的殘餘物之清潔組成物
EP1612611A2 (en) Composition for removing photoresist residue and polymer residue
KR20200030121A (ko) 애싱된 스핀-온 유리의 선택적 제거 방법
US6399551B1 (en) Alkanolamine semiconductor process residue removal process
US6787293B2 (en) Photoresist residue remover composition
KR102602860B1 (ko) 절연막 식각액 조성물 및 이를 이용한 패턴 형성 방법
JP2003098691A (ja) レジスト除去用組成物及びこれを利用したレジスト除去方法
US6043005A (en) Polymer remover/photoresist stripper
JP2021506130A (ja) 低k値の材料、銅、および/またはコバルトの層の存在下で、アルミニウム化合物を含む層を選択的にエッチングするための組成物および方法
EP3973565B1 (en) Composition and process for selectively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten
KR102069345B1 (ko) 반도체 공정용 조성물 및 반도체 공정
TW202108821A (zh) 蝕刻組成物
EP3776635B1 (en) Cleavable additives for use in a method of making a semiconductor substrate
KR100893280B1 (ko) 반도체 제조용 감광성수지 제거제 조성물
TW202113039A (zh) 蝕刻組成物
TWI608311B (zh) 一種光阻脫除組成物及一種利用該光阻脫除組成物進行微影製程的電子元件的製造方法
US20190048292A1 (en) Processing Composition of Improved Metal Interconnect Protection and The Use Thereof
TW202417595A (zh) 選擇性蝕刻氧化鋁的組合物
TW202413723A (zh) 蝕刻組成物

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal