CN111937121B - 在制造半导体基材的方法中使用的可裂解添加剂 - Google Patents

在制造半导体基材的方法中使用的可裂解添加剂 Download PDF

Info

Publication number
CN111937121B
CN111937121B CN201980023418.5A CN201980023418A CN111937121B CN 111937121 B CN111937121 B CN 111937121B CN 201980023418 A CN201980023418 A CN 201980023418A CN 111937121 B CN111937121 B CN 111937121B
Authority
CN
China
Prior art keywords
formula
compound
group
carbon atoms
aliphatic hydrocarbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980023418.5A
Other languages
English (en)
Other versions
CN111937121A (zh
Inventor
A·克里普
C·比特纳
S·布劳恩
G·奥特
Y·伯克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF SE
Original Assignee
BASF SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BASF SE filed Critical BASF SE
Publication of CN111937121A publication Critical patent/CN111937121A/zh
Application granted granted Critical
Publication of CN111937121B publication Critical patent/CN111937121B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C271/00Derivatives of carbamic acids, i.e. compounds containing any of the groups, the nitrogen atom not being part of nitro or nitroso groups
    • C07C271/06Esters of carbamic acids
    • C07C271/08Esters of carbamic acids having oxygen atoms of carbamate groups bound to acyclic carbon atoms
    • C07C271/10Esters of carbamic acids having oxygen atoms of carbamate groups bound to acyclic carbon atoms with the nitrogen atoms of the carbamate groups bound to hydrogen atoms or to acyclic carbon atoms
    • C07C271/12Esters of carbamic acids having oxygen atoms of carbamate groups bound to acyclic carbon atoms with the nitrogen atoms of the carbamate groups bound to hydrogen atoms or to acyclic carbon atoms to hydrogen atoms or to carbon atoms of unsubstituted hydrocarbon radicals
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/88Ampholytes; Electroneutral compounds

Abstract

描述了有机化合物在改性和/或处理半导体基材的至少一个表面中作为可裂解添加剂,优选作为可裂解表面活性剂的用途。此外,描述了一种制造半导体基材的方法,包括使其至少一个表面与有机化合物或包含它的组合物接触而处理或改性所述表面,将所述有机化合物裂解成碎片组并从该接触表面除去所述碎片组。更具体而言,描述了一种清洁或漂洗半导体基材或中间半导体基材的方法。此外,描述了一种适合上述用途和方法并且优选为可裂解表面活性剂的化合物。

Description

在制造半导体基材的方法中使用的可裂解添加剂
本发明涉及有机化合物或其盐在改性和/或处理半导体基材的至少一个表面中作为可裂解添加剂,优选作为可裂解表面活性剂的用途。本发明还涉及一种制造半导体基材的方法,包括使其至少一个表面与为可裂解添加剂的有机化合物或其盐接触,从而改性或处理所述表面,将所述有机化合物裂解成碎片组并优选从接触表面除去所述碎片组。更具体而言,本发明还涉及一种清洁或漂洗半导体基材或中间半导体基材的方法,包括至少将一定量的有机化合物,优选可裂解表面活性剂与一种或多种材料一起从所述基材的表面除去的步骤。同样,本发明涉及一种用于本发明用途和方法的包含所述有机化合物作为可裂解添加剂的组合物。此外,本发明涉及一种适合用于本发明用途和方法且优选为可裂解添加剂,更优选可裂解表面活性剂的化合物。
制造半导体基材的方法是光刻和化学加工步骤的多步序列,在其过程中在由纯半导体材料制成的晶圆(“半导体晶圆”)上逐步创建电子电路或微电子器件。优选将硅用作半导体材料,但是各种半导体材料是已知的并且用于专业应用。从其开始到用于电气和电子器件中的封装“芯片”准备就绪的整个制造过程耗时6-8周并且在高度专用设备中进行。典型的半导体晶圆由使用所谓的“乔克拉尔斯基法”生长至直径高达300mm的单晶圆柱锭(球)的极纯硅制成。半导体晶圆通常在将这些锭切片成约0.75mm厚的晶圆之前通过所谓的“浮区工艺”进一步提纯并抛光而得到非常规则和平坦表面。
该制造半导体基材的特定方法分为几个阶段,包括例如所谓的“前段制程”(“FEOL”)和“后段制程”(“BEOL”)加工阶段。
该FEOL加工阶段涉及在半导体表面上形成晶体管。未加工的半导体晶圆通过超纯、基本无缺陷的硅层通过外延的生长而设计。前段表面设计之后是栅极电介质(通常是高k材料如HfSiOx)的生长,栅极的图案化,源区和漏区的植入并且随后形成接触区。
一旦已经创建各种半导体器件,则必须将它们互连以形成所需电路或微电子器件。这在统称为BEOL的一系列晶圆加工步骤中进行。该BEOL加工阶段涉及在半导体晶圆表面上产生金属互连线,后者通过由具有低或“超低”介电常数的材料制成的层隔离。
该绝缘材料传统上一直是SiO2或硅酸盐玻璃的形式,但是目前正使用低介电常数材料(通常也称为“低k材料”)来进一步改进性能,例如碳氧化硅,其通常提供约2.7的介电常数(与SiO2的3.82相比),但常数低至2.0或更低的材料也是已知的。
更低介电常数值(“k值”)导致介电材料具有更高孔隙率和更低密度。随着孔隙率增加,介电基体的内部孔结构可能变得更为互连。该高孔隙率与互连孔体积结合起来可能允许非绝缘材料,尤其是铜通过该低k值介电材料扩散或渗透。因此可能导致缺陷和故障机制,这可能降低性能,减少器件的运行寿命并且甚至导致完全器件故障。因此,近来已经讨论了降低低k介电材料的孔隙率的方法,例如通过所谓的“孔封闭”方法,后者例如涉及将合适的化学试剂吸附或沉积在低k介电材料上以封闭或填充其孔。
多孔低k值介电材料由于其高孔隙率和低密度而具有的另一已知问题是在将特征如沟道或所谓的“vias”(“垂直互连接入”的缩写)蚀刻到它们中之后它们倾向于具有不规则或不光滑侧壁。因此,随后形成和/或沉积的材料可能沿着低k值介电层的侧壁不规则形成。因此,例如沿着低k值介电层的侧壁形成具有均匀厚的铜扩散阻挡层可能由于该低k值介电层侧壁的不规则性而成问题。因此,当前在前一图案化步骤之后考虑进行称为“低k修补”或“低介电常数材料修补”且主要基于甲硅烷基化的修补工艺,以重新整合富碳物种并恢复介电性能(例如见N.Ahner等,Solid State Phenomena 219(2015)193-196)。
随着半导体基材,尤其是半导体晶圆制造中关键尺寸持续缩小,在BEOL集成电路(“IC”)中腐蚀对金属特征的影响成为人们的关切。例如,当作为金属互连引入IC中以提高性能时铜是具有许多优点的金属,但是铜的使用也产生问题,例如若未适当处理时的腐蚀倾向。这是因为铜不会像例如铝那样轻而易举地产生天然氧化物防腐。腐蚀一旦未被注意地出现就可能在IC性能中具有广泛影响。在BEOL湿加工中可能出现的常见类型腐蚀通常是制程化学品和超纯去离子漂洗水之间相互作用引起的。因此,已经讨论在漂洗批料中使用缓蚀剂作为消除对常用于降低这类腐蚀的额外中间溶剂漂洗的需要的可能性。
随着互连层面数目增加,要求前面层的平坦化以确保在随后光刻之前的平坦表面。若不这样,各层面变得越来越扭曲,扩展到可用光刻的焦深之外,并因此干扰图案化能力。“CMP”(化学-机械平坦化或化学-机械抛光)是实现该平坦化的主要加工方法。
现代电子芯片具有多达11个在超过1000个顺序进行的加工步骤中产生的金属层面。在制造半导体基材,尤其是半导体晶圆的方法中,各种加工步骤可以分类为4个通用类别:沉积,除去,图案化和改性电气性能。
沉积是任何使材料在半导体晶圆上生长、涂敷或转移的过程。可用技术尤其包括物理气相沉积(“PVD”)、化学气相沉积(“CVD”)、电化学沉积(“ECD”)、分子束外延(“MBE”)以及最近出现的原子层沉积(“ALD”)。
除去就此而言是指任何从晶圆除去材料的过程;实例包括蚀刻过程(湿或干)和CMP。
图案化就此而言是指沉积材料的成型或改变并且通常包括一次光刻。例如,在常规光刻中,用光刻胶涂敷半导体晶圆;然后所谓的“步进”机器聚焦、对准并移动惰性掩模(十字线),使下面的半导体晶圆的选定部分暴露于短波长光;光刻胶的暴露或未暴露区域(分别取决于正性或负性光刻胶的使用)通过显影液洗掉。在蚀刻或其他加工之后,剩下的光刻胶通过称为“等离子灰化”的方法除去。来自等离子灰化的残渣通常需要在分开的加工步骤中除去,这通常通过通常专门设计用于某一湿法清洁步骤的清洁溶液(“湿法化学品”)进行。
电气性能的改变从历史上看牵涉掺杂晶体管源极和漏极,起初通过扩散炉,后来通过离子注入。这些掺杂工艺之后是炉退火或者在高级器件中是快速热退火(“RTA”);退火用来激活注入的掺杂剂。电气性能的改变现在也延伸到经由在UV加工(“UVP”)中暴露于紫外光而在低k材料绝缘体中降低材料的介电常数并从该低k材料释放“致孔剂”。致孔剂通常是任何用于在用于结构设计的固体,例如模制结构体中产生孔的大量颗粒(通常具有规定形状和尺寸)并且通常在设定该结构体之后溶解、清除或漂洗掉。
在大多数这些加工步骤类别中,将化学添加剂用于改性和/或处理半导体基材,尤其是半导体晶圆或生产半导体基材的中间体的表面的不同目的。尤其在选自所述加工步骤类别的一个或多个加工步骤之前和/或之后施加化学添加剂,尤其是表面活性剂以将半导体基材,尤其是半导体晶圆的表面准备用于下面的加工步骤和/或用于完成加工阶段或整个生产。
在半导体基材,尤其是半导体晶圆上湿法化学加工小图案涉及许多问题,包括在其使用之后除去制程化学品和/或加工液体和/或加工流体的问题。
随着技术进步并且尺寸要求变得更加严格,要求光刻胶图案在基材上包括相对细长结构或光刻胶特征,即具有高纵横比的特征。这些结构尤其是在清洁或漂洗工艺过程中可能经历弯曲和/或坍塌(称为“图案坍塌”现象),这是由于清洁或漂洗溶液遗留的液体或溶液在相邻光刻胶特征之间的过度毛细力,尤其是在旋干工艺过程中。由毛细力引起的小特征之间的最大应力(σ)例如可以通过降低清洁或漂洗流体的表面张力γ(动态和平衡表面张力二者)而降低。为了降低流体或液体的表面张力,通常将表面活性剂加入所述流体或液体中。
常规光刻方法的另一问题是由于抗蚀剂和光学分辨率极限的线边缘粗糙度(“LER”)和线宽粗糙度(“LWR”)。LER包括与特征的理想形式的水平和垂直偏差。尤其随着关键尺寸缩小,LER变得更成问题并且具有负面效果,例如晶体管漏电流增加,因此降低IC器件的性能。
由于半导体基材,尤其是半导体晶圆的尺寸缩小,颗粒的除去成为实现缺陷缩减的关键因素。后者还适用于光刻胶图案以及在半导体基材、光学器件和机械精密器件的制造过程中产生的其他图案化材料层。
常规光刻工艺的另一问题是存在水印缺陷。水印可能由于去离子水或缺陷漂洗溶液不能从光刻胶的疏水性表面旋走而在光刻胶上形成。水印对成品率和IC器件性能具有有害效果。
再一问题是出现所谓的“斑点缺陷”。这些缺陷在UV暴露和/或光刻胶显影过程中出现并且通常在光刻胶的一个或多个顶层,例如聚合物光敏层上呈圆形“火山口状”开孔形式。小颗粒或其他不溶性物质可能卡在这些开孔中并且导致颗粒除去低效或开孔堵塞。疏水性碎片或疏水性分子的聚集体尤其可以在这些缺陷侧中或者这些缺陷侧上吸收。这些遗留颗粒、碎片或聚集体在后期加工阶段引发问题。
常规光刻工艺的另一问题是溶剂被光刻胶层或图案化材料层吸收,导致这些层溶胀。因此,非常近端的图案,尤其是具有线宽为50nm或更小的线空间结构的图案在溶胀之后相互直接接触。此外,相互直接接触的溶胀图案随后甚至在产品,尤其是本发明产品显影、清洁或漂洗之后粘在一起。因此,光刻胶溶胀限制了产品,尤其是本发明产品的最小可得线空间尺寸。
如上所解释的那样,制造半导体基材,优选半导体晶圆的常见方法是光刻和化学加工步骤的多步序列,包括改性和处理所述半导体基材,尤其是半导体晶圆的表面。对于每一分开的加工步骤而言,通常必须适当准备待加工表面并且尤其需要除去所有来自前面加工步骤的痕量物,例如残渣、颗粒或流体。这对于所有可能已经在所述前面加工步骤过程中使用的化学添加剂、制程化学品、加工流体和/或加工液体(例如来自缺陷缩减漂洗,见下文)-例如包括任何所用表面活性剂同样如此。
因此,为了例如通过减少必要的工艺步骤数目和/或通过减少每个加工步骤的周转时间而促进和/或加快半导体基材的加工,理想的是一旦它们已经用于其改性和/或处理半导体基材表面的目的和/或在接下来或随后的加工步骤开始之前,也方便、快速、容易以及-当不要求半导体基材的表面更长期或永久暴露于化学添加剂或者这样是有利的时-优选也完全除去制程化学品,例如化学添加剂、加工流体和/或加工液体。减少加工时间不仅是经济要求,而且通常也是技术要求,例如当将感光层施加于可能不以延长的时间暴露于加工环境的半导体晶圆上时。
然而,使用当前可得技术在其在半导体基材上使用或应用之后除去,优选完全除去残渣如制程化学品或化学添加剂,包括表面活性剂通常是一个技术挑战。
有关该类方法或化合物的不同教导已经在专利文献中报道:
文献US 7,022,861描述了含有热不稳定Diels-Alder加合物的可裂解表面活性剂。
文献WO 2009/048611描述了某些可裂解表面活性剂化合物,它们可以用于辅助生物材料,例如蛋白质或细胞膜的增溶、消化、制备、分析和/或表征的方法中。
文献WO 02/097393描述了可裂解表面活性剂及其在疏水蛋白的MALDI MS分析中的用途。
文献WO 95/08529描述了手性表面活性剂及其用于手性分离中的方法。
文献US 5,868,821描述了一种热可逆性成色组合物以及一种相应的热可逆性记录介质,包括某些接受电子的羧酸。
相关技术还有:
文献US 2013/0217238 A1涉及包括掩模层的基材加工。
文献US 2006/264020 A1描述了在介电区域上形成掩蔽层以有利于在由该介电区域分隔的导电区域上形成封盖层。
鉴于以上情况,本发明的主要目的是要提供一种在改性或处理半导体基材,尤其是半导体晶圆的至少一个表面中用作可裂解添加剂的有机化合物,该可裂解添加剂可以方便、快速、容易以及在需要或有利时也完全除去。
本发明的另一目的是要提供一种制造半导体基材,尤其是半导体晶圆的方法,包括使半导体基材的至少一个表面与所述有机化合物,优选可裂解添加剂接触,从而改性或处理所述表面并且随后可以方便、快速、容易以及优选完全除去该可裂解添加剂。
本发明的更具体目的是要提供一种清洁和/或漂洗半导体基材,尤其是半导体晶圆或中间半导体晶圆的方法,以生产清洁和/或漂洗半导体基材,该方法涉及优选为可裂解表面活性剂的所述有机化合物。
本发明的另一目的是要提供一种在改性和/或处理半导体基材,尤其是半导体晶圆的至少一个表面中适合作为可裂解添加剂,尤其是作为可裂解表面活性剂的有机化合物。
本发明以及其参数、性能和要素的优选方案和优选组合在所附权利要求书中定义。此外,本发明的优选方面在下列描述和下述实施例中定义。
现已发现本发明的该主要目的和其他目的由式I的有机化合物或其盐在改性和/或处理半导体基材的至少一个表面中作为可裂解添加剂的用途实现:
A-L-B (I),
其中:
A为尾基,
B为首基,和
L为连接基团,其中式I化合物在所述改性或处理之后裂解成碎片组,从而有利于将其从所述表面除去。
在本发明上下文中,“半导体基材”包括半导体晶圆,从其生产开始(即空白、未处理、未涂敷和未图案化半导体晶圆,本文中也称为“起始半导体晶圆”),遍及所有其加工步骤(包括FEOL和BEOL加工阶段中的所有加工步骤,如上所解释的那样;本文中也称为“中间半导体晶圆”),直到并包括其加工的完成。在半导体晶圆的加工完成时,得到优选位于半导体晶圆的至少一个表面之上的“微电子器件”。该微电子器件也由在本发明上下文中所用术语“导体基材”包括。
在本发明上下文中并且与该技术领域中的常规理解一致的是,微电子器件优选选自晶体管、电容器、电感器、电阻器、二极管、绝缘体和导体。多个微电子器件可以形成一个或多个集成电路。
本发明的半导体晶圆包括半导体材料,优选硅,或者由其构成。优选半导体晶圆的该待改性或处理的至少一个表面包含一种或多种选自如下的材料:金属氮化物,优选TiN;以及Si的氧化物,优选HfSiOx、SiOC(N)和SiO2,更优选结晶SiO2
在半导体基材或半导体晶圆(在其加工阶段过程中的半导体晶圆也称为“中间半导体晶圆”)的加工过程中,通常如上所解释的那样将几种不同材料的涂料施加于其至少一个表面上,例如一个或多个光刻胶层、一个或多个保护层(例如蚀刻终止层)、一个或多个绝缘层(例如低电常数绝缘材料,也称为低k材料的层)和/或一个或多个功能层的涂料。紧临其施加之后涂层或层通常未被图案化。随后也如上面所解释的那样可以通过本领域已知的方法将该涂层或层,尤其是功能涂膜图案化(或结构化)。半导体晶圆在其至少一个表面上具有图案或结构在本文中也分别称为“图案化半导体晶圆”或“结构化半导体晶圆”。本文中所用术语“中间半导体晶圆”包括该类图案化半导体晶圆和结构化半导体晶圆。
在本发明上下文中并且与该技术领域中的常规理解一致的是,半导体基材,尤其是半导体晶圆优选是宏观上呈平面的,即该半导体基材或半导体晶圆的至少一个表面上的层、图案或结构不大于5μm(即在垂直于半导体基材或半导体晶圆表面的方向上高度延伸不超过5μm)。
可裂解添加剂在本发明上下文中是式I的有机化合物,其包含两个基团-尾基A和首基B,这两个基团由连接基团L连接。优选L经由共价键键合于A和B中的任一个上。
基团A和B是包含适合在改性或处理半导体基材的至少一个表面中具有效果的官能团的化学基团。优选基团A和B不同和/或适应改性和/或处理半导体基材的至少一个表面的特定目的,如下面进一步解释的那样。
在本发明的优选方案中,式I化合物作为可裂解添加剂的用途是作为可裂解表面活性剂的用途。在本发明上下文中,“可裂解表面活性剂”-与该技术领域中的常规理解一致-是其中已经蓄意插入“脆弱连接基”,通常插入疏水性尾基和极性首基之间的两亲分子。对本发明用途而言,尾基A优选作为疏水性尾基且首基B优选作为极性首基,二者均如本文详细定义。术语“可裂解表面活性剂”在本领域是已知的并且通常用于前文所解释的化合物类型;作为参考例如见文献US 7,022,861;WO 2009/048611或WO 02/097393,或A.Tehrani-Bagha等的综述文章,Current Opinion in Colloid&Interface Science,第12卷第2期(2007)81-91。
尤其是在其中式I化合物的用途是作为可裂解表面活性剂(如下文更详细解释)的本发明优选方案中,尾基A优选为被1-4个醚基取代或者优选未被取代的疏水性基团,更优选直链或支化C4-C20烷基。仍更优选在该优选方案中,A是碳原子总数为4-20,优选6-16的直链或支化脂族烃基,所述烃基被1-4个醚基取代或者优选未被取代。最优选在该优选方案中,A为一价基团“R1-CH2-”,其中R1是碳原子总数为4-20,优选6-16,更优选7-14,仍更优选7-12的直链或支化脂族烃基。
尤其是在其中式I化合物的用途是作为可裂解表面活性剂的本发明优选方案中,首基B优选为极性基团,优选在其化学结构中包含至少一个独立地选自如下的离子性基团:阴离子性基团,优选羧酸根、硫酸根、磺酸根或磷酸根,更优选羧酸根;以及阳离子性基团,优选未被取代或者被1-3个直链或支化C1-C4烷基取代的铵(“烷基铵”)。更优选在该优选方案中B是碳原子总数为1-6,优选1-4的直链或支化脂族烃基,该烃基被1或2个,优选1个独立地选自如下的离子性基团取代:阴离子基团,优选羧酸根、硫酸根、磺酸根或磷酸根,以及阳离子基团,优选未被取代或者被1-3个直链或支化C1-C4烷基取代的铵。最优选在该优选方案中B为式II的一价离子性基团:
其中R2是碳原子总数为1-6,优选1-4的直链或支化脂族烃基且Y+为未被取代(“铵阳离子”)或者被1-3个C1-C4烷基取代(“烷基铵阳离子”)的单电荷铵阳离子;优选Y+为未被取代的铵。
连接基团L包括或表示(优选表示)在每种情况下当通过至少一种选择性作用于连接基团L上的合适触发剂或触发事件诱发时选择性裂解或重排以从式I化合物释放碎片组的键或化学基团。“选择性作用于……上”优选是指由于所述触发剂或触发事件对L的作用,所述触发剂或触发事件除了将它们从式I化合物释放外不直接冲击碎片A和B或其化学结构(即不直接冲击未在与碎片A和B的结合中涉及的碎片A或B的化学结构)。连接基团L的选择性裂解或重排优选导致可裂解添加剂(式I化合物)受控且选择性裂解成碎片组。因为所述碎片小于式I化合物,它们通常比所述未分解的式I化合物更易从表面,优选从半导体基材表面除去。优选L经由共价键键合于A和B中的任一个上。
在本发明所有方面(用途、制造方法、清洁和/或漂洗方法和化合物)的优选方案中,优选在其中式I化合物为可裂解表面活性剂的优选方案中,连接基团L为(二价)尿烷基团“-N(H)-C(O)O-”。在这些优选方案的一个方案中,连接基团L可以经由氮原子连接基团A,优选如上所定义的优选基团A并且经由羧基的氧原子连接基团B,优选如上所定义的优选基团B(由此产生结构“A-N(H)-C(O)O-B”)。在这些优选方案的另一方案中,连接基团L可以经由羧基的氧原子连接基团A并且经由氮原子连接基团B(由此产生结构“B-N(H)-C(O)O-A”)。就本发明的所有方面而言,优选其中连接基团L经由氮原子连接基团A并且经由羧基的氧原子连接基团B的方案。
优选式I化合物或其盐具有的分子量不超过1500g/mol,更优选不超过1000g/mol。与聚合物不同,式I化合物或其盐不只是由多个相同的(单体)单元构成。
半导体基材的至少一个表面的“改性”在本发明上下文中优选是指在制造半导体基材或微电子器件的方法中,在一定工艺步骤之后,在接触所述半导体基材,优选半导体晶圆,更优选中间晶圆的所述至少一个表面时,可裂解添加剂在所述表面上发挥其效果并且在发挥所述效果之后,它裂解成碎片组。随后从所述表面除去,优选至少部分除去所述碎片。需要或者有利时,从所述表面部分除去所述碎片具有的效果是至少一部分所述碎片可以留在所述表面上并且可以在所述表面上发挥持续时间更产的效果或发挥永久效果。包括改性半导体基材,优选半导体晶圆的所述至少一个表面的优选方法选自低k介电材料的孔封闭、修补低k介电材料的薄膜、改变半导体基材的至少一个表面的ζ-电势、改变半导体基材的至少一个表面上的接触角、改变半导体基材的至少一个表面相对于式I化合物的吸附或粘附性能并且抑制优选选自铜、钴和钨的腐蚀敏感性材料的腐蚀,更优选抑制铜的腐蚀,如上所解释的那样。
半导体基材的至少一个表面的“处理”在本发明上下文中优选是指处理中间半导体基材,优选半导体晶圆,更优选中间晶圆的至少一个表面,以使得可裂解添加剂在接触所述至少一个表面时在所述表面上发挥其效果并且在发挥所述效果之后,它裂解成碎片组,优选在存在触发剂或触发事件时。随后从所述表面除去,优选完全除去所述碎片。包括处理半导体基材,优选半导体晶圆的所述至少一个表面的优选方法是清洁和漂洗。根据本发明作为“处理”最优选的是清洁。
半导体基材的至少一个表面的“清洁”在本发明上下文中优选是指清洁半导体基材,优选半导体晶圆,更优选中间晶圆的至少一个表面,以除去前面加工步骤的残渣或残留物,优选通过除去光刻胶或其他层的颗粒、片段或碎片,它们可能已经由于例如FEOL或BEOL方法的前面加工步骤形成(本文中也称为“污染物”),例如除去后蚀刻残渣(作为参考例如见E.Kester等,Solid State Phenomena 219(2015)201-204),例如在干蚀刻法中出现的蚀刻等离子体残渣,如离子、痕量金属及其氮化物、氧化物和/或氟化物。半导体基材表面的清洁需求可能在制造半导体基材,优选微电子器件的方法的不同阶段出现,尤其是在生产半导体晶体之后且在任何FEOL加工阶段之前,在FEOL加工阶段过程中和其后的几个阶段以及在BEOL加工阶段之前、在BEOL加工阶段过程中的不同阶段和在BEOL加工阶段之后。术语“清洁”在本发明上下文中如上所解释的那样也包括其中将可能已经由于例如FEOL或BEOL方法的前面加工步骤形成的前面加工步骤的残留物,优选光刻胶或其他层的颗粒、片段或碎片从半导体基材的至少一个表面“漂洗”或漂洗掉的步骤。术语“清洁”在本发明上下文中尤其包括缺陷缩减漂洗(见下文)、后灰化残渣除去漂洗、后蚀刻残渣除去漂洗和光刻胶残渣除去漂洗。
半导体基材的至少一个表面的“漂洗”在本发明上下文中优选是指漂洗半导体基材,优选半导体晶圆,更优选中间晶圆的表面以除去任何可能由前面加工步骤存在的加工流体或加工液体和/或在漂洗步骤后将半导体晶圆准备用于干燥。半导体基材表面的漂洗需求可能在制造半导体基材,优选微电子器件的方法的不同阶段出现,尤其是在生产半导体晶体之后且在任何FEOL加工阶段之前,在FEOL加工阶段过程中和其后的几个阶段以及在BEOL加工阶段之前、在BEOL加工阶段过程中的不同阶段和在BEOL加工阶段之后。
本发明的清洁和/或漂洗步骤优选以将半导体基材的表面结构保持在其特定加工状态,例如在进入FEOL加工步骤之前作为平坦半导体晶圆的状态(“起始半导体晶圆”)或者随后作为包含一个或多个例如光刻胶、绝缘体(例如低k值)材料和/或金属的图案化或未图案化层的图案化或未图案化半导体晶圆的状态(“中间半导体晶圆”)的方式进行。更优选清洁和/或漂洗步骤以保持已经存在于半导体基材或半导体晶圆表面上或存在于所述表面上的一个或多个层中的任何图案或结构并因此优选防止、避免和/或减少光刻方法通常出现的不希望现象,尤其是图案坍塌、线边缘粗糙度、水印缺陷、光刻胶溶胀和/或斑点缺陷的方式进行,其中防止、避免和/或减少所述不希望现象的清洁和/或漂洗步骤也是已知的并且在本发明上下文中统称为“缺陷缩减漂洗”。
优选如下的本发明用途,其中:
-所述半导体基材选自:
-半导体晶圆,
-中间半导体晶圆,和
-微电子器件,和/或
-所述改性选自低k介电材料的孔封闭,修补低k介电材料的薄膜,改变半导体基材的至少一个表面的ζ-电势,改变半导体基材的至少一个表面上的接触角,改变半导体基材的至少一个表面相对于式I化合物的吸附或粘附性能以及抑制腐蚀;和/或
-所述处理选自清洁和漂洗。
还优选如下的本发明用途(或在本文中标为优选的本发明用途),其中所述改性和/或处理为或包括(优选“为”)清洁和/或漂洗并且优选包括缺陷缩减漂洗、后灰化残渣除去漂洗、后蚀刻残渣除去漂洗和光刻胶残渣除去漂洗。
进一步优选如下的本发明用途(或在本文中标为优选的本发明用途),其中
-所述式I化合物的裂解由至少一种独立地选自如下的触发剂诱发:化学反应,优选pH变化、还原、氧化、亲核攻击、亲电攻击和酶催裂解;以及施加能量,优选热和/或辐射,和/或
-所述除去通过至少一种选自蒸发、升华、漂洗、水解和溶解的方法进行;
更优选所述除去通过蒸发进行。
在本发明上下文中,式I化合物的用途优选在于处理半导体基材的至少一个表面,优选清洁和/或漂洗半导体基材的至少一个表面,更优选清洁和/或漂洗半导体晶圆的至少一个表面,仍更优选清洁或漂洗中间半导体晶圆的至少一个表面。在该优选方案中,所述式I化合物的用途优选是作为可裂解表面活性剂(如下文更详细解释)。
根据本发明,所述式I化合物的裂解优选通过至少一种触发剂或触发事件(如上所述)诱发。合适或可用的触发剂(或触发事件)以及因此裂解式I的可裂解添加剂的合适或可用机理优选适应半导体基材的至少一个待改性或处理表面的性质或者根据其选择,优选通过适应作为式I化合物的一部分的连接基团L或者选择该连接基团L,以满足裂解式I的可裂解添加剂的所要求机理,如下文更详细解释的那样。
根据本发明,所述式I化合物的裂解优选通过热触发诱发,优选在100-400℃,更优选125-300℃,最优选150-250℃的裂解温度下。正如本领域技术人员将理解的那样,可以在其生产开始时,即当半导体基材或晶圆尚未包含可能对升高的温度敏感的各种材料的涂层、图案或结构时将前面所定义的温度范围的较高温度用于半导体基材,尤其是半导体晶圆或中间半导体晶圆,并且仅在其生产的后期阶段或者到其生产方法结束时可以将前面所定义的温度范围的较低温度用于半导体基材,尤其是半导体晶圆。因此,优选选择所施加的裂解温度以使得半导体基材上待改性和/或处理的层、涂层、图案或结构不因施加所述温度而牺牲、损害或破坏。选择合适温度的该基本原理同样适用于选择合适裂解温度以及选择从半导体基材的至少一个表面除去式I化合物的碎片组的合适温度。
不像其中例如可裂解表面活性剂要在适合生理过程或与其相容的温度下,例如在60-(短时暴露)90℃或105℃范围内的温度下且通常在环境压力下除去(例如见文献WO2009/048611或US 7,022,861)的由现有技术已知的方法,用于本发明的可裂解添加剂(式I化合物,优选式Ib化合物)优选在更高温度和/或减压(如上下文所解释的那样)下,即在生产半导体基材,优选半导体晶圆和/或微电子器件的工业方法相容或者可能用于其中的条件下裂解(分解)和/或蒸发。
在本发明的上面所定义用途的一个方案中,所述式I化合物从所述半导体基材的至少一个表面上的所述除去通过从所述表面漂洗或漂洗掉碎片或碎片组而进行。在该方案中,所述式I化合物的前面裂解优选降低由所述碎片组所得碎片与半导体基材的至少一个表面的粘附并因此促进式I化合物(即其碎片)从所述表面除去。
在本发明的上面所定义用途的优选方案中,所述式I化合物从所述半导体基材的至少一个表面上的所述除去通过从所述表面蒸发所述碎片组进行,特别优选如上所解释的那样当所述式I化合物裂解成碎片组通过热触发诱发时。所述碎片组的蒸发优选通过加热,优选通过加热至100-400℃,更优选125-300℃,最优选150-250℃范围内的温度(“裂解温度”),或者加热至低于所述裂解温度的温度和/或在蒸发压力下进行。优选蒸发压力为减压,优选不大于10hPa,更优选不大于10-2hPa,仍更优选不大于10-3hPa的压力。
在本发明的所有方面(用途、制造方法、清洁和/或漂洗方法)中,作为除去式I化合物的所述碎片组的方法,蒸发是优选的,因为它是一种可以在制造半导体基材或微电子器件的方法中的大多数或所有加工步骤中或者在清洁或漂洗半导体基材的方法中(即“在使用时点”)引入的多功能、方便、快速和容易方法。
特别优选本文所定义(或者作为优选所定义)的本发明用途和方法,其中所述式I化合物的所述裂解通过热触发诱发并且除去所述所得碎片组通过蒸发进行。
若从所述表面除去所述式I化合物通过蒸发进行,则优选式I化合物和/或在所述改性或处理之后它所裂解成的碎片在其分解或热除去时不应代表、形成或分解成非挥发性或低挥发性化合物、碎片或片段和/或不应引起任何副反应,后者可能妨碍式I化合物从半导体基材的所述至少一个表面除去或完全除去。优选选择式I化合物及其相应基团A和B以符合该要求。
还优选如下的本发明用途(或在本文中标为优选的本发明用途),其中
-所述半导体基材选自:
-半导体晶圆,
-中间半导体晶圆;以及
-微电子器件,和/或
-半导体基材的至少一个表面的所述改性或处理是处理,更优选是清洁和/或漂洗,和/或
-所述式I化合物的裂解通过热触发诱发,优选在100-400℃,更优选125-300℃,最优选150-250℃范围内的裂解温度下,和/或
-所述除去通过蒸发,优选在100-400℃,更优选125-300℃,最优选150-250℃范围内的所述裂解温度下蒸发;或者在在低于所述裂解温度的温度下蒸发而进行。
在本发明的优选方案中,优选如下的本发明用途(或在本文中标为优选的本发明用途),其中:
-在式I化合物或其盐中,
L选自缩醛基团;缩酮基团;酯基;硫酯基;原酸酯基团;碳酸酯基团;酰胺基团;有机甲硅烷基,Diels-Alder加合物,尿烷基团,二硫化物基团,重氮磺酸酯基团和烷基芳基磺酸酯基团;优选L为尿烷基团,和/或(优选和)
L经由共价键键合于A和B中的任一个上,和/或(优选和)
A和B不同,并且优选
A选自:
○被1-4个醚基取代或者优选未被取代的疏水性基团,优选直链或支化C4-C20烷基;
○增溶基团;
○位阻基团;
○改善封装的基团;
○排斥性基团,以及
○形成自组装单层的前体基团,
并且优选A为被1-4个醚基取代或者优选未被取代的疏水性基团,更优选直链或支化C4-C20烷基,和/或(优选和)
B选自:
○极性基团,优选在其化学结构中包含至少一个独立地选自如下的离子性基团:阴离子性基团,优选羧酸根、硫酸根、磺酸根或磷酸根,以及阳离子性基团,优选未被取代或者被1-3个直链或支化C1-C4烷基取代的铵;
○对微电子器件的至少一个表面具有亲和性的粘合基团;
○水膜结合基团;
○对微电子器件的至少一个表面呈反应性的锚固基团;
○吸附于微电子器件的至少一个表面的锚固基团;
○对Si-OH键呈反应性的锚固基团,以及
○烷基甲硅烷基;
并且优选B为极性基团,优选在其化学结构中包含至少一个独立地选自如下的离子性基团:阴离子性基团,优选羧酸根、硫酸根、磺酸根或磷酸根,以及阳离子性基团,优选未被取代或者被1-3个直链或支化C1-C4烷基取代的铵,和/或
-式I化合物或其盐具有的分子量不超过1500g/mol,优选不超过1000g/mol。
对于本发明用途或优选用途,作为式I化合物的一部分的尾基A和首基B优选适应改性或处理半导体基材,优选半导体晶圆的至少一个表面的所要求目的或经选择以满足该所要求目的:
若式I化合物的目的是清洁或漂洗,则尾基A优选为被1-4个醚基取代或者优选未被取代的疏水性基团,更优选直链或支化C4-C20烷基;并且首基B优选为极性基团,优选在其化学结构中包含至少一个独立地选自如下的离子性基团:阴离子性基团,优选羧酸根、硫酸根、磺酸根或磷酸根,以及阳离子性基团,优选未被取代或者被1-3个直链或支化C1-C4烷基取代的铵。
若式I化合物的目的是特别强调避免图案坍塌效果的漂洗(如上所解释的那样),则尾基A优选选自被1-4个醚基取代或者优选未被取代的疏水性基团,更优选直链或支化C4-C20烷基;以及排斥性基团;并且首基B优选选自水膜结合基团、对半导体基材的至少一个表面呈反应性的锚固基团和吸附于半导体基材的至少一个表面的锚固基团。
若式I化合物的目的是低k介电材料的孔封闭(如上所解释的那样),则首基优选选自位阻基团和形成自组装单层的前体基团;并且首基B优选选自极性基团,优选在其化学结构中包含至少一个独立地选自如下的离子性基团:阴离子性基团,优选羧酸根、硫酸根、磺酸根或磷酸根,以及阳离子性基团,优选未被取代或者被1-3个直链或支化C1-C4烷基取代的铵;以及吸附于半导体基材的至少一个表面的锚固基团。
若式I化合物的目的是修补低k介电材料的薄膜(如上所解释的那样),则尾基A优选为增溶基团;并且首基B优选选自对Si-OH键呈反应性的锚固基团和烷基甲硅烷基。
若式I化合物的目的是抑制腐蚀(如上所解释的那样),则尾基A优选选自增溶基团、位阻基团和改善封装的基团;并且首基B优选为对半导体基材的至少一个表面具有亲和性的基团。
对于本发明的用途或优选用途以及其他方面而言,作为式I化合物的一部分的连接基团L优选适应裂解式I的可裂解添加剂的所要求机理或者经选择以满足该所要求机理。裂解式I的可裂解添加剂的机理优选适应半导体基材,优选半导体晶圆的至少一个表面的性质,即若所述表面图案化或未图案化和/或若它例如带有需要保持但可能对特定处理方法敏感或者与其不相容的非半导体材料层。因此,连接基团L优选选自:
-热不稳定连接基团L,优选尿烷基团和/或Diels-Alder加合物,更优选尿烷基团。热不稳定基团通过热触发选择性裂解或重排,在本发明上下文中优选在范围内100-400℃,更优选125-300℃,最优选150-250℃的裂解温度下;
-酸不稳定连接基团L,优选缩醛基团;缩酮基团和/或原酸酯基团。酸不稳定连接基团L当通过pH变化触发剂,优选pH降低触发剂和/或酸处理触发剂诱发时选择性裂解或重排;
-碱不稳定连接基团L,优选酯基和/或硫酯基。碱不稳定连接基团L当通过pH变化触发剂,优选pH增加触发剂和/或碱处理触发剂诱发时选择性裂解或重排;
-辐射不稳定连接基团L,优选UV辐射不稳定基团L,优选烷基芳基磺酸酯基团;重氮磺酸酯基团和/或二硫化物基团。辐射不稳定连接基团L当通过电磁辐射,优选UV光辐射,更优选波长在190-400nm范围内的UV光辐射触发剂诱发时选择性裂解或重排;
-氧化还原不稳定连接基团L,优选二硫化物基团。氧化还原不稳定基团L当通过化学还原或化学氧化触发剂诱发时选择性裂解或重排;
-酶催不稳定连接基团L,优选酯基、酰胺基团和/或碳酸酯基团。酶催不稳定基团当通过酶催转化触发剂诱发时选择性裂解或重排。酶催转化通常具有基材特异性;
-亲核-或亲电不稳定基团L,优选有机甲硅烷基。亲核-或亲电不稳定基团L当通过亲核或亲电攻击,例如借助氟化物阴离子的亲核攻击触发剂诱发时选择性裂解或重排。
连接基团L以及合适的选择方法和/或选择性裂解或重排也适合在本发明式I化合物中使用或应用的所述连接基团L的合适方法在本领域通常已知,例如由文献US 7,022,861;WO 2009/048611A2或WO 02/097393A2,或A.Tehrani-Bagha等,Current Opinion inColloid&Interface Science,第12卷第2期(2007)81-9或者其中所引用的文献已知。因此,前述文献的公开内容以其整体作为参考引入本文中。
在其所有方面(用途、制造方法、清洁方法)对本发明的目的而言,优选热不稳定连接基团L,因为它们不要求加入任何其他试剂或化学品(例如酸、碱或亲核体)并且因此通常允许更普遍的应用,例如在不同生产环境中和/或在不同性质(图案化、未图案化、涂敷、未涂敷)的微电子器件的表面上。
因此,在本发明的一个优选方案中,式I化合物是式Ia化合物:
A-N(H)-C(O)O-B (Ia),
其中A和B具有如上面对式I化合物所定义(或者如上面作为优选所定义)的含义并且经由尿烷基团“-N(H)-C(O)O-”连接。
在本发明的另一方案中,优选如下的本发明用途(或在本文中标为优选的本发明用途),其中:
-在式I化合物或其盐中,
A是碳原子总数为4-20,优选6-16的直链或支化脂族烃基,所述烃基被1-4个醚基取代或者优选未被取代,和/或(优选和)
B是碳原子总数为1-6,优选1-4的直链或支化脂族烃基,所述烃基被1或2个,优选1个独立地选自如下的离子性基团取代:阴离子性基团,优选羧酸根、硫酸根、磺酸根或磷酸根,更优选羧酸根;以及阳离子性基团,优选未被取代或者被1-3个直链或支化C1-C4烷基取代的铵,优选未被取代的铵;和/或(优选和)
L为尿烷基团(-N(H)-C(O)O-),和/或
-该可裂解添加剂为可裂解表面活性剂;和/或
-所述半导体基材为半导体晶圆。
在再一方案中,优选如下的本发明用途(或在本文中标为优选的本发明用途),其中:
-所述式I化合物的裂解通过热触发,优选在100-400℃,更优选125-300℃,最优选150-250℃范围内的裂解温度下诱发,和/或
-所述除去通过蒸发,优选在100-400℃,更优选125-300℃,最优选150-250℃范围内的温度下,更优选在所述裂解温度下;或者在低于所述温度的温度下,优选在低于所述裂解温度的温度下蒸发进行。
对于本发明的用途或优选用途以及其他方面而言,式I化合物或其盐优选具有的分子量不超过1500g/mol,优选不超过1000g/mol。具有在所述范围内的分子量的式I化合物或其盐优选可以裂解成碎片组,其中碎片可以通过借助在与加工半导体基材,优选半导体晶圆时的常规条件和/或要求相容的条件下,如合适的加工温度(如上所定义)和/或压力(如下所定义)蒸发的优选除去(如下文更详细解释)从半导体基材的表面除去,优选完全除去,优选在100-400℃,更优选125-300℃,最优选150-250℃范围内的所述裂解温度下;或者在低于所述裂解温度的温度下。
类似地,当尾基A是如上所定义的直链或支化脂族烃基且首基B是如上所定义的被取代的直链或支化脂族极性烃基时,由相应的式I化合物热裂解所得碎片优选是可以通过蒸发,优选通过在100-400℃,更优选125-300℃,最优选150-250℃范围内的温度下和/或(优选“或”)在大于10hPa,优选不大于10-2hPa,更优选不大于10-3hPa的压力(“蒸发压力”)下蒸发除去的碎片。
对于本发明式I化合物或其盐的用途或优选用途以及其他方面而言,连接基团L优选为尿烷基团(-N(H)-C(O)O-)。式I化合物或其盐,优选其中连接基团L为尿烷基团的优选式I化合物或其盐优选可以通过热触发裂解成碎片组,优选在100-400℃,更优选125-300℃,最优选150-250℃范围内的裂解温度下。在自己的试验中已经表明尿烷基团L的所述热裂解通常在不超过200℃的温度下平稳进行。
在自己的试验中已经发现其中连接基团L为尿烷基团的式I化合物,优选式Ia化合物,更优选式Ib化合物(如下所定义)通过热触发诱发而选择性裂解成碎片组并且通过蒸发诱发而几乎完全,优选完全从半导体晶圆表面除去。在自己的试验中还可能表明(见实施例部分)-在类似条件下-某些Diels-Alder加合物类型的可裂解表面活性剂不能通过蒸发诱发而从半导体晶圆表面完全除去,但是在加热和蒸发Diels-Alder加合物类型的所述可裂解表面活性剂之后发现显著量的来自有机化合物的残渣。
还优选如下的本发明用途(或在本文中标为优选的本发明用途),其中:
-在式I化合物或其盐中,
A是碳原子总数为4-20,优选6-16的直链或支化脂族烃基,所述烃基被1-4个醚基取代或者优选未被取代,以及
B是碳原子总数为1-6,优选1-4的直链或支化脂族烃基,所述烃基被1或2个,优选1个独立地选自如下的离子性基团取代:阴离子性基团,优选羧酸根,以及阳离子性基团,优选被1-3个直链或支化C1-C4烷基取代或者优选未被取代的铵;以及
L为尿烷基团且经由共价键键合于A和B中的任一个上,和/或(优选“和”)
-所述式I化合物或其盐的用途是作为可裂解表面活性剂,和/或(优选“和”)
-所述式I化合物的所述用途是在半导体晶圆的清洁和/或漂洗中作为可裂解表面活性剂。
在另一方案中,还优选如下的本发明用途(或在本文中标为优选的本发明用途),其中在式I的有机化合物或其盐中,
-A为一价基团R1-CH2-,其中:
R1是碳原子总数为4-20,优选6-16,更优选7-14,仍更优选7-12的直链或支化脂族烃基;
-B为式II的一价离子性基团:
其中:
R2是碳原子总数为1-6,优选1-4的直链或支化脂族烃基,以及
Y+是未被取代或者被1-3个直链或支化C1-C4烷基取代的单电荷铵阳离子,优选未被取代的铵,以及
-L为尿烷基团。
在该优选方案中,优选所述式I化合物的用途是在半导体晶圆的至少一个表面的清洁和/或漂洗中作为可裂解表面活性剂。
在自己的试验中已经发现式I化合物或上文进一步说明的优选式I化合物特别适合在半导体晶圆的清洁或漂洗中作为可裂解表面活性剂,因为它们可以通过优选在100-400℃,更优选125-300℃,最优选150-250℃范围内的裂解温度下的热触发裂解成碎片组,并且在所述清洁或漂洗之后可以通过借助蒸发诱发的优选除去(如下文更详细解释)将所述碎片从所述半导体基材的表面除去,优选完全除去(如上所定义),优选在100-400℃,更优选125-300℃,最优选150-250℃范围内的所述裂解温度下;或者在低于所述裂解温度的温度下和/或在裂解压力,优选蒸发压力(如上所定义)下。
优选如上所定义的式I化合物的本发明用途(或在本文中标为优选的本发明用途),其中所述改性或处理,优选所述清洁或漂洗为制造微电子器件、电路器件、光学器件、电子显示器和/或机械精密器件,优选微电子器件的方法,优选工业方法的一部分。
本发明还涉及一种制造半导体基材,优选微电子器件的方法,包括下列步骤:
-制造或提供具有至少一个表面的半导体基材,优选起始半导体晶圆或中间半导体晶圆,优选中间半导体晶圆,
-使所述至少一个表面与式(I)的有机化合物或其盐接触:
A-L-B (I),
其中:
A为尾基,
B为首基,和
L为连接基团,
从而改性或处理所述表面,以及随后
-将所述表面上的所述有机化合物或其盐裂解成碎片组,以及优选随后
-从该接触表面除去,优选完全除去所述碎片组,以及优选随后-进行其他的随后步骤,
从而得到半导体基材,优选微电子器件。
上文就式I的有机化合物的本发明用途所讨论的本发明所有方面通常经适当修改之后适用于本发明制造方法。同样,本文所讨论的本发明制造半导体基材的方法的所有方面经适当修改之后适用于式I的有机化合物的本发明用途。
如上所定义的制造方法优选为用于电子产品-优选包括集成电路或包括集成电路的器件-的微电子器件的工业成批生产的一部分或者为所述工业成批生产,优选在互连工业中。
在如上所定义的制造方法中,该接触步骤优选包括使起始半导体晶圆或中间半导体晶圆,优选中间半导体晶圆的所述至少一个表面与式I化合物接触,从而通过至少一种选自如下的改性对所述表面改性:低k介电材料的孔封闭、修补低k介电材料的薄膜、改变中间半导体基材的至少一个表面的ζ-电势、改变中间半导体基材的至少一个表面上的接触角、改变中间半导体基材的至少一个表面相对于式I化合物的吸附或粘附性能以及抑制腐蚀,和/或从而通过至少一种选自清洁和漂洗的处理对所述表面处理。优选该接触步骤包括接触所述至少一个表面,从而清洁或漂洗所述表面。
还优选如上所定义的本发明制造方法,其中:
-进行所述接触步骤以实现至少一种选自如下的效果:改性,优选通过低k介电材料的孔封闭、修补低k介电材料的薄膜、改变中间半导体基材的至少一个表面的ζ-电势、改变中间半导体基材的至少一个表面上的接触角、改变中间半导体基材的至少一个表面相对于式I化合物的吸附或粘附性能和/或抑制腐蚀;以及处理,优选通过清洁和漂洗;
其中优选该至少一种效果是或包括清洁和/或漂洗,更优选包括缺陷缩减漂洗、后灰化残渣除去漂洗、后蚀刻残渣除去漂洗和光刻胶残渣除去漂洗,和/或
-所述裂解步骤包括将所述式I化合物或其盐热裂解成碎片组,优选在100-400℃,更优选125-300℃,最优选150-250℃范围内的裂解温度下;和/或在裂解压力下,
和/或
-所述从至少一个接触表面除去所述碎片组的步骤包括蒸发碎片,优选
○在100-400℃,更优选125-300℃,最优选150-250℃范围内的所述裂解温度下;或者在低于所述裂解温度的温度下,和/或
○在所述裂解压力下或在低于所述裂解压力的压力下。
在如上所定义的优选制造方法中,所述热裂解所述式I化合物的步骤优选通过热触发诱发。
在如上所定义的优选制造方法中,所述裂解压力优选为环境压力(大气压)并且所述低于所述裂解压力的压力优选为减压,优选不大于10hPa,更优选不大于10-2hPa,仍更优选不大于10-3hPa的压力(“蒸发压力”)。
在本发明上下文中,从接触表面“除去”式I化合物的碎片组优选是指由于本发明制造方法的结果从所述接触表面除去至少99.5质量%的式I化合物(即其碎片),这优选通过质量的差示测量测定。即已经进行本发明制造方法的裂解和除去步骤(如上所定义)之后测得不大于前面在使所述至少一个表面与式(I)的有机化合物接触的步骤中使用的式I化合物的总质量的0.5质量%。
在本发明上下文中,从接触表面“完全除去”式I化合物的碎片组优选是指由于本发明制造方法的结果从所述接触表面除去至少99.9质量%的式I化合物(即其碎片),这优选通过质量的差示测量测定。即已经进行本发明制造方法的裂解和除去步骤(如上所定义)之后测得不大于前面在使所述至少一个表面与式(I)的有机化合物接触的步骤中使用的式I化合物的总质量的0.1质量%。
在其中根据本发明制造方法从半导体晶圆的接触表面成功“除去”或“完全除去”式I化合物或其碎片的直接测试不优选的情况下,或者存疑的情况下,优选将间接测量方法用于测定,若可以通过热触发裂解的某些式I或Ia化合物,优选式Ib化合物通过或可以通过本发明制造方法(如上所定义)“除去”或“完全除去”,即在本发明制造方法的条件下“除去”或“完全除去”的话。
因此,还优选如下的本发明制造方法(或在本文中标为优选的本发明制造方法),其中:
-式I化合物是式Ib化合物,和/或
-该除去步骤包括通过除去所述其碎片组而从接触表面除去至少99.1质量%,优选至少99.9质量%的式I化合物,优选式Ib化合物,这优选通过质量的差示测量测定,
其中优选至少99.1质量%,优选至少99.9质量%的式I或Ib化合物的所述除去通过包括下列步骤的测试方法(间接)测定:
-将限定质量的式I或Ib化合物装入石英玻璃管,优选长度为3cm且直径为20cm的圆柱形石英玻璃管中,
-对石英玻璃管中的式I或Ib化合物施加30分钟的下列处理条件:
-在大气压至10-3hPa范围内的压力,和
-在100-400℃,优选150-250℃范围内的温度。
通过质量的差示测量测定式I化合物,优选式Ib化合物的除去程度在本发明上下文中优选根据或类似于下面实施例3中所述方法进行。
在另一方案中,优选如下的本发明制造方法(或在本文中标为优选的本发明制造方法),其中:
-在式I化合物或其盐中,
A和B不同且L经由共价键与A和B键合,和/或(优选和)
A是碳原子总数为4-20,优选6-16的直链或支化脂族烃基,所述烃基被1-4个醚基取代或者优选未被取代,和/或(优选和)
B是碳原子总数为1-6,优选1-4的直链或支化脂族烃基,所述烃基被1或2个,优选1个独立地选自如下的离子性基团取代:阴离子性基团,优选羧酸根、硫酸根、磺酸根或磷酸根,以及阳离子性基团,优选未被取代或者被1-3个直链或支化C1-C4烷基取代的铵,和/或(优选和)
L为尿烷基团,和/或
-式I化合物或其盐具有的分子量不超过1500g/mol,优选不超过1000g/mol。
在如上所定义的本发明制造方法(或在本文中标为优选的本发明制造方法)的优选方案中,优选这样一种方法,其中使所述至少一个表面与式I化合物或其盐接触的步骤包括使所述至少一个表面与包含式I化合物或其盐的组合物,优选含水组合物(即含有水的组合物)接触。
在如上所定义的本发明制造方法(或在本文中标为优选的本发明制造方法)的具体方案中,本发明还涉及一种清洁和/或漂洗半导体基材,优选中间半导体晶圆的方法,包括下列步骤:
-制造或提供具有至少一个表面且在其至少一个表面上具有一种或多种材料的半导体基材,优选中间半导体晶圆,
-使半导体基材,优选中间半导体晶圆的至少一个表面上的所述一种或多种材料与式I的有机化合物或其盐,优选可裂解表面活性剂接触:
A-L-B (I),
其中:
A为尾基,
B为首基,和
L为连接基团,
-从所述至少一个表面与所述材料中的一种或多种一起除去一定量的所述式I化合物或其盐,优选可裂解表面活性剂或其盐,从而得到清洁或漂洗过的半导体基材,优选中间半导体晶圆,在其一个或多个表面上附着残留量的所述式I化合物或其盐,
-通过在裂解压力下加热至裂解温度而至少将所述表面上的一部分或全部所述残留量的所述式I化合物或其盐,优选可裂解表面活性剂或其盐裂解成碎片组,各碎片的沸点在施加的裂解压力下,优选在蒸发压力下低于所述裂解温度,以及
-通过蒸发从接触表面除去,优选完全除去所述碎片组,从而优选得到清洁或漂洗过的半导体基材,优选中间半导体晶圆。
上文就式I的有机化合物的本发明用途和制造半导体基材的本发明方法所讨论的本发明所有方面通常经适当修改之后适用于本发明清洁或漂洗半导体基材的方法。同样,本文所讨论的本发明清洁或漂洗半导体基材的方法的所有方面经适当修改之后适用于式I的有机化合物的本发明用途和/或制造半导体基材的本发明方法。
在如上所定义的本发明清洁或漂洗方法中,优选所述材料为来自前面加工步骤的残渣、残余物、颗粒、加工流体和/或加工液体。优选该类材料并未紧密键合、连接或固定于所述微电子器件或中间微电子器件的表面,而是足够松散地位于所述表面上而能被洗涤或漂洗掉,优选以本行业传统的清洁(洗涤、漂洗)方法。所述材料尤其可以是颗粒、片段或碎片,它们可能已经由于前面加工步骤而由例如来自可能前面已经施加于所述半导体基材或中间半导体基材表面的光刻胶层或其他层的后蚀刻残渣和/或残渣形成;和/或所述材料可以是可能由前面加工步骤和/或在漂洗步骤之后将半导体基材或中间半导体基材准备用于干燥而出现的加工流体或加工液体。
在如上所定义的本发明清洁或漂洗方法中,在裂解至少一部分或全部所述残留量的所述有机化合物的步骤中,优选裂解≥99.5质量%或全部所述残留量的所述有机化合物。
在如上所定义的本发明清洁或漂洗方法中,优选由裂解所述式I化合物得到的各碎片具有的沸点低于未分解的式I化合物,因而与未分解的式I化合物(也如上所解释)相比可以付出较少努力(即在更低温度下和/或在更高蒸发压力下)除去,优选蒸发由裂解所述式I化合物得到的碎片。
此外,优选如上所定义的本发明清洁和/或漂洗方法,其中除去所述碎片组的步骤包括蒸发所述碎片:
-在优选在100-400℃,更优选125-300℃,最优选150-250℃范围内的所述裂解温度下;或者在低于所述裂解温度的温度下;和/或(优选“和”)
-在所述裂解压力下或在低于所述裂解压力的压力下,优选在低于所述裂解压力的压力下,更优选在不大于10-2hPa,仍更优选不大于10-3hPa的压力下。
在本发明的一个方案中,优选如上所定义的本发明清洁和/或漂洗方法(或在本文中标为优选的本发明清洁和/或漂洗方法),其中在所述式I化合物或其盐中,
A为一价基团R1-CH2-,其中
R1是碳原子总数为4-20,优选6-16的直链或支化脂族烃基;
B为式II的一价离子性基团:
其中:
R2是碳原子总数为1-6,优选1-4的直链或支化脂族烃基,以及
Y+是未被取代或者被1-3个直链或支化C1-C4烷基取代的单电荷铵阳离子,优选未被取代的铵,以及
L为尿烷基团。
在本发明的另一方案中,优选如上所定义的本发明清洁和/或漂洗方法(或在本文中标为优选的本发明清洁和/或漂洗方法),其中使所述至少一个表面与式I化合物或其盐,优选可裂解表面活性剂或其盐接触的步骤包括使所述至少一个表面与包含式I化合物或其盐,优选可裂解表面活性剂或其盐的组合物,优选含水组合物(即含有水的组合物)接触。
本发明还涉及式Ib化合物:
其中:
R1是碳原子总数为4-20,优选6-16的直链或支化脂族烃基,
R2是碳原子总数为1-6,优选1-4的直链或支化脂族烃基,其中优选R2不含手性中心,以及
Y+是未被取代或者被1-3个直链或支化C1-C4烷基取代的单电荷铵阳离子,优选未被取代的铵,以及其中优选
R1是碳原子总数为7-14,更优选7-12的直链或支化脂族烃基,更优选R1与它所键合的亚甲基(即“-CH2-”基团)一起为选自如下的脂族烃基:2-乙基己基、2-丙基庚基、正十二烷基、2,5,7,7-四甲基辛基、异癸基、异十三烷基以及异十三烷基和异十五烷基的混合物,最优选R1与它所键合的亚甲基(即“-CH2-”基团)一起为选自2-丙基庚基、正十二烷基和异十三烷基的脂族烃基,
R2是碳原子总数为1-2且更优选不含手性中心的直链或支化脂族烃基,仍更优选R2为-CH2-,以及
Y+为铵。
上文就式I的有机化合物的本发明用途、制造半导体基材的本发明方法和本发明清洁和/或漂洗半导体基材的方法所讨论的本发明所有方面通常经适当修改之后适用于本发明的式Ib化合物。同样,本文所讨论的本发明的式Ib化合物的所有方面经适当修改之后适用于式I的有机化合物的本发明用途、制造半导体基材的本发明方法以及本发明清洁和/或漂洗半导体基材的方法。
式Ib化合物特别适合且意欲用于本发明用途和方法中,优选用于作为可裂解添加剂,更优选作为可裂解表面活性剂的用途中,用于改性和/或处理半导体基材的至少一个表面;用于制造半导体基材,优选微电子器件的方法中和/或用于清洁和/或漂洗半导体基材的方法,在每种情况下全部如上所定义(或者在每种情况下如上作为优选所定义)。
在优选方案中,式Ib化合物在本发明用途或优选用途中是优选的式I化合物,作为可裂解表面活性剂用于清洁或漂洗半导体基材的至少一个表面,优选包括后灰化残渣除去漂洗、后蚀刻残渣除去漂洗和光刻胶残渣除去漂洗。
在如上所定义的本发明式Ib化合物中,R2优选不含手性中心。因此,优选R2在相同碳原子上不带两个不同(成对)取代基。更优选R2选自“-CH2-”和“n-C2H4-”,最优选R2为“-CH2-”(亚甲基)。
在如上所定义的式Ib化合物中,R1与它所键合的亚甲基一起的优选含义为“异癸基”烃基。“异癸基”表示具有10个碳原子且平均支化度在2-2.5范围内(即该C10异构体在其碳链中平均具有2-2.5个支链)的烃基的异构体混合物。支化度可以通过1H-NMR光谱法作为“CH3-”基团(信号)减去1个“CH3-”基团的量测定。异癸基可以由市售来源,例如由异癸醇(CAS RN 25339-17-7)引入有机分子中。“异癸基”在下面的式VIII化合物中缩写为“iC10H21”。
在如上所定义的式Ib化合物中,R1与它所键合的亚甲基一起的另一优选含义为“异十三烷基”烃基。“异十三烷基”表示具有13个碳原子且平均支化度在2-3.5范围内(即该C13异构体在其碳链中平均具有2-3.5个支链)的烃基的异构体混合物。异十三烷基可以由市售来源,例如由异十三烷醇(CAS RN 27458-92-0)引入有机分子中。“异十三烷基”在下面的式V化合物中缩写为“iC13H27”。
在如上所定义的式Ib化合物中,R1与它所键合的亚甲基一起的再一优选含义是“异十三烷基”和“异十五烷基”烃基的混合物。“异十三烷基”和“异十五烷基”的所述混合物表示分别具有13或15个碳原子且平均支化度在0.1-0.9范围内(即该C13或C15异构体在其碳链中平均具有0.1-0.9个支链)的烃基的异构体混合物。异十三烷基和异十五烷基的混合物可以由市售来源,例如通过相应市售α-烯烃的已知加氢甲酰化反应引入有机分子中。“异十三烷基”和“异十五烷基”的混合物在下面的式IX化合物中缩写为“iC13H27/iC15H31”。
特别优选根据且用于本发明用途和方法的式Ib化合物选自如下所示的式III-IX化合物:
对本发明用途和方法最优选的式Ib化合物是如上所示的式III、IV和V化合物。
本发明还涉及一种组合物,包含式Ib化合物或其盐以及常规溶剂(包括水)和/或添加剂。
本发明还涉及包含优选如上所定义(或如上作为优选所定义)的式I化合物或其盐和/或如上所定义(或如上作为优选所定义)的式Ib化合物或其盐的组合物在改性或处理半导体基材的至少一个表面中的用途。
上文就式I化合物的本发明用途,制造半导体基材,优选微电子器件的本发明方法,本发明清洁和/或漂洗半导体基材的方法和/或本发明式Ib化合物所讨论的本发明所有方面通常经适当修改之后适用于包含式I化合物或其盐和/或式Ib化合物或其盐的组合物的用途。同样,本文所讨论的包含式I化合物或其盐和/或式Ib化合物或其盐的组合物的用途的所有方面经适当修改之后适用于式I化合物的本发明用途,制造半导体基材,优选微电子器件的本发明方法,本发明清洁和/或漂洗半导体基材的方法和/或本发明式Ib化合物。
本文所公开的式I化合物可以通过本领域通常已知的方法制备,例如通过文献US7,022,861;WO 2009/048611 A2或WO 02/097393 A2,或者A.Tehrani-Bagha等,CurrentOpinion in Colloid&Interface Science,第12卷第2期(2007)81-9,或者其中所引用的文献中所公开的方法制备,这些作为参考引入本文(见上文)。
式Ib化合物优选可以通过下面的实施例部分提供的通用程序制备。
本发明进一步在下列方面A1-A15中进一步说明和概述:
A1.式I的有机化合物或其盐在改性和/或处理半导体基材的至少一个表面中作为可裂解添加剂的用途:
A-L-B (I),
其中:
A为尾基,
B为首基,和
L为连接基团,其中式I化合物在所述改性或处理之后裂解成碎片组,以促进其从所述表面除去。
A2.根据方面1的用途,其中:
-在式I化合物或其盐中,
L选自缩醛基团;缩酮基团;酯基;硫酯基;原酸酯基团;碳酸酯基团;酰胺基团;有机甲硅烷基,Diels-Alder加合物,尿烷基团,二硫化物基团,重氮磺酸酯基团和烷基芳基磺酸酯基团;和/或
L经由共价键键合于A和B中的任一个上,和/或
A和B不同,
并且优选
A选自:
○被1-4个醚基取代或者未被取代的疏水性基团,优选C4-C20烷基;
○增溶基团;
○位阻基团;
○改善封装的基团;
○排斥性基团,以及
○形成自组装单层的前体基团,
并且优选A是被1-4个醚基取代或者未被取代的疏水性基团,更优选C4-C20烷基,和/或
B选自:
○极性基团,优选在其化学结构中包含至少一个独立地选自如下的离子性基团:阴离子性基团,优选羧酸根、硫酸根、磺酸根或磷酸根,以及阳离子性基团,优选未被取代或者被1-3个直链或支化C1-C4烷基取代的铵;
○对微电子器件的至少一个表面具有亲和性的粘合基团;
○水膜结合基团;
○对微电子器件的至少一个表面呈反应性的锚固基团;
○吸附于微电子器件的该至少一个表面的锚固基团;
○对Si-OH键呈反应性的锚固基团,以及
○烷基甲硅烷基;
并且优选B是极性基团,优选在其化学结构中包含至少一个独立地选自如下的离子性基团:阴离子性基团,优选羧酸根、硫酸根、磺酸根或磷酸根,以及阳离子性基团,优选未被取代或者被1-3个直链或支化C1-C4烷基取代的铵,和/或
-式I化合物或其盐具有的分子量不超过1500g/mol,优选不超过1000g/mol。
A3.根据前述方面中任一个的用途,其中
-在式I化合物或其盐中,
A是碳原子总数为4-20,优选6-16的直链或支化脂族烃基,所述烃基被1-4个醚基取代或者未被取代,和/或
B是碳原子总数为1-6,优选1-4的直链或支化脂族烃基,所述烃基被1或2个独立地选自如下的离子性基团取代:阴离子基团,优选羧酸根、硫酸根、磺酸根或磷酸根,以及阳离子基团,优选未被取代或者被1-3个直链或支化C1-C4烷基取代的铵;和/或
L为尿烷基团;和/或
-该可裂解添加剂为可裂解表面活性剂。
A4.根据前述方面中任一个,优选根据方面3的用途,其中:
-所述式I化合物的裂解通过热触发诱发,优选在100-400℃,更优选125-300℃,最优选150-250℃范围内的裂解温度下诱发,和/或
-所述除去通过蒸发,优选在100-400℃,更优选125-300℃,最优选150-250℃范围内的温度下;或者在低于所述温度的温度下蒸发进行。
A5.根据前述方面中任一个的用途,其中
-所述半导体基材选自半导体晶圆、中间半导体晶圆和微电子器件,和/或
-所述改性选自低k介电材料的孔封闭、修补低k介电材料的薄膜、改变半导体基材的至少一个表面的ζ-电势、改变半导体基材的至少一个表面上的接触角、改变半导体基材的至少一个表面相对于式I化合物的吸附或粘附性能和抑制腐蚀;和/或
-所述处理选自清洁和漂洗。
A6.根据前述方面中任一个,优选根据方面3的用途,其中在式I化合物或其盐中,
A为一价基团R1-CH2-,其中
R1是碳原子总数为4-20,优选6-16的直链或支化脂族烃基;
B为式II的一价离子性基团:
其中
R2是碳原子总数为1-6,优选1-4的直链或支化脂族烃基,以及
Y+为未被取代或者被1-3个直链或支化C1-C4烷基取代的单电荷铵阳离子,优选未被取代铵,以及
L为尿烷基团。
A7.制造半导体基材的方法,包括下列步骤:
-制造或提供具有至少一个表面的半导体基材,优选起始半导体晶圆或中间半导体晶圆,
-使所述至少一个表面与式(I)的有机化合物或其盐接触:
A-L-B (I),
其中
A为尾基,
B为首基,和
L为连接基团,
从而改性或处理所述表面,以及随后
-将所述表面上的所述有机化合物或其盐裂解成碎片组,以及优选随后
-从所述接触表面除去所述碎片组。
A8.根据方面7的方法,其中:
-进行所述接触步骤以实现至少一种选自如下的效果:通过低k介电材料的孔封闭、修补低k介电材料的薄膜、改变中间半导体基材的至少一个表面的ζ-电势、改变中间半导体基材的至少一个表面上的接触角、改变中间半导体基材的至少一个表面相对于式I化合物的吸附或粘附性能和/或抑制腐蚀而改性;以及通过清洁和/或漂洗而处理;
其中优选该至少一种效果是或包括清洁和/或漂洗,更优选包括缺陷缩减漂洗、后灰化残渣除去漂洗、后蚀刻残渣除去漂洗和光刻胶残渣除去漂洗,和/或
-所述裂解步骤包括将所述表面上的所述式I化合物或其盐热裂解成碎片组,
优选在100-400℃,更优选125-300℃,最优选150-250℃范围内的裂解温度下且在裂解压力下;和/或
-从该至少一个接触表面除去所述碎片组的所述步骤包括蒸发碎片,优选
○在100-400℃,更优选125-300℃,最优选150-250℃范围内的所述裂解温度下;或者在低于所述裂解温度的温度下,和/或
○在所述裂解压力下或在低于所述裂解压力的压力下。
A9.根据方面7或8的方法,其中:
-在式I化合物或其盐中,
A和B不同且L经由共价键与A和B键合,和/或
A是碳原子总数为4-20,优选6-16的直链或支化脂族烃基,所述烃基被1-4个醚基取代或者优选未被取代,和/或
B是碳原子总数为1-6,优选1-4的直链或支化脂族烃基,所述烃基被1或2个独立地选自如下的离子性基团取代:阴离子性基团,优选羧酸根、硫酸根、磺酸根或磷酸根,以及阳离子性基团,优选未被取代或者被C1-C4烷基取代1-3次的铵,和/或
L为尿烷基团,
和/或
-式I化合物或其盐具有的分子量不超过1500g/mol,优选不超过1000g/mol。
A10.优选根据方面7-9中任一个的清洁和/或漂洗半导体基材的方法,包括下列步骤:
-制造或提供具有至少一个表面且在至少一个其表面上具有一种或多种材料的半导体基材,
-使半导体基材的至少一个表面上的所述一种或多种材料与式I的有机化合物或其盐接触:
A-L-B (I),
其中:
A为尾基,
B为首基,和
L为连接基团,
-从所述至少一个表面与所述材料中的一种或多种一起除去一定量的所述式I化合物或其盐,从而得到清洁或漂洗过的半导体基材,在其一个或多个表面上附着残留量的所述式I化合物或其盐,
-通过在裂解压力下加热至裂解温度而至少将所述表面上的一部分或全部所述残留量的所述式I化合物或其盐裂解成碎片组,各碎片的沸点在施加的裂解压力下低于所述裂解温度,以及
-通过蒸发从接触表面除去,优选完全除去所述碎片组。
A11.根据方面10的方法,其中除去所述碎片组的步骤包括蒸发所述碎片:
-在优选100-400℃,更优选125-300℃,最优选150-250℃范围内的所述裂解温度下;或者在低于所述裂解温度的温度下,和/或
-在所述裂解压力或在低于所述裂解压力的压力下。
A12.根据方面10或11的方法,其中在所述式I化合物或其盐中,A为一价基团R1-CH2-,其中:
R1是碳原子总数为4-20,优选6-16的直链或支化脂族烃基;
B为式II的一价离子性基团:
其中:
R2是碳原子总数为1-6,优选1-4的直链或支化脂族烃基,以及
Y+是未被取代或者被1-3个C1-C4烷基取代的单电荷铵阳离子,优选为未被取代的铵,以及
L为尿烷基团。
A13.式Ib化合物:
其中:
R1是碳原子总数为4-20,优选6-16的直链或支化脂族烃基,
R2是碳原子总数为1-6,优选1-4的直链或支化脂族烃基,其中优选R2不含手性中心,以及
Y+是未被取代或者被1-3个C1-C4烷基取代的单电荷铵阳离子,优选未被取代的铵。
A14.根据方面13的式Ib化合物,其中:
R1是碳原子总数为7-14,优选7-12的直链或支化脂族烃基,更优选R1与它所键合的亚甲基一起为选自2-乙基己基、2-丙基庚基、正十二烷基、2,5,7,7-四甲基辛基、异癸基、异十三烷基和异十五烷基的脂族烃基,
R2是碳原子总数为1-2且优选不含手性中心的直链或支化脂族烃基,更优选是-CH2-,以及
Y+为铵。
A15.包含如方面1-6中任一个所定义的式I化合物或其盐和/或如方面13或14所定义的式Ib化合物或其盐的组合物在改性或处理半导体基材的至少一个表面中的用途。
实施例
下列实施例用来进一步解释和说明本发明而不限制其范围。
实施例1:合成式Ib化合物
通用程序:
a)异氰酸烷基酯的合成
当所要求的异氰酸酯不是购买(或者不可市购)时,如通常在本领域已知的那样根据下列通用程序(见下面的反应方案1,RS-1)通过用双光气(CAS RN 503-38-8)处理而由相应伯胺合成:
反应方案1和2(RS-1,RS-2)中的R1和R2具有上面对式Ib化合物所给含义或优选含义。
在装有搅拌器、温度计和回流冷凝器的1000ml四颈圆底烧瓶中将相应伯烷基胺(1.0摩尔当量)溶于氯苯(2g氯苯/1g烷基胺)中并在室温下搅拌20分钟。在冷却至0℃之后滴加双光气(1.0摩尔当量)。将所得混合物在0℃下搅拌3小时,然后在20℃下搅拌20小时。然后将该混合物温和加热至125℃并在该温度下搅拌8小时。在2小时内在80℃和10-20hPa下在旋转蒸发器中除去溶剂。所需结构在每种情况下由1H-NMR证实。需要的话,通过蒸馏提纯所得异氰酸酯。
b)合成烷基尿烷亚烷基羧酸甲酯
在装有搅拌器、温度计和回流冷凝器的500ml四颈圆底烧瓶中将相应异氰酸烷基酯(制备见上面的程序步骤a);1.0摩尔当量)溶于二氯甲烷(7.2g二氯甲烷/1g异氰酸烷基酯)并在室温下搅拌20分钟。在冷却至0℃之后加入三甲胺(0.1摩尔当量)和N,N-二甲氨基吡啶(0.01摩尔当量)。然后在0℃下在1小时内滴加乙醇酸甲酯(1.0摩尔当量)。将所得混合物温热至20℃并在该温度下搅拌20小时。然后将该混合物温和加热至50℃并在该温度下搅拌20小时。将该混合物冷却至20℃并加入进一步量的二氯甲烷(6g二氯甲烷/1g异氰酸烷基酯)。有机相用1M盐酸水溶液(体积为二氯甲烷体积的一半)萃取。分离有机层并在MgSO4上干燥。在4小时内在40℃和10-20hPa的压力下在旋转蒸发器中除去干燥的有机溶剂。所需结构在每种情况下由1H-NMR证实。
c)合成烷基尿烷亚烷基羧酸
在装有搅拌器、温度计和回流冷凝器的500ml四颈圆底烧瓶中将烷基尿烷亚烷基羧酸甲酯(制备见上面的程序步骤b),1.0摩尔当量)与水(6.2g水/1g烷基尿烷亚烷基羧酸甲酯)和氢氧化钠溶液(1.0摩尔当量,50重量%NaOH水溶液)在室温下混合。将该混合物在20℃下搅拌20小时,然后在50℃下搅拌24小时。然后将该混合物冷却至20℃并加入叔丁基甲基醚(0.67g叔丁基甲基醚/1g水),将该混合物在20℃下再搅拌2小时。然后将该混合物温和温热至50℃,直到浑浊相变清澈。将该混合物完全转移到分液漏斗中并在40℃下分离各相。在分离之后将水相冷却至5℃并用浓盐酸(1.0摩尔当量)处理。在4小时内在80℃和10hPa下在旋转蒸发器重除去水,得到烷基尿烷亚烷基羧酸。所需结构在每种情况下由1H-NMR证实。d)合成烷基尿烷亚烷基羧酸铵盐
在1000ml单颈圆底烧瓶中将烷基尿烷亚烷基羧酸(制备见上面的程序步骤c);1.0摩尔当量)与乙醇(15.6.2g乙醇/1g烷基尿烷亚烷基羧酸)和氨在乙醇中的溶液(10摩尔当量NH3,NH3的4重量%乙醇溶液)在室温下混合。将该烧瓶装于旋转蒸发器上,在25℃下旋转30分钟并在60℃下旋转2小时。在60℃和减压(30-200hPa)下在4小时内温和除去乙醇。将该粗铵盐与叔丁基甲基醚(3g叔丁基甲基醚/1g粗铵盐)在60℃下在超声浴中混合,然后冷却至20℃。滤出提纯的铵盐并通过施加温和减压除去痕量叔丁基甲基醚。所需结构在每种情况下通过1H-NMR证实。
根据通用程序以下文提供的总产率得到下列式Ib化合物(相对于程序步骤a)或b)中所用原料的%产率,如下面所给):
式III化合物:59%,相对于程序步骤b)中所用材料。
式III化合物在MeOD中的1H-NMR(四甲基硅烷,“TMS”,作为参考标准):δ=0.8-1.0ppm(m,6个H,2×烷基结构部分的CH3),1.1-1.6ppm(m,9个H,烷基结构部分的CH和CH2),3.0-3.1ppm(d,2个H,接近尿烷基团的N的CH2),3.3ppm(MeOH),4.35-4.5ppm(s,2个H,羧酸酯和尿烷基团之间的CH2),NH4和NH:宽信号
式IV化合物:37%,相对于程序步骤a)中所用材料。
式IV化合物在MeOD中的1H-NMR(TMS):δ=0.8-1.0ppm(m,6个H,2×烷基结构部分的CH3),1.1-1.6ppm(m,13个H,烷基结构部分的CH和CH2),3.0-3.1ppm(d,2个H,接近尿烷基团的N的CH2),3.3ppm(MeOH),4.35-4.5ppm(s,2个H,羧酸酯和尿烷基团之间的CH2),NH4和NH:宽信号
式V化合物:43%,相对于程序步骤a)中所用材料。
式V化合物在MeOD中的1H-NMR(TMS):δ=0.8-1.6ppm(m,25个H,烷基结构部分的CH2和CH3),3.0-3.1ppm(d,2个H,接近尿烷基团的N的CH2),3.3ppm(MeOH),4.35-4.5ppm(s,2个H,羧酸酯和尿烷基团之间的CH2),NH4和NH:宽信号
式VI化合物:8%,相对于程序步骤b)中所用材料。
式VI化合物在MeOD中的1H-NMR(四甲基硅烷作为参考标准):δ=0.8-1.0ppm(t,3个H,烷基结构部分的CH3),1.1-1.6ppm(m,20个H,10×烷基结构部分的CH2),3.0-3.1ppm(d,2个H,接近尿烷基团的N的CH2),3.3ppm(MeOH),4.35-4.5ppm(s,2个H,羧酸酯和尿烷基团之间的CH2),NH4和NH:宽信号。
式VII化合物:51%,相对于程序步骤a)中所用材料。
实施例2:合成式X和XI的对比化合物
a)酰胺化
在装有搅拌器、温度计和回流冷凝器的250ml四颈圆底烧瓶中将马来酸酐(19.61g,0.20mol,1.0摩尔当量)溶于乙酸(100ml)中并在25℃下搅拌。然后在2小时内滴加2-乙基己基胺(25.85g,0.20mol,1.0摩尔当量)。然后搅拌所得混合物,在80℃下加热6小时,然后在25℃下加热92小时。在2小时内在80℃和10-20hPa下在旋转蒸发器中除去溶剂。粗产物的1H-NMR显示一次形成所需酰胺((2Z)-4-[(2-乙基己基)氨基]-4-氧代-2-丁烯酸,CAS RN 6975-33-3)。
b)氯化和环化
在装有搅拌器、温度计和回流冷凝器的1000ml四颈圆底烧瓶中将来自步骤a)的粗产物(35.38g,0.156mol,1.0摩尔当量)溶于在10℃下搅拌的二氯甲烷(500ml)中。加入N,N-二甲基甲酰胺(0.19g)。然后在45分钟内滴加草酰氯(21.93g,0.173mol,1.1摩尔当量)。然后搅拌所得混合物,在25℃下加热16小时,然后在25℃下加热92小时。在2小时内在80℃和10-20hPa下在旋转蒸发器中除去溶剂。粗混合物的1H-NMR显示一次形成所需氯代酰亚胺(“3-氯-1-(2-乙基己基)吡咯烷-2,5-二酮”)。
c)消去
在装有搅拌器、温度计和回流冷凝器的1000ml四颈圆底烧瓶中将来自步骤b)的粗产物(37.5g,0.153mol,1.0摩尔当量)溶于在25℃下搅拌的二氯甲烷(500ml)中。然后在25℃下在15分钟内滴加三乙胺(23.16g,0.229mol,1.5摩尔当量)。然后将所得混合物在25℃下搅拌24小时。有机相用盐酸水溶液萃取(2×500ml 1N HCl水溶液)。将有机相在硫酸镁上干燥,滤出硫酸镁。在2小时内在50℃和10-20hPa下在旋转蒸发器中除去溶剂。粗产物的1H-NMR显示一次形成所需马来酰亚胺(1-(2-乙基己基)-1H-吡咯-2,5-二酮;CAS RN 48149-71-9)。
d)Diels-Alder反应
在装有搅拌器、温度计和回流冷凝器的250ml四颈圆底烧瓶中将来自步骤c)的粗产物(19.6g,0.094mol,1.0摩尔当量)与2-呋喃甲酸(10.50g,0.094mol,1.0摩尔当量)在60℃下混合。然后将所得混合物在70℃下搅拌25.5小时。然后在25℃下加入叔丁基甲基醚(150ml)并将该混合物搅拌1小时。然后加入正戊烷(150ml)并将该混合物在25℃下搅拌1小时。形成少量沉淀并将其滤出。在2小时内在50℃和10-20hPa下在旋转蒸发器中除去溶剂。分离出18.3g产物。粗产物的1H-NMR证实形成了Diels-Alder加合物(2种化合物的混合物,见上面的反应方案)。
e)中和
在装有搅拌器、温度计和回流冷凝器的1000ml四颈圆底烧瓶中将来自步骤d)的粗产物(6g)溶于乙醇(20ml)中并在20℃下搅拌。然后在25℃下在30分钟内加入40ml NH4OH水溶液(25重量%水溶液)。然后将所得混合物用甲醇(500g)稀释并加入木炭(10g)。滤出木炭并在25℃和<10hPa的压力下温和除去溶剂。分离出6g粗产物。粗混合物的1H-NMR显示形成所需式X和XI的Diels-Alder加合物的铵盐。将该产物溶于水中得到具有15重量%活性物含量的水溶液。
对于玻璃管测试(见下面的实施例3),通过除去溶剂(水和甲醇)干燥式X和XI化合物的混合物并将所得固体用于该测试中。
实施例3:玻璃管测试
在实施例3中测试下列化合物(“测试化合物”):
本发明式V化合物
式X化合物(Diels-Alder加合物):
和式XI化合物(Diels-Alder加合物)的混合物(非本发明)作为对比(合成见上面的实施例2):
在室温下测量空圆柱形石英玻璃管(长度:3cm,直径:20cm;不含任何测试化合物)的总重量(质量)。然后在每种情况下将测试化合物(1.35g)装载到石英玻璃管中并在室温下测量填充有测试化合物的石英玻璃管的总重量(质量)。然后将填充有相应测试化合物的石英玻璃管抽空至压力为1毫巴(1hPa),加热至200℃的温度并保持30分钟。在冷却至室温之后,再次测量石英玻璃管(包括来自测试化合物或其碎片的残留物/残渣)的总重量(质量)。
计算装载测试化合物之后测得的石英玻璃管的重量(质量)(石英玻璃管加测试化合物的质量)与加热且抽空之后测得的石英玻璃管的重量(质量)(即裂解并除去测试化合物;石英玻璃管加来自测试化合物或其碎片的残留物/残渣的质量)之差并且在每种情况下在下表1中将结果表示为(i)加热且抽空之后残留的测试化合物质量和(ii)加热且抽空之后残留的测试化合物质量相对于前面装载于石英玻璃管中的测试化合物总质量的百分数(质量的差示测量)。
表1:来自玻璃管分解测试的测试结果
实施例4:水印测试
在实施例4中测试下列化合物(表面活性剂)(“测试化合物”):
式VII化合物(本发明);
用于对比的线性十二烷基苯磺酸盐和Lutensol T08(均非本发明)。
将4滴0.5g/L测试化合物在水中的清澈溶液滴于SiO2板的表面上。使该溶液干燥,然后加热至200℃并保持30分钟。
在冷却至室温之后,通过目检和照相发现线性十二烷基苯磺酸盐残余物(非本发明)和Lutensol T08残余物(非本发明)不能在没有来自SiO2板表面的可见残留物下蒸发,而是在加热之后在板表面上残留表面活性剂聚结物环。
相反,通过目检发现式VII化合物(本发明)可以在测试条件下在没有来自SiO2板表面的可见残留物下蒸发并且板表面在加热之后看起来没有可见残余物。
实施例5:接触角测试
在实施例5中测试下表2所示化合物(本发明式Ib的“可裂解表面活性剂”)(“测试化合物”)。
一滴纯水和硅晶圆的平坦固体表面之间的接触角根据静滴法用来自DataPhysics的装置OCA 200和Data Physics标准软件按照标准测试方法ASTM D7490-13测量。
将约10μl水由注射器从约0.5cm的距离滴到晶圆表面上。一旦水滴撞击表面,通过高速摄像机每秒拍摄高达200张相片。分析每一滴的轮廓并测定接触角,其(通常)为固体样品表面和水滴边缘处水滴形状的切线之间的角。作为时间的函数记录接触角至100秒并且在下表2中作为在10s之后测量的接触角提供。
在HF水溶液(1重量%水溶液)中清洁硅晶圆并用水漂洗,然后将晶圆在200℃下加热1小时。在所有情况下测得所得水在晶圆表面上的接触角为约120°(见表2:“处理前的值”)。
在每种情况下将测试溶液(0.5g/L相应测试化合物水溶液,见下表2)和纯水(对照)调节至6.2的pH值,施加于晶圆表面上并且在室温下干燥晶圆表面。然后在该测试溶液和水预处理之后在晶圆表面上测定水的接触角。发现在晶圆表面上已经施加测试溶液(并干燥)的位置处测得水的接触角在与在已经施加纯水的位置处测定的水的接触角值相比较时显示出降低的值(见表2:“处理值”)。
然后将硅晶圆加热至200℃并保持30分钟,再次冷却至室温以从其表面除去测试化合物。然后再次在如上所解释的位置测定水的接触角(见表2:“处理后的值”)。发现在热处理之后,在晶圆表面上已经施加测试溶液的位置处测得水的接触角几乎再次达到处理前的值。来自接触角测试的结果总结于下表2中:
表2:来自接触角测试的结果
实施例5的结果表明本发明的测试化合物(“可裂解表面活性剂”)可以与硅晶圆表面接触以处理或改性,尤其是清洁或漂洗接触表面并且-在通过热触发(加热至200℃的温度)裂解之后-可以再次通过蒸发除去或完全除去。

Claims (72)

1.式I的有机化合物或其盐在改性和/或处理半导体基材的至少一个表面中作为可裂解添加剂的用途:
A-L-B(I),
其中:
A是碳原子总数为4-20的直链或支化脂族烃基,所述烃基被1-4个醚基取代或者未被取代,
B是碳原子总数为1-6的直链或支化脂族烃基,所述烃基被1或2个独立地选自如下的离子性基团取代:阴离子基团,以及阳离子基团;以及L是尿烷基团,
其中式I化合物在所述改性或处理之后裂解成碎片组,以促进其从所述表面除去。
2.根据权利要求1的所述用途,其中A是碳原子总数为6-16的直链或支化脂族烃基。
3.根据权利要求1的所述用途,其中B是碳原子总数为1-4的直链或支化脂族烃基。
4.根据权利要求1的所述用途,其中所述阴离子基团为羧酸根、硫酸根、磺酸根或磷酸根。
5.根据权利要求1的所述用途,其中所述阳离子基团为未被取代或者被1-3个直链或支化C1-C4烷基取代的铵。
6.根据权利要求1的所述用途,其中:
-在式I化合物或其盐中,L经由共价键键合于A和B中的任一个上,和/或
-式I化合物或其盐具有的分子量不超过1500g/mol。
7.根据权利要求6的所述用途,其中式I化合物或其盐具有的分子量不超过1000g/mol。
8.根据权利要求1-7中任一项的所述用途,其中:
-所述可裂解添加剂为可裂解表面活性剂,和/或
-所述用途是处理半导体基材的至少一个表面。
9.根据权利要求8的所述用途,其中所述用途是清洁和/或漂洗半导体基材的至少一个表面。
10.根据权利要求1-7中任一项的所述用途,其中:
-所述式I化合物的裂解通过热触发诱发,和/或
-所述除去通过蒸发进行。
11.根据权利要求10的所述用途,其中所述式I化合物的裂解通过在100-400℃范围内的裂解温度下热触发诱发。
12.根据权利要求10的所述用途,其中所述式I化合物的裂解通过在125-300℃范围内的裂解温度下热触发诱发。
13.根据权利要求10的所述用途,其中所述式I化合物的裂解通过在150-250℃范围内的裂解温度下热触发诱发。
14.根据权利要求10的所述用途,其中所述除去通过在100-400℃范围内的温度下;或者在低于所述温度的温度下蒸发进行。
15.根据权利要求10的所述用途,其中所述除去通过在125-300℃范围内的温度下;或者在低于所述温度的温度下蒸发进行。
16.根据权利要求10的所述用途,其中所述除去通过在150-250℃范围内的温度下;或者在低于所述温度的温度下蒸发进行。
17.根据权利要求8的所述用途,其中:
-所述式I化合物的裂解通过热触发诱发,和/或
-所述除去通过蒸发进行。
18.根据权利要求1-7中任一项的所述用途,其中:
-所述半导体基材选自半导体晶圆、中间半导体晶圆和微电子器件,和/或
-所述改性选自低k介电材料的孔封闭、修补低k介电材料的薄膜、改变半导体基材的至少一个表面的ζ-电势、改变半导体基材的至少一个表面上的接触角、改变半导体基材的至少一个表面相对于式I化合物的吸附或粘附性能和抑制腐蚀;和/或
-所述处理选自清洁和漂洗。
19.根据权利要求1-7中任一项的所述用途,其中在式I化合物或其盐中,
A为一价基团R1-CH2-,其中:
R1是碳原子总数为4-20的直链或支化脂族烃基;以及B为式II的一价离子性基团:
其中:
R2是碳原子总数为1-6的直链或支化脂族烃基,以及
Y+是未被取代或者被1-3个直链或支化C1-C4烷基取代的单电荷铵阳离子。
20.根据权利要求19的所述用途,其中R1是碳原子总数为6-16的直链或支化脂族烃基。
21.根据权利要求19的所述用途,其中R2是碳原子总数为1-4的直链或支化脂族烃基。
22.根据权利要求19的所述用途,其中Y+是未被取代的铵。
23.根据权利要求8的所述用途,其中在式I化合物或其盐中,A为一价基团R1-CH2-,其中:
R1是碳原子总数为4-20的直链或支化脂族烃基;以及B为式II的一价离子性基团:
其中:
R2是碳原子总数为1-6的直链或支化脂族烃基,以及
Y+是未被取代或者被1-3个直链或支化C1-C4烷基取代的单电荷铵阳离子。
24.根据权利要求10的所述用途,其中在式I化合物或其盐中,A为一价基团R1-CH2-,其中:
R1是碳原子总数为4-20的直链或支化脂族烃基;以及B为式II的一价离子性基团:
其中:
R2是碳原子总数为1-6的直链或支化脂族烃基,以及
Y+是未被取代或者被1-3个直链或支化C1-C4烷基取代的单电荷铵阳离子。
25.根据权利要求18的所述用途,其中在式I化合物或其盐中,A为一价基团R1-CH2-,其中:
R1是碳原子总数为4-20的直链或支化脂族烃基;以及B为式II的一价离子性基团:
其中:
R2是碳原子总数为1-6的直链或支化脂族烃基,以及
Y+是未被取代或者被1-3个直链或支化C1-C4烷基取代的单电荷铵阳离子。
26.制造半导体基材的方法,包括下列步骤:
-制造或提供具有至少一个表面的半导体基材,
-使所述至少一个表面与式(I)的有机化合物或其盐接触:
A-L-B(I),
其中:
A是碳原子总数为4-20的直链或支化脂族烃基,所述烃基被1-4个醚基取代或者未被取代,
B是碳原子总数为1-6的直链或支化脂族烃基,所述烃基被1或2个独立地选自如下的离子性基团取代:阴离子基团,以及阳离子基团;以及
L是尿烷基团,
从而改性或处理所述表面,以及随后
-将所述表面上的所述有机化合物或其盐裂解成碎片组。
27.根据权利要求26的所述方法,其中所述半导体基材为起始半导体晶圆或中间半导体晶圆。
28.根据权利要求26的所述方法,其中A是碳原子总数为6-16的直链或支化脂族烃基。
29.根据权利要求26的所述方法,其中B是碳原子总数为1-4的直链或支化脂族烃基。
30.根据权利要求26的所述方法,其中所述阴离子基团为羧酸根、硫酸根、磺酸根或磷酸根。
31.根据权利要求26的所述方法,其中所述阳离子基团为未被取代或者被1-3个直链或支化C1-C4烷基取代的铵。
32.根据权利要求26的所述方法,其进一步包括如下步骤:
-随后从所述接触表面除去所述碎片组。
33.根据权利要求26的所述方法,其中:
-进行所述接触步骤以实现至少一种选自如下的效果:通过低k介电材料的孔封闭、修补低k介电材料的薄膜、改变中间半导体基材的至少一个表面的ζ-电势、改变中间半导体基材的至少一个表面上的接触角、改变中间半导体基材的至少一个表面相对于式I化合物的吸附或粘附性能和/或抑制腐蚀而改性;以及通过清洁和/或漂洗而处理;
和/或
-所述裂解步骤包括将所述表面上的所述式I化合物或其盐热裂解成碎片组。
34.根据权利要求33的所述方法,其中所述至少一种效果是清洁和/或漂洗,或包括清洁和/或漂洗。
35.根据权利要求33的所述方法,其中所述至少一种效果包括缺陷缩减漂洗、后灰化残渣除去漂洗、后蚀刻残渣除去漂洗和光刻胶残渣除去漂洗。
36.根据权利要求33的所述方法,其中所述裂解步骤包括将所述表面上的所述式I化合物或其盐在100-400℃范围内的裂解温度下且在裂解压力下热裂解成碎片组。
37.根据权利要求33的所述方法,其中所述裂解步骤包括将所述表面上的所述式I化合物或其盐在125-300℃范围内的裂解温度下且在裂解压力下热裂解成碎片组。
38.根据权利要求33的所述方法,其中所述裂解步骤包括将所述表面上的所述式I化合物或其盐在150-250℃范围内的裂解温度下且在裂解压力下热裂解成碎片组。
39.根据权利要求32的所述方法,其中所述从接触表面除去所述碎片组的所述步骤包括蒸发碎片。
40.根据权利要求39的所述方法,其中所述裂解步骤包括将所述表面上的所述式I化合物或其盐在100-400℃范围内的裂解温度下且在裂解压力下热裂解成碎片组。
41.根据权利要求40的所述方法,其中所述从接触表面除去所述碎片组的所述步骤包括在如下条件下蒸发碎片:
○在100-400℃范围内的所述裂解温度下;或者在低于所述裂解温度的温度下,和/或
○在所述裂解压力下或在低于所述裂解压力的压力下。
42.根据权利要求39的所述方法,其中所述裂解步骤包括将所述表面上的所述式I化合物或其盐在150-250℃范围内的裂解温度下且在裂解压力下热裂解成碎片组。
43.根据权利要求42的所述方法,其中所述从接触表面除去所述碎片组的所述步骤包括在如下条件下蒸发碎片:
○在150-250℃范围内的所述裂解温度下;或者在低于所述裂解温度的温度下,和/或
○在所述裂解压力下或在低于所述裂解压力的压力下。
44.根据权利要求26的所述方法,其中式I化合物或其盐具有的分子量不超过1500g/mol。
45.根据权利要求44的所述方法,其中式I化合物或其盐具有的分子量不超过1000g/mol。
46.根据权利要求33的所述方法,其中式I化合物或其盐具有的分子量不超过1500g/mol。
47.清洁和/或漂洗半导体基材的方法,包括下列步骤:
-制造或提供具有至少一个表面且在至少一个其表面上具有一种或多种材料的半导体基材,
-使半导体基材的至少一个表面上的所述一种或多种材料与式I的有机化合物或其盐接触:
A-L-B(I),
其中:
A是碳原子总数为4-20的直链或支化脂族烃基,所述烃基被1-4个醚基取代或者未被取代,
B是碳原子总数为1-6的直链或支化脂族烃基,所述烃基被1或2个独立地选自如下的离子性基团取代:阴离子基团,以及阳离子基团,以及
L是尿烷基团,
-从所述至少一个表面与所述材料中的一种或多种一起除去一定量的所述式I化合物或其盐,从而得到清洁或漂洗过的半导体基材,在其一个或多个表面上附着残留量的所述式I化合物或其盐,
-通过在裂解压力下加热至裂解温度而至少将所述表面上的一部分或全部所述残留量的所述式I化合物或其盐裂解成碎片组,各碎片的沸点在施加的裂解压力下低于所述裂解温度,以及
-通过蒸发从接触表面除去所述碎片组。
48.根据权利要求47的所述方法,其中A是碳原子总数为6-16的直链或支化脂族烃基。
49.根据权利要求47的所述方法,其中B是碳原子总数为1-4的直链或支化脂族烃基。
50.根据权利要求47的所述方法,其中所述阴离子基团为羧酸根、硫酸根、磺酸根或磷酸根。
51.根据权利要求47的所述方法,其中所述阳离子基团为未被取代或者被1-3个直链或支化C1-C4烷基取代的铵。
52.根据权利要求47的所述方法,其中通过蒸发从接触表面完全除去所述碎片组。
53.根据权利要求47的所述方法,其中所述方法为根据权利要求26的所述方法。
54.根据权利要求47的所述方法,其中除去所述碎片组的步骤包括蒸发所述碎片:
-在所述裂解温度下;或者在低于所述裂解温度的温度下,和/或-在所述裂解压力或在低于所述裂解压力的压力下。
55.根据权利要求54的所述方法,其中所述裂解温度在100-400℃的范围内。
56.根据权利要求54的所述方法,其中所述裂解温度在125-300℃的范围内。
57.根据权利要求54的所述方法,其中所述裂解温度在150-250℃的范围内。
58.根据权利要求47-57中任一项的所述方法,其中在所述式I化合物或其盐中,
A为一价基团R1-CH2-,其中:
R1是碳原子总数为4-20的直链或支化脂族烃基;以及B为式II的一价离子性基团:
其中:
R2是碳原子总数为1-6的直链或支化脂族烃基,以及
Y+是未被取代或者被1-3个C1-C4烷基取代的单电荷铵阳离子。
59.根据权利要求58的所述方法,其中R1是碳原子总数为6-16的直链或支化脂族烃基。
60.根据权利要求58的所述方法,其中R2是碳原子总数为1-4的直链或支化脂族烃基。
61.根据权利要求58的所述方法,其中Y+是未被取代的铵。
62.式Ib化合物:
其中:
R1是碳原子总数为4-20的直链或支化脂族烃基,
R2是碳原子总数为1-6的直链或支化脂族烃基,以及Y+是未被取代或者被1-3个C1-C4烷基取代的单电荷铵阳离子。
63.根据权利要求62的所述式Ib化合物,其中R1是碳原子总数为6-16的直链或支化脂族烃基。
64.根据权利要求62的所述式Ib化合物,其中R2是碳原子总数为1-4的直链或支化脂族烃基。
65.根据权利要求62的所述式Ib化合物,其中R2不含手性中心。
66.根据权利要求62的所述式Ib化合物,其中Y+是未被取代的铵。
67.根据权利要求62的所述式Ib化合物,其中:
R1是碳原子总数为7-14的直链或支化脂族烃基,
R2是碳原子总数为1-2的直链或支化脂族烃基,以及Y+为铵。
68.根据权利要求67的所述式Ib化合物,其中R1是碳原子总数为7-12的直链或支化脂族烃基。
69.根据权利要求67的所述式Ib化合物,其中R1与它所键合的亚甲基一起为选自2-乙基己基、2-丙基庚基、正十二烷基、2,5,7,7-四甲基辛基、异癸基、异十三烷基和异十五烷基的脂族烃基。
70.根据权利要求67的所述式Ib化合物,其中R2不含手性中心。
71.根据权利要求67的所述式Ib化合物,其中R2是-CH2-。
72.包含如权利要求1-25中任一项的所述式I化合物或其盐和/或如权利要求62-70中任一项的所述式Ib化合物或其盐的组合物在改性或处理半导体基材的至少一个表面中的用途。
CN201980023418.5A 2018-04-04 2019-04-02 在制造半导体基材的方法中使用的可裂解添加剂 Active CN111937121B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP18165603.4 2018-04-04
EP18165603 2018-04-04
PCT/EP2019/058247 WO2019192990A1 (en) 2018-04-04 2019-04-02 Cleavable additives for use in a method of making a semiconductor substrate

Publications (2)

Publication Number Publication Date
CN111937121A CN111937121A (zh) 2020-11-13
CN111937121B true CN111937121B (zh) 2023-12-08

Family

ID=61911392

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980023418.5A Active CN111937121B (zh) 2018-04-04 2019-04-02 在制造半导体基材的方法中使用的可裂解添加剂

Country Status (6)

Country Link
US (1) US11742197B2 (zh)
EP (1) EP3776635B1 (zh)
KR (1) KR20200139192A (zh)
CN (1) CN111937121B (zh)
TW (1) TWI823922B (zh)
WO (1) WO2019192990A1 (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1382046A (zh) * 1999-11-09 2002-11-27 爱尔康公司 15-羟基二十碳四烯酸的插入杂原子的类似物和使用方法
CN104871289A (zh) * 2012-12-14 2015-08-26 巴斯夫欧洲公司 包含表面活性剂和疏水化剂的组合物在处理线间距尺寸为50nm或更低的图案化材料时避免抗图案崩塌的用途

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2172282C (en) 1993-09-20 2011-05-31 Jeffrey R. Mazzeo Chiral surfactants and methods for their use in chiral separations
US5868821A (en) 1996-01-31 1999-02-09 Richo Company, Ltd. Thermally reversible color forming composition and thermally reversible recording medium using the thermally reversible color forming composition
EP1404643A4 (en) 2001-05-29 2008-05-07 Univ Vanderbilt CLEAR SURFACTANTS AND METHODS OF USE THEREOF
US7022861B1 (en) 2004-06-10 2006-04-04 Sandia National Laboratories Thermally cleavable surfactants
US7749881B2 (en) 2005-05-18 2010-07-06 Intermolecular, Inc. Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US8575021B2 (en) * 2004-11-22 2013-11-05 Intermolecular, Inc. Substrate processing including a masking layer
WO2009048611A2 (en) 2007-10-11 2009-04-16 Promega Corporation Cleavable surfactants

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1382046A (zh) * 1999-11-09 2002-11-27 爱尔康公司 15-羟基二十碳四烯酸的插入杂原子的类似物和使用方法
CN104871289A (zh) * 2012-12-14 2015-08-26 巴斯夫欧洲公司 包含表面活性剂和疏水化剂的组合物在处理线间距尺寸为50nm或更低的图案化材料时避免抗图案崩塌的用途

Also Published As

Publication number Publication date
CN111937121A (zh) 2020-11-13
TW201942106A (zh) 2019-11-01
TWI823922B (zh) 2023-12-01
US20210166934A1 (en) 2021-06-03
US11742197B2 (en) 2023-08-29
EP3776635B1 (en) 2022-12-07
KR20200139192A (ko) 2020-12-11
WO2019192990A1 (en) 2019-10-10
EP3776635A1 (en) 2021-02-17

Similar Documents

Publication Publication Date Title
US11332376B2 (en) Diamond-like carbon film
TWI659088B (zh) 蝕刻組成物
US6276372B1 (en) Process using hydroxylamine-gallic acid composition
TWI648396B (zh) 用來移除表面殘餘物的清洗調配物
US20100294306A1 (en) Method and solution for cleaning semiconductor device substrate
EP1775337A1 (en) Aqueous cleaning composition for removing residues and method using same
US6399551B1 (en) Alkanolamine semiconductor process residue removal process
EP2219882A1 (en) Compositions for removal of metal hard mask etching residues from a semiconductor substrate
TW201840840A (zh) 用來移除在半導體基材上的殘餘物之清潔組成物
CN107078043B (zh) 抑制了包含钽的材料的损伤的半导体元件的清洗液、及使用其的清洗方法
CN108473918B (zh) 用于化学机械抛光后清洁的组合物
CN113412324A (zh) 蚀刻组合物
KR102602860B1 (ko) 절연막 식각액 조성물 및 이를 이용한 패턴 형성 방법
EP3973565B1 (en) Composition and process for selectively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten
US7977121B2 (en) Method and composition for restoring dielectric properties of porous dielectric materials
US11377624B2 (en) Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
CN111937121B (zh) 在制造半导体基材的方法中使用的可裂解添加剂
US20230221641A1 (en) Hardmask composition, hardmask layer, and method of forming patterns
JP4028904B2 (ja) ヒドロキシルアミン−没食子化合物の組成物及びその使用方法
US20190048292A1 (en) Processing Composition of Improved Metal Interconnect Protection and The Use Thereof
US20230274930A1 (en) Composition Comprising a Siloxane and an Alkane for Avoiding Pattern Collapse When Treating Patterned Materials with Line-Space Dimensions of 50 NM or Below
CN116042331A (zh) 一种清洗液的应用
CN115895792A (zh) 一种清洗液及试剂盒
JP2000194144A (ja) フォトレジストアッシング残滓洗浄剤

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant