KR20120104222A - 소형 플라즈마 챔버 시스템들 및 방법들 - Google Patents

소형 플라즈마 챔버 시스템들 및 방법들 Download PDF

Info

Publication number
KR20120104222A
KR20120104222A KR1020127014385A KR20127014385A KR20120104222A KR 20120104222 A KR20120104222 A KR 20120104222A KR 1020127014385 A KR1020127014385 A KR 1020127014385A KR 20127014385 A KR20127014385 A KR 20127014385A KR 20120104222 A KR20120104222 A KR 20120104222A
Authority
KR
South Korea
Prior art keywords
plasma
microchamber
substrate
substrate support
processing
Prior art date
Application number
KR1020127014385A
Other languages
English (en)
Other versions
KR101800037B1 (ko
Inventor
리차드 고트쇼
라진더 딘드사
무쿤드 스리니바산
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20120104222A publication Critical patent/KR20120104222A/ko
Application granted granted Critical
Publication of KR101800037B1 publication Critical patent/KR101800037B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32376Scanning across large workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

데이터 센터의 엘리먼트의 비표준 동작을 시뮬레이션하기 위한 컴퓨터 구현 방법 및 데이터 센터 관리 어플라이언스가 제공된다. 상기 방법은 데이터 센터 엘리먼트에 의해 영향을 받아 하나의 데이터 센터 자원을 결정하고, 데이터 센터 자원과 데이터 센터 엘리먼트를 기초로 복수의 시뮬레이터들 중 하나의 시뮬레이터를 선택하고, 그 시뮬레이터를 사용하여 데이터 센터 엘리먼트의 비표준 동작의 충격 분석을 생성하는 행위들을 포함한다. 데이터 센터 관리 어플라이언스는 네트워크 인터페이스, 메모리, 그리고 상기 네트워크 인터페이스와 메모리에 결합된 컨트롤러를 포함한다. 상기 컨트롤러는 데이터 센터 엘리먼트에 의해 영향을 받아 데이터 센터 자원을 결정하고, 데이터 센터 자원과 데이터 센터 엘리먼트를 기초로 복수의 시뮬레이터들 중 하나의 시뮬레이터를 선택하고, 제 1 시뮬레이터를 사용하여 데이터 센터 엘리먼트의 비표준 동작의 충격 분석을 생성하도록 구성되어 있다.

Description

소형 플라즈마 챔버 시스템들 및 방법들 {SMALL PLASMA CHAMBER SYSTEMS AND METHODS}
본 발명은 일반적으로 기판의 플라즈마 프로세싱에 관한 것이며, 특히, 소형 플라즈마 프로세싱 챔버를 이용한 기판 표면의 일부의 플라즈마 프로세싱을 위한 방법들과 시스템들에 관한 것이다.
도 1 은 일반적인 플라즈마 프로세싱 챔버 (100) 이다. 일반적인 플라즈마 프로세싱 챔버 (100) 는 프로세싱될 전체 기판 (102) 을 둘러싸고 있다. 기판 (102) 은 프로세싱 챔버 (100) 내에 로딩된다. 그런 다음, 프로세싱 챔버 (100) 는 원하지 않는 가스들을 유출 (outlet) (112) 을 통해 배기시키기 위해 실링 (sealing) 되고, 퍼지 (purged) 된다. 펌프 (114) 는 원하지 않는 가스들을 배기시키는 것을 지원한다. 퍼지 가스들 또는 프로세싱 가스들은 입력 포트 (122) 에 결합된 프로세싱 및/또는 퍼징 가스 소스 (120) 로부터 프로세싱 챔버 (100) 로 펌핑될 수도 있다. 퍼지 가스들 또는 프로세싱 가스들은 원하지 않는 가스들을 희석하거나 아니면 제거하기 위해 프로세싱 챔버 (100) 로부터 펌핑될 수도 있다.
기판 (102) 은 일반적으로 정전 척 (electrostatic chuck) (104) 을 통하여 전기적으로 연결된다. 플라즈마 신호 소스 (108B) 는 일반적으로 정전 척 (104) 을 통하여 기판 (102) 에 결합된다. 플라즈마 신호 소스 (108A) 는 프로세싱 챔버내의 에미터 (106) 에 결합된다.
그런 다음, 원하는 압력들과 유량 (flowrate) 들에서의 원하는 가스(들)는 프로세싱 챔버 (100) 에 입력된다. 플라즈마 (110) 는, 신호 소스 (108) 로부터 원하는 주파수와 전위에서 프로세싱 신호 (예를 들면, RF) 를 출력하고, 방출된 에너지를 프로세싱 챔버 (100) 내의 가스들로 전달함으로써 개시된다. 플라즈마에 의해 생성된 이온들 (110A) 은 기판 (102) 의 전체 표면에 직접적으로 영향을 준다. 플라즈마 (110) 는 또한 적어도 부분적으로 기판 (102) 에 의해 흡수되는 열을 생성한다. 또한, 정전 척 (104) 은 기판 (102) 을 냉각시킬 수 있다.
전체 기판이 프로세싱 챔버 내에서 일시에 프로세싱되도록 일반적으로 플라즈마 프로세싱 챔버 (100) 는 프로세싱될 기판 (100) 보다 크다. 일반적인 플라즈마 프로세싱 챔버 (100) 의 크기가 증가하면, 프로세싱 챔버 (100) 를 퍼지하기 위해 요구되는 퍼징 가스와 시간의 양이 증가한다. 그 결과, 대형의 프로세싱 챔버 (100) 는 기판 (102) 이 프로세싱되기 전과 후에 증가된 퍼징 시간을 가진다.
일반적인 프로세싱 챔버 (100) 의 수율 (throughput) 은 기판 로딩 시간, 프리프로세싱 (preprocessing) 퍼징 시간, 기판 프로세싱 시간, 포스트프로세싱 (post-processing) 퍼징 시간, 그리고 언로딩 시간의 총합에 의해 실질적으로 결정된다. 그러므로, 대형 프로세싱 챔버 (100) 의 증가된 퍼징 시간은 기판 (102) 의 크기가 증가할수록 수율은 감소시킨다.
기판 (102) 의 전체 표면은 일반적인 프로세싱 챔버 (100) 내에서 일시에 프로세싱 (예를 들면, 플라즈마 (110) 에 노출) 된다. 플라즈마 (110) 는 기판 (102) 의 전체 표면이 일시에 실질적으로 균일하게 노출될 수 있도록 충분히 커야 한다. 기판 (102) 의 크기가 증가하면, 플라즈마 (110) 를 생성하기 위해 요구되는 에너지의 양은 기판의 표면 면적의 대략 제곱으로 증가한다. 그 결과, 대형 기판들 (102) 을 위한 에너지 요구들이 증가하고 수율은 감소한다.
전술한 내용의 측면에서, 수율을 희생시키지 않고 더 큰 기판들에 맞추어 조정가능한 개선된 플라즈마 프로세싱 시스템들과 방법들에 대한 요구가 있다.
전반적으로 말해서, 본 발명은 수율을 희생시키지 않고 더 큰 기판들에 맞추어 조정가능한 개선된 플라즈마 프로세싱 시스템들과 방법들을 제공함으로써 이러한 요구들을 충족시킨다. 본 발명은 프로세스, 장치, 시스템, 컴퓨터 판독 가능 매체, 또는 디바이스를 포함한 수많은 방법으로 구현될 수 있다는 것이 인식되어야 한다. 본 발명의 다른 측면들과 이점들은 본 발명의 원리들에 대한 예로서 도시된 첨부한 도면과 함께 제시된 아래의 상세한 설명으로부터 명확해질 것이다.
일 실시형태는 기판 표면 영역을 가진 기판을 지지하는 기판 지지부, 상기 기판 지지부로 방향이 부여된 개방측을 가지는 플라즈마 마이크로챔버를 포함하는 프로세싱 헤드로서, 상기 플라즈마 마이크로챔버의 상기 개방측은 기판 표면 영역보다 작은 프로세스 영역을 가지는 상기 프로세싱 헤드, 상기 기판 지지부와 상기 프로세싱 헤드 사이에 정의된 실링 구조, 및 상기 플라즈마 마이크로챔버와 상기 기판 지지부에 연결된 전원 공급부를 포함하는, 플라즈마 에치 프로세싱 툴 (plasma etch processing tool) 을 제공한다.
전원 공급부는 플라즈마 마이크로챔버내의 체적 (volume) 에 비례하는 셋팅 (setting) 을 가질 수 있다. 전원 공급부는 플라즈마 마이크로챔버에 결합된 제 1 전원 공급부와 기판 지지부에 결합된 제 2 전원 공급부를 포함할 수 있다.
기판 지지부는 척 (chuck) 일 수 있다. 척은 기판의 면적보다 작거나 기판의 면적과 동일한 척킹 영역을 가질 수 있다.
플라즈마 마이크로챔버는 기판에 대하여 이동할 수 있다. 기판 지지부의 일부만이 바이어스 (biased) 될 수도 있으며, 상기 기판 지지부의 바이어스된 부분은 플라즈마 마이크로챔버와 실질적으로 나란하게 정렬될 수 있다. 기판 지지부의 바이어스된 부분은 이동가능한 플라즈마 마이크로챔버와 실질적으로 나란한 정렬을 유지하도록 이동가능할 수 있다.
플라즈마 마이크로챔버는 마이크로챔버 체적을 가질 수 있으며 상기 마이크로챔버 체적은 플라즈마를 포함한다.
플라즈마 에치 프로세싱 툴은 또한 플라즈마 마이크로챔버에 결합된 프로세스 재료 소스와, 플라즈마 마이크로챔버에 결합된 진공 소스를 포함할 수 있다. 진공 소스는 조정가능한 진공 소스를 가질 수 있다.
플라즈마 에치 프로세싱 툴은 또한 실링 구조를 포함할 수 있다. 실링 구조는 실링 링(ring) 을 포함할 수 있다. 실링 구조는 마이크로챔버의 둘레에 외부 챔버를 포함할 수 있다.
플라즈마 마이크로챔버는 기판에 대하여 이동할 수 있으며, 기판 지지부에 연결된 액추에이터 (actuator) 가 또한 포함될 수 있다. 액추에이터는 기판 지지부 위에 위치되면, 기판 표면의 선택된 영역을 노출하기 위해 기판 지지부를 이동하도록 구성될 수 있다. 액추에이터는 회전 방향, 각 (angular) 방향, 선형 방향, 비-선형 방향 또는 피봇팅 (pivoting) 방향 중 하나 이상의 방향으로 이동하도록 구성될 수 있다.
플라즈마 마이크로챔버는 기판에 대하여 이동할 수 있으며, 액추에이터는 플라즈마 마이크로챔버에 연결될 수 있고, 상기 액추에이터는 기판 지지부 위에 위치되면, 기판 표면의 선택된 영역을 노출하기 위해 플라즈마 마이크로챔버를 이동하도록 구성될 수 있다. 액추에이터는 회전 방향, 각 방향, 선형 방향, 비-선형 방향 또는 피봇팅 방향 중 하나 이상의 방향으로 이동하도록 구성될 수 있다.
기판 지지부는 기판을 회전시키도록 구성될 수 있다. 기판 지지부는 에지 링 (edge ring) 을 포함할 수 있다. 에지 링의 적어도 일부는 바이어스될 수 있다. 에지 링의 적어도 일부는 교체가능할 수 있다. 에지 링의 적어도 일부는 플라즈마 마이크로챔버내의 플라즈마와 반응할 수 있다. 에지 링은 기판 지지부상에 존재하면 기판의 에지의 적어도 일부에 인접할 수 있다. 에지 링은, 기판 지지부상에 존재하면 기판의 에지의 커브 (curved) 부분에 인접할 수 있다.
마이크로챔버는 다수의 유입 포트들 (inlet ports) 과 유출 포트들 (outlet ports) 을 포함할 수 있다. 유입 포트들의 적어도 하나가 다수의 프로세스 재료 소스들 중 하나에 결합된다. 유입 포트들 중 적어도 하나가 퍼지 재료 소스에 결합될 수 있다. 유출 포트들 중 적어도 하나가 진공 소스에 결합될 수 있다.
플라즈마 에치 프로세싱 툴은 적어도 하나의 모니터링 기구 (monitoring instrument) 를 포함할 수 있다. 모니터링 기구는 플라즈마 마이크로챔버로부터의 부산물 출력을 모니터링할 수 있다. 모니터링 기구는 플라즈마 마이크로챔버로부터 방사된 빛의 스펙트럼을 모니터링할 수 있다. 모니터링 기구는 컨트롤러에 결합될 수 있다. 모니터링 기구는 기판의 표면을 모니터링할 수 있다.
플라즈마 마이크로챔버의 내부 체적은 플라즈마 마이크로챔버의 길이를 따라서 일정한 폭을 가질 수 있다. 플라즈마 마이크로챔버의 내부 체적은 플라즈마 마이크로챔버의 길이를 따라 변화하는 폭을 가질 수 있다. 플라즈마 마이크로챔버의 내부 체적은 플라즈마 마이크로챔버의 길이를 따라 일정한 깊이를 가질 수 있다. 플라즈마 마이크로챔버의 내부 체적은 플라즈마 마이크로챔버의 길이를 따라 변화하는 깊이를 가질 수 있다. 플라즈마 마이크로챔버의 내부 체적은 플라즈마 마이크로챔버의 길이를 따라 조정가능한 깊이를 가질 수 있다.
플라즈마 에치 프로세싱 툴은 다수의 플라즈마 마이크로챔버들을 포함할 수 있다. 다수의 플라즈마 마이크로챔버들은 선형 배열을 가질 수 있다. 다수의 플라즈마 마이크로챔버들은 회전 배열을 가질 수 있다.
또 다른 실시형태는 플라즈마 마이크로챔버내에 플라즈마를 형성하는 단계를 포함하는 플라즈마 에칭을 수행하는 방법을 제공한다. 마이크로챔버는 기판 표면 영역을 가진 기판을 지지하는 기판 지지부, 상기 기판 지지부로 방향이 부여된 개방측을 가지는 플라즈마 마이크로챔버를 포함하는 프로세싱 헤드로서, 상기 플라즈마 마이크로챔버의 개방측은 기판 표면 영역보다 작은 프로세스 영역을 가지는 상기 프로세싱 헤드, 상기 기판 지지부와 상기 프로세싱 헤드 사이에 정의된 실링 (sealing) 구조; 및 상기 플라즈마 마이크로챔버와 상기 기판 지지부에 연결된 전원 공급부를 포함한다. 플라즈마 마이크로챔버는, 기판 지지부에 배치되면, 기판의 다수의 표면들 중 선택된 하나가 플라즈마에 노출될 때까지 기판의 표면에 대하여 이동한다.
상기 방법은 또한 플라즈마 마이크로챔버로부터 다수의 플라즈마 부산물들을 인출하는 단계를 포함할 수 있다. 플라즈마 부산물들은 플라즈마 마이크로챔버의 상단 부분 근처에서 플라즈마 마이크로챔버로부터 인출된다.
본 발명의 다른 측면들과 이점들은 본 발명의 원리들에 대한 예로서 도시된 첨부한 도면과 함께 제시된 아래의 상세한 설명으로부터 명확해질 것이다.
본 발명은 첨부한 도면과 함께 아래의 상세한 설명에 의해 쉽게 이해될 것이다.
도 1 은 일반적인 플라즈마 마이크로챔버이다.
도 2a 내지 도 2c 는 본 발명의 실시형태들에 따라 프로세싱되는 표면의 전체 표면의 선택된 부분들을 프로세싱하는 플라즈마 프로세싱 시스템의 실시형태들을 도시한다.
도 2d 는 본 발명의 실시형태들에 따라, 마이크로챔버내에서 플라즈마를 형성함에 있어서 수행되는 방법 동작들을 도시한 플로우차트이다.
도 3a 내지 도 3f 는 본 발명의 실시형태들에 따른, 마이크로챔버들의 상세 횡단면도들을 도시한다.
도 3g 는 본 발명의 실시형태들에 따른, 마이크로챔버의 평면도이다.
도 3h 는 본 발명의 실시형태들에 따른, 마이크로챔버의 평면도이다.
도 3i 는 본 발명의 실시형태들에 따른, 마이크로챔버의 평면도이다.
도 3j 는 본 발명의 실시형태들에 따른, 마이크로챔버의 평면도이다.
도 3k 는 본 발명의 실시형태들에 따른, 마이크로챔버의 평면도이다.
도 3l 은 본 발명의 실시형태들에 따른, 마이크로챔버의 평면도이다.
도 3m 은 본 발명의 실시형태들에 따른, 마이크로챔버의 평면도이다.
도 3n 내지 도 3p 는 본 발명의 실시형태들에 따른, 마이크로챔버들의 각각의 길이방향 횡단면도들이다.
도 4a 내지 도 4c 는 본 발명의 실시형태들에 따른, 다수의 마이크로챔버들을 가진 단일 프로세싱 헤드를 도시한다.
도 4d 는 본 발명의 실시형태들에 따른, 다수의 마이크로챔버들을 가진 단일 프로세싱 헤드를 도시한다.
도 5 는 본 발명의 실시형태들에 따라, 다수의 프로세싱 챔버들을 가진 프로세싱 헤드로 기판의 표면을 프로세싱함에 있어서 수행되는 방법 동작들을 도시한 플로우차트이다.
도 6a 및 도 6b 는 본 발명의 실시형태들에 따른, 다중 스테이션 프로세스 툴들의 간단한 개략도를 도시한다.
도 7 은 본 발명의 실시형태들에 따른, 프로세스 툴의 간단한 개략도를 도시한다.
도 8 은 본 발명의 실시형태들에 따라, 다중 프로세싱 헤드 프로세스 툴로 기판들을 프로세싱함에 있어서 수행되는 방법 동작들을 도시한 플로우차트이다.
도 9a 는 본 발명의 실시형태들에 따른, 제조 시스템에서의 다중 프로세싱 헤드 프로세스 툴들을 도시한다.
도 9b 는 본 발명의 실시형태들에 따른, 제조 시스템에서의 다중 프로세싱 헤드 프로세스 툴들을 도시한다.
도 10 은 본 발명의 실시형태들에 따른, 프로세싱을 수행하기 위한 예시적인 컴퓨터 시스템의 블록도이다.
도 11a 는 본 발명의 실시형태들에 따른, 프로세싱 헤드의 개략도를 도시한다.
도 11b 는 본 발명의 실시형태들에 따른, 프로세싱 헤드의 개략도를 도시한다.
도 11c 는 본 발명의 일 실시형태에 따라, 마이크로챔버 (202A) 내에 플라즈마를 형성하고 마이크로챔버를 이동하고 다이나믹 (dynamic) 척의 대응 부분들을 바이어싱함에 있어 수행되는 방법 동작들을 도시한 플로우차트이다.
도 11d 는 본 발명의 실시형태들에 따른, 프로세싱 헤드의 개략도를 도시한다.
도 12a 내지 도 12c 는 본 발명의 실시형태들에 따른, 플라즈마 마이크로챔버들이다.
도 12d 는 본 발명의 실시형태들에 따른, 선형 다중 (multiple) 마이크로챔버 시스템의 평면도이다.
도 12e 는 본 발명의 실시형태들에 따른, 선형 다중 마이크로챔버 시스템의 측면도이다.
도 12f 는 본 발명의 실시형태들에 따라, 기판들을 클리닝 라인 (cleaning line) 으로 공급하는 2 개의 선형 다중 마이크로챔버 시스템들을 포함하는 시스템의 평면도이다.
도 12g 는 본 발명의 실시형태들에 따라, 2 개의 부채꼴의 다중 마이크로챔버들을 가지는 시스템의 평면도이다.
도 12h 는 본 발명의 실시형태들에 따른, 다양한 플라즈마 소스들의 그래프이다.
도 12i 는 본 발명의 실시형태들에 따른, 다양한 타입들의 플라즈마의 플라즈마 밀도들의 그래프이다.
이하, 수율을 희생시키지 않고 더 큰 기판들에 맞추어 조정가능한 개선된 플라즈마 프로세싱 시스템들과 방법들에 대한 몇 개의 예시적인 실시형태들이 설명될 것이다. 여기에 제시된 특정 세부사항들의 일부 또는 전부가 없어도 본 발명이 실행될 수 있음은 기술 분야의 숙련된 당업자에게 명백할 것이다.
I. 전체 표면 미만의 에치 프로세싱
현재의 반도체 프로세싱은 대부분 200nm 내지 300nm 의 반도체 기판들 및 상이한 형상들과 사이즈들의 평판 기판들에 집중되어 있다. 수율에 대한 필요성이 증가하면서, 예를 들어 450nm 이상인 반도체 기판들의 차세대와 같이, 미래의 반도체 웨이퍼들과 기판들은 더욱 커질 것이다. 일반적인 플라즈마 프로세싱에서, 플라즈마 챔버 체적은 플라즈마 챔버내에서 프로세싱될 웨이퍼의 직경보다 훨씬 빨리 증가한다. 플라즈마 챔버의 체적이 증가하면, 플라즈마 챔버를 구축하는 재료비 또한 증가한다. 또한, 플라즈마 챔버의 체적이 증가하면, 챔버 전체에 걸쳐서 플라즈마를 제어하고 일정하게 유지하기가 더욱 어려워진다. 게다가, 체적이 증가하면, 플라즈마를 생성하기 위한 에너지 요구량들이 또한 증가하여, 에너지 비용들은 증가하지만 일정성은 떨어지는 결과를 가져온다. 플라즈마 챔버의 체적이 감소되면 플라즈마 챔버를 제조하기 위해 요구되는 재료들이 감소되고, 또한, 일정성이 증가하고 에너지 요구량들은 감소된다. 소형의 플라즈마 챔버, 예를 들면, 마이크로챔버는 플라즈마에 노출될 좀 더 큰 표면 영역 및 좀더 작은 영역 표면들로 조정하기가 더 용이하다. 프로세싱되거나 플라즈마에 노출될 반도체 기판은 예를 들면 반도체 기판, 임의의 형상 또는 사이즈를 가진 평판 기판의 임의의 표면일 수 있다는 것이 이해되어야 한다.
도 2a 내지 도 2c 는 본 발명의 실시형태들에 따라 프로세싱되는 표면의 전체 표면의 선택된 부분들을 프로세싱하는 플라즈마 프로세싱 시스템의 실시형태들을 도시한다. 시스템 (204A) 의 일부의 측면도를 도시한 도 2a에서, 마이크로챔버 (202A) 는 내부 체적 (231) 을 가진 하우징 (230) 에 의해 형성된다. 내부 체적 (231) 은 챔버 인서트 (230) 와 3 개의 면이 접하고 있다. 내부 체적 (231) 의 제 4 면은 프로세싱되는 표면의 일부에 의해서 형성되는데, 이 경우에는, 반도체 기판 (102A) 의 표면의 일부 (102A') 에 의해 형성된다.
기판 (102A) 은 척 (201A) 상에서 지지된다. 척 (201A) 은 기판 (102A) 의 폭과 동일하거나 기판 (102A) 의 폭보다 약간 작거나 약간 큰 폭을 가질 수 있다. 척 (201A) 은 기판 (102A) 의 표면의 프로세싱을 위해 원하는 대로 가열되거나 냉각될 수 있다. 예를 들면, 가열 또는 냉각을 위한 온도 제어 시스템 (234) 이 척 (210) 에 결합된다. 척 (201A) 은 또한 바이어싱 소스 (232B) 에 결합될 수 있다. 척 (201A) 은 또한 기판 (102A) 을 다양한 방향으로 이동시키기위해 이동가능할 수 있다. 예를 들면, 척 (201A) 은 기판 (102A) 을 회전시킬 수 있다. 대안적으로 또는 추가적으로, 척 (201A) 은 기판 (102A) 을 마이크로챔버 (202A) 에 대하여 측방향으로 이동시킬 수 있고, 척은 마이크로챔버에 가까이 또는 마이크로챔버로부터 떨어진 곳으로 기판을 이동할 수 있다.
마이크로챔버 (202A) 는 프로세스 재료 소스들 또는 퍼지 및 진공 소스들 (220A 내지 220D) 에 결합된 다수의 유입 및 유출 포트들 (216A 내지 216D) 을 가진다. 프로세스 재료들 또는 퍼지 (purge) 는 유입 및 유출 포트들 (216A 내지 216D 및 216A') 중 적어도 하나를 통하여 마이크로챔버 (202A) 에 전달된다. 플라즈마 프로세싱이 마이크로챔버 (202A) 내에서 수행되므로, 플라즈마 부산물들은 유입 및 유출 포트들 (216A 내지 216D 및 216A') 중 적어도 하나를 통하여 마이크로챔버로부터 인출된다.
내부 챔버 표면들의 물리적 제한과 마이크로챔버 내의 가스들의 흐름 (flow) 에 의해 플라즈마는 마이크로챔버 (202A) 내에 수용된다. 마이크로챔버 (202A) 는 프로세싱되는 표면의 둘레 주위에서 씰 (seal) (212) 에 의해 실링된다.
마이크로챔버 (202A) 는 프로세싱되는 기판 (102A) 의 표면에 대하여 이동가능하다. 마이크로챔버 (202A) 는 이동가능하거나 고정적이며, 프로세싱되는 기판 (102A) 의 표면은 이동가능하거나 고정적이다.
도 2a 에 도시한 바와 같이, 기판 (102A) 은 폭 (L1) 을 가지며, 커버 (210) 는, 마이크로챔버가 기판의 전체 표면 위에서 통과할 수 있고 씰들 (212) 사이에서 유지될 수 있도록 기판 및/또는 마이크로챔버 (202A) 가 서로 상대적으로 이동할 수 있을 만큼 충분히 넓거나 긴 폭 (L2) 을 가진다. 이러한 방식으로, 공간 (214) 내의 환경은 포트들 (216A 내지 216D 및 216A') 을 통하여 제공된 프로세스 재료들 및/또는 진공 또는 가스 흐름들에 의해 제어된다.
유출 포트들 (216A 및 216B) 은 플라즈마 부산물을 인출하고, 플라즈마로부터 반도체 기판 (102A) 의 표면의 일부 (102A') 로 흐르는 이온들과의 간섭을 최소화하기 위해 마이크로챔버 (202A) 의 상부 근처에 위치한다.
최소 공간 (208A) 의 정확한 폭은 기판의 표면에 적용되는 플라즈마 프로세싱에 따라 선택될 수 있다. 하나 이상의 포트들 (208B) 이 최소 공간 (208A) 에 결합될 수도 있다. 프로세스 재료 또는 퍼지 소스 및/또는 진공 소스 (220E) 는 포트 (208B) 에 결합될 수 있다. 이러한 방식으로, 재료는 최소 공간 (208A) 을 통하여 전달될 수 있으며 그리고/또는 공간 (214) 내의 환경을 제어하는 것을 지원하기 위해 포트 (208B) 로 진공이 인가될 수 있다.
도 2b 는 마이크로챔버 (202A) 의 평면도를 도시한다. 커버 (210) 의 일부는 에지 링 (208) 과 에지 링의 둘레 주위의 씰 (212) 과 마이크로챔버에 의해 프로세싱될 기판 (102A) 을 보여주기 위해 절개된 것으로 도시된다. 마이크로챔버 (202A) 는 플라즈마에 의해 프로세싱될 기판 (102A) 의 폭 (W2) 보다 작은 폭 (W1) 을 가진 것으로 도시되었으나, 이것은 단지 예시적인 실시형태에 불과하며 다른 도면들에서 좀더 상세하게 도시될 것이며, 마이크로챔버는 몇 개의 상이한 형상들, 깊이들, 폭들, 길이들 및 구성들을 가질 수 있다는 것이 이해되어야 한다. 또한, 기판 (102A) 은 실질적으로 둥근 형상으로 도시되었지만 이것은 단지 예시적인 실시형태에 불과하며 기판은 임의의 적절하고 바람직한 형상과 크기를 가질 수 있다는 것이 이해되어야 한다. 예를 들면, 기판 (102A) 은 마이크로챔버가 기판 (102A) 의 표면 위에서 이동할 수 있도록 픽스처 (fixture) 내에 배치될 수 있는 불규칙한 형상 또는 정사각형의 형상 또는 타원의 형상 또는 다른 임의의 형상을 가질 수 있다.
또한, 도 2b 에 도시한 바와 같이, 액추에이터 (240) 는 결합 암 (arm) (241) 에 의해 마이크로챔버 (202A) 에 결합된다. 액추에이터 (240) 는 마이크로챔버 (202A) 를 기판 (102A) 의 표면에 대해 이동할 수 있다. 전술한 바와 같이, 커버 (210) 는 씰 (212) 과의 접촉과 씰 (212) 에 대한 실링을 유지하기 위해 마이크로챔버 (202A) 와 함께 이동할 수 있다. 이러한 방식으로, 마이크로챔버 (202A) 는 기판 (102A) 의 표면에 대해 이동할 수 있으며, 동시에, 기판의 표면 위에서의 제어된 환경을 유지할 수 있다.
마이크로챔버 (202A) 는 또한 하나 이상의 인시츄 모니터링 기구들 (insitu monitoring instruments) (211A 내지 211D) 을 포함할 수 있다. 인시츄 모니터링 기구들 (211A 내지 211D) 은 해당 기술 분야에서 공지된 광학 표면 스캐닝 기구들, 광 스펙트럼 또는 휘도 분석 기구들, 또는 자기 기구들 또는 화학 분석 기구들일 수 있다. 인시츄 모니터링 기구들 (211A 내지 211D) 은 시스템 컨트롤러에 결합된다.
하나 이상의 인시츄 모니터링 기구들 (211A 내지 211D) 은 마이크로챔버 (202A) 에 의한 프로세싱 전에, 프로세싱 동안 및/또는 프로세싱 후에 기판의 표면을 분석할 수 있다. 예를 들면, 기구 (211A) 는 기판 (102A) 의 표면을 측정할 수 있으며, 컨트롤러는 기판 (102A) 의 표면에 적용할 플라즈마 프로세스의 동작 파라미터들을 결정하기 위해 기구 (211A) 로부터의 측정치를 이용할 수 있다.
마찬가지로, 기구 (211C) 는 표면의 플라즈마 프로세싱의 결과들을 판단할 수 있다. 동작 파라미터들 및/또는 기판 (102A) 의 표면을 위해 후속으로 필요한 추가 프로세싱을 결정하기 위해 기구 (211C) 로부터의 판단된 결과들은 컨트롤러에 의해 이용될 수 있다.
나아가, 기구 (211B) 는 플라즈마가 기판의 표면에 인가되는 동안에 표면의 플라즈마 프로세싱의 결과들을 판단할 수 있다. 플라즈마가 기판 (102A) 의 표면에 인가되는 동안에 동작 파라미터들 및/또는 기판 (102A) 의 표면에 적용될 수 있는 추가 프로세싱을 결정하기 위해 기구 (211B) 로부터의 판단된 결과들은 컨트롤러에 의해 이용될 수 있다.
인시츄 모니터링 기구들 (211A 내지 211D) 의 하나 이상이 플라즈마 부산물들을 분석할 수 있다. 예를 들면, 기구 (211D) 는 마이크로챔버 (202A) 로부터 출력되는 플라즈마 부산물을 분석함으로써 플라즈마가 기판의 표면에 인가될 때 표면의 플라즈마 프로세싱의 결과들을 판단할 수 있다. 마이크로챔버 (202A) 에 의한 프로세싱 전에, 프로세싱 동안에 및/또는 프로세싱 후에, 플라즈마가 기판 (102A) 의 표면에 인가될 때 동작 파라미터들 및/또는 기판 (102A) 의 표면에 적용될 수 있는 추가 프로세싱을 결정하기 위해 기구 (211D) 로부터의 판단된 결과들은 컨트롤러에 의해 이용될 수 있다.
플라즈마 프로세싱의 결과들을 판단하고 이득과 원하는 결과에 따라서 플라즈마 동작 파라미터들을 조정하기 위해 인시츄 모니터링 기구들 (211A 내지 211D) 은 컨트롤러에 의해 이용될 수 있다. 예를 들면, 기구들 (211A 내지 211D) 의 하나 이상으로부터의 판단된 결과들은, 원하는 결과를 성취하기 위해서는 더 길거나 더 짧은 플라즈마 프로세싱 시간이 필요하다거나, 또는 하나 이상의 플라즈마 소스 재료들의 더 큰 또는 더 작은 유량 및/또는 압력, 또는 바이어싱 또는 주파수의 변화가 필요하다거나, 또는 온도 변화가 필요하다는 것을 나타낼 수도 있다.
인시츄 모니터링 기구들 (211A 내지 211D) 은 기판 (102A) 의 표면상의 로컬 및 글로벌 불균일성을 검출하고 매핑하기 위해 컨트롤러에 의해 이용될 수 있다. 그런 다음, 컨트롤러는 검출된 불균일성을 정정하기 위해 적절한 후속 프로세싱을 지시할 수 있다. 컨트롤러는 또한 후속 기판들을 프로세싱하는 플라즈마에 대한 플라즈마 동작 파라미터들을 조정하기 위해 검출된 불균일성을 이용할 수 있다.
마이크로챔버 (202A) 는 마이크로챔버 (202A) 내의 플라즈마 (244) 의 스펙트럼 분석 또는 휘도 분석을 수행하기 위해 하나 이상의 기구들 (211A 내지 211D) 을 위한 광 뷰 포트 (optical view port) 를 포함할 수도 있다. 기구들 (211A 내지 211D) 의 하나 이상이 플라즈마 프로세싱의 엔드포인트 (endpoint) 를 검출하기 위해 사용될 수 있다.
컨트롤러는 또한 마이크로챔버 (202A) 의 내부 표면들 상에 플라즈마 부산물들이 생성되는 것을 보상하기 위해 플라즈마 동작 파라미터들을 조정할 수 있다. 예를 들면, 기구들 (211A 내지 211D) 의 하나 이상이 플라즈마와 그로 인한 플라즈마 부산물들이 마이크로챔버 (202A) 의 내부 표면들 상에 생성되는 것을 모니터링하기 위해 사용될 수 있다. 마찬가지로, 동작 시퀀스 (sequence) 또는 컨트롤러내의 레시피 (recipe) 또는 타이머에 따라서, 또는, (예를 들면, 오퍼레이터로부터 수신한) 컨트롤러 입력에 응답하여, 컨트롤러는 또한 마이크로챔버 (202A) 의 내부 표면들 상에 플라즈마 부산물들이 생성되는 것을 보상하기 위해 플라즈마 동작 파라미터들을 조정할 수 있다. 마이크로챔버 (202A) 의 내부 표면들 상에 플라즈마 부산물들이 생성되는 것을 보상하기 위해 플라즈마 동작 파라미터들을 조정하는 것은, 마이크로챔버의 내부 표면들 상에 형성되는 플라즈마 부산물들의 전부 또는 일부를 제거하기 위해 플라즈마 동작 파라미터를 조정하는 것을 또한 포함할 수 있다.
또한, 마이크로챔버 (202A) 와 기판 (102A) 의 표면 사이의 거리 (D1) 는 가변적이므로 컨트롤러는 플라즈마 동작 파라미터를 조정할 수 있다. 예를 들면, 원하는 결과를 성취하기 위하여, D1 은 다양한 동작상의 이유들 또는 물리적 이유들로 인해 조정될 수 있으며 플라즈마 동작 파라미터들은 상이한 거리를 보상하기 위해 조정될 수 있다.
도 2c 는 본 발명의 실시형태들에 따른, 마이크로챔버 (202A) 의 보다 상세한 측면도이다. 도 2d 는 본 발명의 실시형태들에 따라, 마이크로챔버 (202A) 내에 플라즈마를 형성함에 있어서 수행된 방법 동작들 (250) 을 도시한 플로우차트이다. 여기에 도시된 동작들은 예를 든 것이며, 일부 동작들은 서브 (sub)-동작들을 가질 수도 있으며, 다른 경우들에서, 여기에 설명된 어떤 동작들은 도시된 동작들에 포함되지 않을 수도 있다는 것이 이해되어야 한다. 이러한 사실을 기억하면서, 이제 방법 및 동작들 (250) 이 설명될 것이다. 동작 (252) 에서, 커버 (210) 는 지지부 (206) 와 커버 (210) 사이에 씰 (212) 을 압축함으로써 기판 (102A) 위에 실링된다. 방향 (227) 의 커버 (210) 가 서로에 대해 이동하여 커버 (210) 와 지지부 (206) 사이에 씰 (212) 이 압축되도록, 커버 (210) 를 방향 (227) 으로 이동하거나 지지부 (206) 를 방향 (225) 으로 이동함으로써 씰 (212) 이 압축된다.
동작 (254) 에서, 마이크로챔버 (202A) 와 공간 (214) 은 퍼지되고/퍼지되거나 진공 상태가 된다. 퍼지 프로세스 동안, 퍼지 재료 (예를 들면, 불활성 퍼지 가스 또는 액체 또는 증기 또는 다른 유체 또는 그들의 조합) 는 프로세스 재료 또는 퍼지 소스들 (220A 내지 220D 및/또는 220A') 의 하나 이상으로부터 포트들 (216A 내지 216D 및/또는 216B') 로 전달된다.
동작 (256) 에서, 프로세스 재료 (242) 는 프로세스 재료 소스들 (220A 내지 220D) 의 하나 이상에 의해 제공되고 포트들 (216A 내지 216D 및/또는 216B') 의 적어도 하나를 통하여 플라즈마 챔버 (202A) 로 주입된다. 예를 들면, 프로세스 재료 (242) 는 프로세스 재료 소스들 (220A 내지 220D) 의 하나 이상에 의해 제공될 수 있고 포트 (216B') 를 통하여 마이크로챔버 (202A) 로 주입될 수 있다. 프로세스 재료를 제공하는 것은 또한 현장에서 요구에 따라서 2개 이상의 프로세스 재료들을 혼합하는 것을 포함할 수 있다. 혼합은 마이크로챔버 (202A) 외부의 매니폴드 또는 혼합 포인트 (미도시) 에서 이루어질 수 있다. 2개 이상의 플라즈마 소스 재료들 (220A', 220A'') 의 혼합이 또한 마이크로챔버 (202A) 내에서 이루어질 수 있다.
동작 (258) 에서, 플라즈마 신호 (일반적으로 RF 또는 마이크로웨이브 (microwave)) 는 신호 소스 (232A) 에 의해 생성되어 원하는 주파수, 전압, 파형, 튜티 사이클 (duty cycle) 및 전류에서 안테나/코일 (233) 과 척 (201A) 에 인가된다. 동작 (260) 에서, 플라즈마 (244) 는 이온들 (246) 과 열을 생성한다. 이온들 (246) 과 열은 반도체 기판 (102A) 의 표면의 제 1 부분 (102A') 과 반응하여 플라즈마 부산물들 (248) 을 생성한다.
동작 (262) 에서, 플라즈마 부산물들 (248) 은 마이크로챔버 (202A) 로부터 인출된다. 플라즈마 부산물들 (248) 은 포트들 (216A 내지 216D 및/또는 216B') 의 적어도 하나에 진공을 인가함으로써 마이크로챔버 (202A) 로부터 인출될 수 있다. 예를 들면, 포트들 (216A 내지 216D) 에 진공이 인가되어 마이크로챔버 (202A) 로부터 플라즈마 부산물들 (248A 내지 248C) 을 인출할 수 있다. 포트들 (216A 내지 216D) 을 통하여 마이크로챔버 (202A) 로부터 플라즈마 부산물들 (248A 내지 248C) 을 인출하면, 이온들 (246) 과, 프로세싱 중이거나 또는 플라즈마 (244) 에 노출중인 표면 (102A') 의 일부로부터 플라즈마 부산물들 (248A 내지 248C) 이 또한 인출된다. 마이크로챔버 (202A) 로부터 플라즈마 부산물들 (248) 을 제거하면, 기판 (102A) 의 표면의 선택된 부분 (102A') 을 컨택하는 이온들 (246) 을 플라즈마 부산물들이 간섭할 가능성이 줄어든다. 마이크로챔버 (202A) 로부터 플라즈마 부산물들 (248) 을 제거하면, 플라즈마 부산물들이 마이크로챔버 (202A) 의 내부 표면들 (203A 내지 203C) 에 부착될 가능성이 줄어든다. 플라즈마 부산물들 (248) 이 마이크로챔버 (202A) 의 내부 표면들 (203A 내지 203C) 에 부착되어 빌드업 (build up) 되면, 그러한 빌드업은 마이크로챔버의 구성과 전체적인 형상을 변경시킬 수 있고, 그 결과 마이크로챔버내의 플라즈마 (244) 밀도와 분포의 변경을 초래하여 더욱 구체적으로 말하면 기판 (102A) 의 표면에 인가된 플라즈마 밀도를 변경시킬 수 있다.
동작 (264) 에서, 기판의 표면의 후속 부분 (102A'') 이 마이크로챔버에 맞추어 조정될 때까지 마이크로챔버 (202A) 는 방향들 (224, 224A, 226 및/또는 226A) 의 적어도 하나의 방향으로 기판 (102A) 에 대하여 이동할 수 있다. 그런 다음, 마이크로챔버 (202A) 는 내부 표면들 (203A 내지 203E) 과 기판 (102A) 의 표면의 제 2 부분 (102A'') 에 의해 형성되며, 동작 (266) 에서 플라즈마는 기판 (102A) 의 표면의 후속 부분 (102A'') 에 인가된다.
동작 (268) 에서, 프로세싱해야할 기판의 표면의 추가 부분들이 있으면, 방법 동작들은 전술한 바와 같이 동작들 (264 내지 266) 을 계속 수행한다. 프로세싱해야할 기판의 표면의 추가 부분들이 없으면, 방법 동작들은 종료된다.
도 2a 내지 도 2c 에는 에지 플랫폼 (edge platform) 또는 에지 링 (208) 이 또한 포함되어 도시될 수 있다. 에지 링 또는 플랫폼 (208) 은, 초기 플라즈마 단계 및 플라즈마 셧 다운 (shut down) 또는 플라즈마가 동작할 수 있는 임의의 다른 시간 동안, 마이크로챔버 (202A) 가 위치될 수 있는 추가 프로세싱 표면을 제공하지만, 플라즈마가 기판 (102A) 의 표면과 컨택하도록 하는 것은 바람직하지 않다.
에지 링 또는 플랫폼 (208) 은 최소 공간 (208A) 에 의해 기판 (102A) 의 표면으로부터 분리된다. 에지 링 또는 플랫폼 (208) 은, 도시한 바와 같이, 기판 (102A) 의 전체 둘레에 인접할 수 있다. 대안적으로, 에지 링 또는 플랫폼 (208) 은 기판의 둘레의 단지 하나 또는 하나 이상의 부분들에 인접할 수 있다. 에지 링 또는 플랫폼 (208) 은 기판이 원형이거나, 직사각형이거나 또는 (비정형, 임의의 다각형, 등) 의 다른 형상이든 아니든 상관없이 임의의 형상의 기판과 함께 사용될 수 있다. 부분적인 에지 링 또는 플랫폼 (208) 은 "Substrate Meniscus Interface and Methods for Operation" 라는 명칭의 Woods 에 의한 공동 소유의 미국 특허 제 7,513,262 호에 더욱 상세히 설명되어 있으며, 상기 미국 특허는 그 전체가 그리고 모든 목적들을 위해 여기에서 참조로서 포함된다.
에지 링 또는 플랫폼 (208) 은 몇 개의 기능들을 수행할 수 있다. 미국 특허 제 7,513,262 호에 설명된 바와 같이, 하나의 기능은 마이크로챔버 시작, 중지 및 마이크로챔버 또는 다른 프로세싱 챔버를 위한 위치 "파킹 (parking)" 이다.
또 다른 기능은 기판 (102A) 의 에지 상에서의 플라즈마 (244) 의 농도를 줄이는 것이다. 에지 링 (208) 이 없으면, 마이크로챔버가 기판 (102A) 의 에지 상으로 통과할 때, 기판에 의해 형성된 마이크로챔버의 그 측면에 대한 거리가 기판 (102A) 의 두께에 의해 변경될 것이므로 마이크로챔버의 체적은 상당히 변경될 것이다. 이러한 마이크로챔버 체적의 변경은 이온들의 플라즈마 농도 그리고 플라즈마 형상까지도 변경할 것이다.
게다가, 마이크로챔버가 기판 (102A) 의 에지 상으로 통과할 때, 플라즈마 (244) 로부터 방출된 이온들 (246) 은 기판 (102A) 의 에지의 비교적 작은 영역상에 집중된다. 그 결과, 이온들 (244) 의 반응성은 기판 (102A) 의 에지의 비교적 작은 영역상에 집중될 것이며, 상대적인 프로세싱 활동은 기판의 표면의 다른 부분들과 비교하여 기판 (102A) 의 에지상에서 상당히 증가할 것이다.
에지 링 또는 플랫폼 (208) 은 기판과 실질적으로 동일한 전위에서 유지되고, 플라즈마가 에지 링 또는 플랫폼으로부터 기판의 에지 (102A) 를 가로질러 기판 (102A) 의 표면상으로 완전히 전이될 때, 에지 링 또는 플랫폼 (208) 은 또한 실질적으로 일정한 마이크로챔버 플라즈마 체적과 실질적으로 일정한 이온 농도를 유지한다.
마이크로챔버 (202A) 가 기판 위로 통과하고 기판의 에지를 프로세싱할 때 컨트롤러는 또한 플라즈마 파라미터들을 조정할 수 있다. 일반적으로, 기판의 에지는, 액티브 디바이스 구조들의 일부가 기판을 핸들링하기 위해 사용되므로 액티브 디바이스 구조들의 일부로서는 일반적으로 사용되지 않는 베벨 (bevel) 에지부를 포함한다. 나아가, 베벨 에지는 일반적으로 둥글거나 경사진 모양이며, 따라서, 베벨 에지가 마이크로챔버를 통과하므로 마이크로챔버의 체적을 변경할 수 있다. 그 결과, 컨트롤러는 원하는 결과를 성취하기 위해 베벨 에지를 프로세스하기 위해 플라즈마 파라미터들을 마이크로챔버로서 조정할 수 있다.
에지 링 (208) 은, 기판 (102A) 의 프로세싱과 유사하게, 마이크로챔버에 의해 프로세스되는 희생 물질일 수 있다. 에지 링은 다수의 층 또는 부분들을 포함할 수 있다. 예를 들면, 에지 링 (208) 은 층 (208A) 을 포함할 수 있다. 층 (208A) 은 희생층이며 마이크로챔버의 플라즈마 프로세싱에 실질적으로 저항적인 에지 링의 잔존 부분일 수도 있다. 대안적으로, 층 (208A) 은 마이크로챔버의 플라즈마 프로세싱에 실질적으로 영향을 받지 않거나 저항적일 수도 있다.
마이크로챔버 (202A) 는 또한, 마이크로챔버 (202A) 에서 사용되기 위해 필요한 경우에 2 개 이상의 플라즈마 소스 재료들 (220A', 220A'') 이 혼합될 수 있는 인시츄 혼합 포인트 또는 매니폴드 (221) 를 포함할 수 있다. 인시츄 혼합 포인트 또는 매니폴드 (221) 는 또한, 혼합물이 마이크로챔버 (202A) 에 입력되기 직전에 원하는 혼합물이 생성될 수 있도록, 플라즈마 소스 재료들 (220A', 220A'') 의 양, 유량 및 압력들을 제어하기 위한 유량 계측 시스템들 (221A) 을 포함할 수 있다.
마이크로챔버 (202A) 는 또한, 온도 제어 시스템 (223A) 을 포함할 수 있다. 온도 제어 시스템 (223A) 은 마이크로챔버 (202A) 및/또는 마이크로챔버내의 플라즈마 소스 재료들 (220A') 을 가열 또는 냉각시킬 수 있다. 이러한 방법으로, 마이크로챔버 (202A) 및/또는 플라즈마 소스 재료들 (220A') 의 온도는 제어될 수 있다.
서술되고 설명된 실시형태들이 수평 방향으로 도시되었지만, 마이크로챔버 (202A) 는 어느 방향들에서나 동작될 수 있음이 이해되어야 한다. 예를 들면, 마이크로챔버 (202A) 는 역방향으로 동작될 수 있다. 마이크로챔버 (202A) 는 수직 방향 또는 수평과 수직 사이의 어느 각도에서나 작동할 수 있다.
마이크로챔버 (202A) 가 기판의 표면의 제 1 부분 (예를 들면, 제 1 절반 또는 제 1 사분면 또는 다른 부분) 위에서 통과될 수 있도록 기판 (102A) 은 척 (210) 에 의해 회전될 수 있다. 그런 다음, 마이크로챔버 (202A) 가 표면의 후속 부분 위에서 통과할 수 있도록 기판 (102A) 은 회전될 수 있다. 이러한 방식으로 마이크로챔버 (202A) 의 이동을 줄일 수도 있는데, 이것은 회전된 기판이, 마이크로챔버로 하여금, 제 2 부분을 프로세싱하기 위하여, 기판의 표면의 제 1 부분을 프로세싱하는 동안 마이크로챔버가 이동한 방향과는 반대의 방향으로 이동하도록 허용하기 때문이다. 이렇게 하면, 커버는 기판의 폭의 2 배 보다 더 클 필요가 없으므로 커버 (210) 의 전체적인 크기를 줄일 수 있으며, 커버는 기판 (102A) 의 폭에 대해 어쩌면 약간만 클 수 있다.
II . 마이크로챔버들
도 3a 내지 3f 는 본 발명의 실시형태들에 따른, 마이크로챔버들 (202A.1 내지 202A.6) 의 상세 횡단면도들을 도시한다. 마이크로챔버들 (202A.1 내지 202A.6) 은 다양한 위치들, 개수들 및 배열들의 유입 및 유출 포트들 (216A, 216B, 216A', 216B', 216A'', 216B'') 을 가진다. 마이크로챔버들 (202A.1 내지 202A.6) 은 또한 다양한 단면 형상들을 가진다. 이들은 단지 예시적인 형상들에 지나지 않으며 포트 배열과 조합들과 좀더 적거나 많은 수의 포트들이 또한 포함될 수 있다는 것이 이해되어야 한다. 도시된 바와 같이, 중앙선 (305) 에 대하여 유입 및 유출 포트들 (216A, 216B, 216A', 216B', 216A'', 216B'') 에 의해 형성되는 각도들은 단지 예시적인 각도들이며, 유입 및 유출 포트들은 도시된 바와는 다른 각도를 형성할 수도 있으며 적절하다면 어느 각도든 형성할 수도 있다.
예를 들면, 마이크로챔버 (202A.1) 는 2 개의 유출 포트들 (216A, 216B) 과 하나의 유입 포트 (216B') 를 포함한다. 제 1 면 (203A) 에 있는 하나의 유출 포트 (216A) 는 마이크로챔버 (202A.1) 의 상단부 (203C) 에 가깝다. 유입 포트 (216B') 는 마이크로챔버의 상단부 (203C) 에 위치한다. 제 2 유출 포트 (216B) 는 제 1 면 (203A) 과는 실질적으로 반대쪽인 면 (203B) 에서 상단부 (203C) 로부터 더 멀리 떨어져서 위치된다.
형상에 관하여: 마이크로챔버 (202A.1) 는 실질적으로 사다리꼴의 횡단면 형상을 가진다; 마이크로챔버 (202A.2) 는 실질적으로 삼각형의 횡단면 형상을 가진다; 마이크로챔버 (202A.3) 는 원형의 실질적으로 삼각형의 횡단면 형상을 가진다; 마이크로챔버 (202A.4) 는 실질적으로 직사각형의 횡단면 형상을 가진다; 마이크로챔버 (202A.5) 는 실질적으로 U-횡단면 형상을 가진다; 마이크로챔버 (202A.6) 는 모서리가 둥근 실질적으로 직사각형의 횡단면 형상을 가진다.
추가의 예에서, 마이크로챔버들 (202A.1 내지 202A.6) 의 설명된 조합 및 형상들과, 유입 및 유출 포트들 (216A, 216B, 216A', 216B', 216A'', 216B'') 의 대응되는 배열은 단지 예시적인 조합들에 지나지 않는다. 예를 들면, 도 3e 에 도시된 마이크로챔버 (202A.5) 는 도 3f 에 도시된 포트 배열 또는 포트 배열들의 임의의 조합을 포함할 수 있다. 형상뿐만 아니라, 마이크로챔버들내에 더 크거나 작은 체적을 제공하기 위해 크기 또한 가변적일 수 있다.
도 3g 는 본 발명의 실시형태들에 따른, 마이크로챔버 (202A) 의 평면도이다. 마이크로챔버 (202A) 는 상기 설명한 마이크로챔버와 유사하며 기판 (102A) 의 폭 (W2) 과 동일하거나 더 큰 폭 (W3) 을 가진다.
도 3h 는 본 발명의 실시형태들에 따른, 마이크로챔버 (321A) 의 평면도이다. 마이크로챔버 (321A) 는 마이크로챔버 (321A) 가 실질적으로 원형이라는 점만 제외하면 도 2b 에 도시한 마이크로챔버 (202A) 와 유사하다. 마이크로챔버 (321A) 는 또한 마이크로챔버의 동작을 모니터링하기 위해 기구 (324) 를 포함할 수 있다.
도 3i 는 본 발명의 실시형태들에 따른, 마이크로챔버 (321B) 의 평면도이다. 마이크로챔버 (321B) 는 마이크로챔버 (321B) 가 실질적으로 환형의 영역 (322B) 에 플라즈마를 형성하는 환형의 마이크로챔버라는 점만 제외하면 도 3h 에 도시한 마이크로챔버 (321A) 와 유사하다. 기판 (102A) 의 표면의 대응하는 환형 부분 (302A) 만이 환형의 마이크로챔버 (321B) 내의 플라즈마에 노출된다. 마이크로챔버 (321B) 는 또한 마이크로챔버의 동작을 모니터링하기 위해 기구 (324) 를 포함할 수 있다.
도 3j 는 본 발명의 실시형태들에 따른, 마이크로챔버 (321C) 의 평면도이다. 마이크로챔버 (321C) 는 기판 (102A) 의 커브된 에지의 일부로서의 커브와 유사하지만 반드시 동일하지는 않은 원호 형상을 가진다. 이것은 예를 들면 부산물들 또는 빌드업들을 제거하는 것과 같은 웨이퍼 에지의 에치 준비를 감안한 것이다. 이러한 에지 프로세싱은 또한 전체적인 웨이퍼 프로세싱이 완료된 후에 다른 웨이퍼 세정 동작들과 연계하여 수행될 수 있다.
도 3k 는 본 발명의 실시형태들에 따른, 마이크로챔버 (321D) 의 평면도이다. 마이크로챔버 (321D) 는 상기 도 2b 에 도시된 마이크로챔버 (202A) 와 실질적으로 유사하지만, 마이크로챔버 (321D) 는 또한 부분적 마스킹 플레이트 (331) 를 포함한다. 부분적 마스킹 플레이트 (331) 는 마이크로챔버 (321D) 내의 플라즈마로부터 기판 (102A) 의 표면의 일부를 선택적으로 마스킹할 수 있다. 부분적 마스킹 플레이트 (331) 는 고정되거나 마이크로챔버 (321D) 에 대해 이동할 수 있다. 액추에이터 (240) 는 결합 암 (331A) 에 의해 부분적 마스킹 플레이트 (331) 에 결합될 수 있다.
도 3l 은 본 발명의 실시형태들에 따른, 마이크로챔버 (321E) 의 평면도이다. 마이크로챔버 (321E) 는 상기 도 3k 에 도시된 마이크로챔버 (321D) 와 실질적으로 유사하지만, 마이크로챔버 (321E) 는 또한 완전한 마스킹 플레이트 (333) 를 포함한다. 완전한 마스킹 플레이트 (333) 는 기판 (102A) 의 표면의 일부를 선택적으로 마이크로챔버 (321E) 내의 플라즈마에 노출할 수 있는 개구 (opening; 335) 를 포함한다. 완전한 마스킹 플레이트 (333) 는 고정되거나 마이크로챔버 (321E) 에 대해 이동할 수 있다. 액추에이터 (240) 는 결합 암 (333A) 에 의해 완전한 마스킹 플레이트 (333) 에 결합될 수 있다.
도 3m 은 본 발명의 실시형태들에 따른, 마이크로챔버 (321F) 의 평면도이다. 마이크로챔버 (321F) 는 상기 도 3g 에 도시된 마이크로챔버 (202A) 와 실질적으로 유사하지만, 마이크로챔버 (321F) 는 폭 (W4) 을 가진 제 1 말단 (323A) 과 폭 (W5) 을 가진 반대편의 제2 말단 (323B) 을 가진 부채꼴 형상을 가지며, W5 는 W4 보다 넓다. W5 는 W4 보다 단지 약간 더 넓을 수 있다 (예를 들면, W5 = W4 의 101%). W5 는 W4 의 배수일 수 있다 (예를 들면, W5 + n*W4, 이때 n = 약 2 내지 약 20 사이의 반드시 정수일 필요는 없는 임의의 배수). 기판 (102A) 이 제 1 말단 (323A) 에 체류하는 시간이 제 2 말단 (323B) 에 체류하는 시간과 실질적으로 동일하도록 W4 와 W5 의 비율은 회전 테이블 주위의 기판의 회전의 함수일 수 있으며 이에 대해서는 아래에서 보다 상세히 설명될 것이다.
마이크로챔버 (321F) 는 커플링 암 (241) 에 의해 액추에이터 (240) 에 결합된다. 마이크로챔버가 기판 (102A)으로부터 완전히 떨어지게 피봇 (pivot) 할 수 있도록 마이크로챔버를 위치 (312F') 로부터 위치 (312F'') 까지 그리고 더 멀리까지 이동시키기 위해 액추에이터 (240) 는 마이크로챔버 (321F) 를 방향들 (350A, 350B) 로 피봇시킬 수 있다. 이러한 방식으로, 마이크로챔버는 기판 (102A) 의 전체 표면 위에서 피봇될 수 있다.
도 3n 내지 3p 는 본 발명의 실시형태들에 따른, 마이크로챔버들 (321G, 321H 및 335) 각각의 길이방향 횡단면도들이다. 마이크로챔버 (321F) 는 마이크로챔버의 길이 전체에 걸쳐 일정한 깊이 (D1) 를 가진다. 마이크로챔버 (321G) 의 깊이는 제 1 말단 (313A) 에서의 깊이 (D1) 로부터 제 2 말단 (313B) 에서의 깊이 (D2) 까지의 길이를 따라서 변화한다. 마이크로챔버 (321G) 의 깊이는 마이크로챔버의 제 1 부분 (313C) 전체에 걸쳐 일정할 수 있으며, 그런 다음, 제 2 부분 (313D) 을 따라서 변화할 수 있다.
도 3p 에 도시한 바와 같이, 마이크로챔버 (335) 는 마이크로챔버의 길이를 따라서 가변적인 깊이와 형상을 가진다. 마이크로챔버 (335) 는 다수의 깊이 및 형상 조정기들 (331A 내지 331L) 을 포함한다. 깊이 및 형상 조정기들 (331A 내지 331L) 은 링크들 (332) 에 의해 액추에이터 (330) 에 결합된다. 깊이 및 형상 조정기들 (331A 내지 331L) 은 마이크로챔버의 대응 부분 (333A 내지 333E) 의 깊이와 형상을 조정하기 위해 액추에이터 (330) 에 의해 방향 (334A) 또는 방향 (334B) 로 이동할 수 있다. 깊이 및 형상 조정기들 (331A 내지 331L) 은 마이크로챔버 (335) 의 깊이와 형상을 변화시키기 위해 측방향으로 (예를 들면, 도 3p 에 도시한 도면의 평면 안으로 및 안에서부터 바깥으로) 이동할 수 있다. 깊이 및 형상 조정기들 (331A 내지 331L) 은 원하는 전위에서 바이어스되거나 마이크로챔버 (335) 내의 다양한 전위들로부터 전기적으로 절연될 수 있다. 깊이 및 형상 조정기들 (331A 내지 331L) 은 임의의 적합한 재료 또는 형상일 수 있다. 마이크로챔버 (335) 의 깊이와 형상은 기판 (102A) 의 표면에 원하는 플라즈마 노출을 제공하기 위해 조정될 수 있다.
III . 다수의 챔버 및 조합 챔버 헤드
도 4a 내지 도 4c 는 본 발명의 실시형태들에 따른, 다수의 마이크로챔버들 (404A 내지 404C) 을 가진 단일 프로세싱 헤드 (402) 를 도시한다. 도 4a 는 프로세싱 헤드 (402) 의 평면도이다. 도 4b 는 프로세싱 헤드 (402) 의 측단면도이다. 도 4c 는 프로세싱 헤드 (402) 의 저면도이다.
도 4a 및 도 4b 에서, 프로세싱 헤드 (402) 는 3 개의 프로세싱 챔버들 (404A 내지 404C) 을 포함한다. 프로세싱 헤드 (402) 는 프로세싱 챔버들 (404A 내지 404C) 각각이 기판 (102A) 의 상면을 가로질러 충분히 통과될 수 있도록 기판 (102A) 에 대하여 방향들 (406A 및 406B) 로 이동할 수 있다. 프로세싱 헤드 (402) 와 기판 (102A) 은 상이한 속도들로 동일한 방향으로 이동할 수 있다. 대안적으로, 프로세싱 헤드 (402) 와 기판 (102A) 은 동일하거나 상이한 속도들로 상이한 방향으로 이동할 수 있다. 프로세싱 챔버들 (404A 내지 404C) 각각은 대응되는 프로세스를 기판 (102A) 의 표면에 적용할 수 있다.
프로세싱 챔버들 (404A 내지 404C) 은 크기, 형상, 분포 및 기능에서 실질적으로 유사한 것으로 도시되었지만, 프로세싱 챔버들의 각각은 상이한 크기, 형상 및 기능을 가질 수도 있다는 것이 이해되어야 한다. 각각의 프로세싱 헤드 (404) 는 하나 이상의 프로세싱 챔버들로부터의 임의의 숫자를 포함할 수 있다는 것도 또한 이해되어야 한다.
프로세싱 챔버 (404A) 는 다른 프로세싱 챔버들 (404B, 404C) 과 비교하여 상이한 길이, 폭 및/또는 깊이를 가질 수도 있다. 예를 들면, 프로세싱 챔버 (404A) 는 기판의 폭보다 작은 폭을 가질 수도 있으며, 프로세싱 챔버들 (404B, 404C) 은 기판의 폭과 동일하거나 기판의 폭보다 더 큰 폭을 가질 수도 있다.
프로세싱 챔버 (404A) 는 다른 프로세싱 챔버들 (404B, 404C) 과 비교하여, 예를 들면, 직사각형, 원형, 환형 등의 상이한 형상을 가질 수도 있다. 예를 들면, 프로세싱 챔버 (404A) 는 직사각형의 형상을 가질 수도 있으며, 프로세싱 챔버들 (404B, 404C) 은 타원형 또는 원형의 형상을 가질 수도 있다.
프로세싱 챔버들 (404A 내지 404C) 은 프로세싱 헤드 (402) 주위에 상이하게 분포될 수 있다. 예를 들면, 프로세싱 챔버 (404A) 는 프로세싱 헤드 (402) 의 에지 가까이에 위치될 수도 있으며, 프로세싱 챔버들 (404B, 404C) 은 프로세싱 헤드에 대하여 불균등한 간격으로 분포된다.
프로세싱 챔버 (404A) 는 다른 프로세싱 챔버들 (404B, 404C) 과 비교하여, 예를 들면, 플라즈마 에칭, 플라즈마 세정, 비-플라즈마 세정 및/또는 린싱 (rinsing), 등의 다른 기능을 가질 수도 있다. 예를 들면, 프로세싱 챔버 (404A) 는 패시베이션 (passivation) 기능을 가질 수도 있으며, 프로세싱 챔버들 (404B, 404C) 은 상이한 플라즈마 에칭 기능들을 가진다. 또 다른 예에서, 프로세싱 챔버들 (404A 내지 404C) 의 하나 이상은, 그 전체가 그리고 모든 목적들을 위해 여기에서 모두가 참조로서 포함되는, "Meniscus, Vacuum, IPA, Vapor, Drying Manifold" 라는 명칭의 Woods 에 의한 공동 소유의 미국 특허 제 7,198,055 호, "Method and apparatus for drying semiconductor wafer surfaces using a plurlaity of inlets and outlets held in close proximity to the wafer surfaces" 라는 명칭의 Larios 등에 의한 미국 특허 제 7,234,477 호, "Vertical Proximity Processor" 라는 명칭의 Garcia 등에 의한 미국 특허 제 7,069,937 B2 호, "Methods and Systems for Processing a Substrate Using a Dynamic Liquid Meniscus" 라는 명칭의 Garcia 등에 의한 미국 특허 제 6,988,327 호 및, 자 (progeny) 및 관련 출원들 및 특허들에서 보다 상세히 설명된 근접 헤드 세정 스테이션 (proximity head cleaning station) 일 수 있다.
도 4c 에서, 프로세싱 헤드 (402) 는 3 개의 프로세싱 챔버들 (404A 내지 404C) 을 포함한다. 프로세싱 챔버들 (404A 내지 404C) 은 프로세싱 헤드 (402) 의 실질적으로 평평한 저면 (402A) 의 대응 영역들 (408A 내지 408C) 에서 개구들로 나타난다.
프로세싱 헤드 (402) 는 각각의 프로세싱 챔버를 인접 프로세싱 챔버로부터 분리하는 배리어 (barrier) 시스템 (410) 을 또한 포함할 수 있다. 배리어 (barrier) 시스템 (410) 은 씰 또는 전계 또는 자계 또는 가스 커튼 및/또는 진공 커튼 또는 다른 유체 배리와 같은 물리적 배리어일 수 있다.
단일 프로세싱 헤드 (402) 내의 다수의 프로세싱 챔버들 (404A 내지 404C) 은 각각의 프로세싱 챔버에서 상이한 프로세스들이 수행되는 것을 허용한다. 게다가, 하나의 프로세싱 챔버는 제 2 프로세싱 챔버가 세정되는 동안에 수율을 방해하지 않으면서 사용될 수도 있다.
도 4d 는 본 발명의 실시형태들에 따른, 다수의 마이크로챔버들 (424A 내지 424D)을 가진 단일 프로세싱 헤드 (422) 를 도시한다. 프로세싱 헤드 (422) 는 기판 (102A) 에 대하여 회전할 수 있으며, 그리하여 프로세싱 챔버의 적어도 하나의 아래에서 4분의 1 회전 이라는 작은 전환 (90 도 회전) 으로 기판 (102A) 의 표면을 통과할 수 있다. 프로세싱 헤드 (422) 및/또는 기판 (102A) 은 방향들 (426A 및/또는 426B) 로 회전할 수 있다. 프로세싱 헤드 (422) 와 기판 (102A) 은 상이한 속도들로 동일한 방향으로 회전할 수 있다. 대안적으로, 프로세싱 헤드 (422) 와 기판 (102A) 은 동일하거나 상이한 속도들로 반대 방향들 (426A 및/또는 426B) 로 회전할 수 있다.
도 5 는 본 발명의 실시형태들에 따라, 다수의 프로세싱 챔버들을 가진 프로세싱 헤드로 기판 (102A) 의 표면을 프로세싱함에 있어서 수행되는 방법 동작들 (500) 을 도시한 플로우차트이다. 여기에 도시된 동작들은 예를 든 것이며, 일부 동작들은 서브 (sub)-동작들을 가질 수도 있으며, 다른 경우들에서, 여기에 설명된 어떤 동작들은 도시된 동작들에 포함되지 않을 수도 있다는 것이 이해되어야 한다. 이러한 사실을 기억하면서, 이제 방법 및 동작들 (500) 이 설명될 것이다. 동작 (502) 에서, 제 1 프로세싱 챔버는 기판 (102A) 의 제 1 부분 위에 배치된다. 동작 (504) 에서, 제 2 프로세싱 챔버는 기판 (102A) 의 제 2 부분 위에 배치된다. 추가 프로세싱 챔버들이 기판 (102A)의 대응되는 추가 부분들 위로 배치될 수 있다.
동작 (506) 에서, 기판 (102A) 의 제 1 부분은 제 1 마이크로챔버로 프로세스된다. 동작 (508) 에서, 기판 (102A) 의 제 2 부분은 제 2 마이크로챔버로 프로세스된다. 추가 프로세싱 챔버들은 기판 (102A)의 대응하는 추가 부분들을 프로세스할 수 있다. 기판 (102A)의 제 1 및 제 2 부분들은 동시에 또는 서로 다른 시간들로 또는 서로 다른 기간 동안 프로세스될 수 있다는 것이 이해되어야 한다. 나아가, 전술한 바와 같이, 기판 (102A) 의 제 1 및 제 2 부분들 각각에 적용된 프로세스는 동일하거나 상이할 수 있다.
동작 (510) 에서, 제 1 및 제 2 마이크로챔버들은 기판 (102A) 의 후속 부분들 위로 이동한다. 제 1 및 제 2 마이크로챔버들은 동시에 또는 서로 다른 시간들로 그리고 서로 다른 이동 레이트들 (rates) 로 기판 (102A) 의 후속 부분들 위로 이동할 수 있다. 제 1 및 제 2 마이크로챔버들은 동일하거나 서로 다른 방향들로 이동할 수 있다. 동작 (512) 에서, 기판 (102A) 의 후속 부분들은 제 1 및 제 2 마이크로챔버들로 프로세스된다.
동작 (518) 에서, 기판 (102A)의 추가 부분들이 프로세스될 필요가 있으면, 방법 동작들은 전술한 바와 같이 동작 (510) 에서 계속된다. 프로세스될 기판 (102A)의 추가 부분들이 없으면, 방법 동작들은 종료될 수 있다.
IV . 다중 스테이션 툴들 ( Station Tools )
도 6a 내지 도 6b 는 본 발명의 실시형태들에 따른, 다중 스테이션 프로세스 툴들 (600, 640) 의 간단한 개략도를 도시한다. 프로세스 툴들 (600, 540) 에서 다수의 프로세스 헤드들 (204A 내지 204F, 244A 내지 244F) 를 가지는 것의 리던던시 (redundancy) 는, 프로세스 헤드들이 기판들 (102A 내지 102H) 을 동시에 프로세싱할 수 있기 때문에 수율과 신뢰성을 증가시킨다. 여기에 설명된 다수의 프로세스 헤드들 (204A 내지 204F, 244A 내지 244F) 은 프로세싱 헤드들의 임의의 유형 또는 그 조합들일 수 있다.
도 6a 에서, 프로세스 툴 (600) 은 프로세스 헤드들 (204A 내지 204F) 의 회전 배열을 포함한다. 프로세스 헤드들 (204A 내지 204F) 각각은 하나 이상의 마이크로챔버들 (202A 내지 202F) 을 포함한다. 다수의 기판들 (102A 내지 102F) 은 프로세스 헤드들 (204A 내지 204F) 의 대응하는 것들에 의해 지지되고 프로세스될 수 있다. 프로세스 헤드들 (204A 내지 204F) 및/또는 기판들 (102A 내지 102F) 은 기판들이 하나 이상의 프로세스 헤드들에 의해 프로세스될 수 있도록 이동될 수 있다. 회전 프로세스 툴 (600) 은 방향들 (622A 및 622B) 로 회전한다. 회전 프로세스 툴 (600) 은 또한 회전 프로세스 툴의 동작을 제어하기 위한 레시피를 가진 컨트롤러 (612) 를 포함한다.
도 6b 에서, 프로세스 툴 (640) 은 프로세스 헤드들 (224A 내지 244F) 의 선형 배열을 포함한다. 프로세스 헤드들 (224A 내지 244F) 의 각각은 하나 이상의 마이크로챔버들 (202A 내지 202F) 을 포함한다. 다수의 기판들 (102A 내지 102F) 은 프로세스 헤드들 (204A 내지 204F) 의 대응하는 것들에 의해 지지되고 프로세스될 수 있다. 프로세스 헤드들 (244A 내지 244F) 및/또는 기판들 (102A 내지 102F) 은 기판들이 하나 이상의 프로세스 헤드들에 의해 프로세스될 수 있도록 이동될 수 있다. 선형 프로세스 툴 (600) 은 기판들 및/또는 프로세스 헤드들 (244A 내지 244F) 을 방향들 (622C 및 622D) 로 이동할 수 있다. 선형 프로세스 툴 (600) 은 또한 선형 프로세스 툴의 동작을 제어하기 위한 레시피를 가진 컨트롤러 (612) 를 포함한다. 기판들 (102A 내지 102F) 은 또한 프로세스 헤드들 (204A 내지 204F, 244A 내지 244F) 의 각각의 하나에서 기판들의 축을 중심으로회전할 수 있다.
전술한 바와 같이, 프로세스 헤드들 (204A 내지 204F, 244A 내지 244F) 및/또는 기판들 (102A 내지 102F) 은 동일하거나 서로 다른 방향들과 서로 다른 이동 레이트들로 이동할 수 있다는 것이 이해되어야 한다. 액추에이터 (240) 는 스테퍼 (stepper) 모터, 공압 액추에이터, 유압 액추에이터, 전기 기계식 액추에이터, 정밀한 이동 및/또는 진동을 위한 압전 액추에이터 또는 다른 적절한 유형의 액추에이터들일 수 있다.
프로세싱 헤드들 (204A 내지 204F, 244A 내지 244F) 의 각각은 동일하거나 상이한 프로세스를 기판들 (102A 내지 102H) 에 적용할 수 있다. 단일 프로세싱 헤드에서 다수의 프로세싱 챔버들에 관하여 전술한 바와 마찬가지로, 각각의 프로세싱 헤드 (204A 내지 204F, 244A 내지 244F) 는 각각의 프로세스를 적용할 수 있다. 예를 들면, 제 1 프로세싱 헤드 (204A, 244A) 는 기판 (102A) 에 플라즈마 에치 프로세스를 적용할 수 있다. 그러면, 기판 (102A) 은 마감 (finish) 플라즈마 에치 프로세스가 적용되는 프로세스 헤드들 (204B, 244B) 로 이동한다. 그러면, 기판 (102A) 은 근접 헤드 세정이 수행되는 프로세스 헤드들 (204C, 244C) 로 이동한다. 하나 이상의 프로세싱 헤드들 (204A 내지 204F, 244A 내지 244F) 은 확실하게 척이 기판에 제대로 컨택하도록 하기 위해, 예를 들면 기판 (102A 내지 102H) 의 이면을 세정하는 것과 같은 전-세정 프로세스를 적용할 수 있다.
프로세싱 헤드들 (204A 내지 204F, 244A 내지 244F) 과 기판들 (102A 내지 102H) 둘다 이동할 수 있으므로, 각각의 기판이 각각의 프로세싱 헤드에 체류하는 시간은 변화할 수 있다. 예를 들면, 프로세싱 헤드 (204A) 는 분당 12" 이동하며 기판은 움직이지 않는다. 그 결과, 상대적 속도는 12"/min 이다. 프로세싱 헤드 (204B) 는 또한 제 1 방향으로 분당 12" 이동하며 기판 (102B) 은 반대 방향인 제 2 방향으로 분당 12" 이동하며, 그 결과 상대적 속도는 24"/min 이다. 마찬가지로, 프로세싱 헤드 (204C) 는 11"/min 의 속도로 제 1 방향으로 이동하며 기판 (102B) 은 12"/min 의 속도로 동일한 방향으로 이동하여, 1"/min 의 상대적 속도의 결과를 가져온다. 이러한 서로 다른 속도의 유형은, 프로세싱 헤드 (204A) 와 프로세싱 헤드 (204B) 에서, 기판 (102A) 이 다수의 박층들에서 에칭되어 스테이션들 (1, 2 및 3) 에서의 상대적 프로세싱 시간이 거의 동일하도록 사용자는 다수의 빠른 통과들 (passes) 을 원하므로 유용할 수 있다.
도 7 은 본 발명의 실시형태들에 따른, 프로세스 툴 (700) 의 간단한 개략도를 도시한다. 프로세스 툴 (700) 은 도시한 회전 프로세스 툴 (600) 또는 미도시한 선형 프로세스 툴 (640) 을 포함한다. 프로세스 툴 (700) 은 또한 로딩/언로딩 포트들 (702, 704) 을 포함한다. 로딩/언로딩 포트들 (702, 704) 은 로드 로크들 (712A 내지 712D) 을 포함한다.
도 8 은 본 발명의 실시형태들에 따라, 다중 프로세싱 헤드 프로세스 툴 (700) 로 기판들 (102A 내지 102F) 을 프로세싱함에 있어서 수행되는 방법 동작들 (800) 을 도시한 플로우차트이다. 여기에서 도시된 동작들은 예를 든 것이며, 일부 동작들은 서브-동작들을 가질 수도 있으며, 다른 경우들에서, 여기에 설명된 어떤 동작들은 도시된 동작들에 포함되지 않을 수도 있다는 것이 이해되어야 한다. 이러한 사실을 기억하면서, 이제 방법 및 동작들 (800) 이 설명될 것이다. 동작 (802) 에서, 기판들 (102A 내지 102F) 은 로딩/언로딩 포트들 (702, 704) 을 거쳐서 다중 프로세싱 헤드 프로세스 툴 (700) 에 로딩된다. 기판들 (102A 내지 102F) 은 모두 프로세싱이 시작되기 전에 로딩될 수 있다. 대안적으로, 기판들이 프로세스 헤드들 (204A 내지 204F, 244A 내지 244F) 을 통해 프로세스되므로 기판들 (102A 내지 102F) 은 순차적으로 로딩될 수 있다. 기판들 (102A 내지 102F) 은 순차적으로 또는 일괄적으로 로딩될 수 있다. 예를 들면, 하나 이상의 기판들 (102A 내지 102F) 은 로딩/언로딩 포트들 (702, 704) 각각을 통해 로딩될 수 있다.
동작 (804) 에서, 프로세싱 헤드들 (204A 내지 204F 및 244A 내지 244F) 은 기판들 (102A 내지 102F) 위에서 실링되어서 프로세싱을 위한 준비를 위해 퍼지된다. 동작 (806)에서, 기판들 (102A 내지 102F) 은 각각의 프로세싱 헤드들 (204A 내지 204F) 에 의해 프로세스된다. 여기에서 전술한 바와 같이, 프로세싱 헤드들 (204A 내지 204F 및 244A 내지 244F) 은 동일하거나 서로 다른 시간 간격들로 각각의 기판들 (102A 내지 102F) 을 프로세스할 수 있다는 것이 이해되어야 한다. 개선된 수율을 제공하기 위해 각각의 기판들 (102A 내지 102F) 은 동시에 프로세스될 수 있다.
동작 (808) 에서, 기판들 (102A 내지 102F) 은 각각의 순차적인 프로세싱 헤드들 (204A 내지 204F 및 244A 내지 244F) 또는 언로드 포트 (702, 704) 를 통하여 순차적으로 이동한다. 예를 들면, 기판 (102A) 은 프로세싱 헤드 (204B) 로 진행되고 기판 (102B) 은 프로세싱 헤드 (204C) 로 진행되고 기판 (102C) 은 프로세싱 헤드 (204D) 로 진행되고 기판 (102D) 은 프로세싱 헤드 (204E) 로 진행되고 기판 (102E) 은 프로세싱 헤드 (204F) 로 진행된다. 기판 (102F) 이 모든 프로세싱 헤드들 (204A 내지 204F) 을 통과하여 진행되면, 기판 (102F) 의 프로세싱은 완료되며 기판 (102F) 는 따라서 로드/언로드 포트 (702, 704) 로 진행된다. 그 결과, 프로세싱 헤드 (204A) 는 기판이 없는 상태가 된다.
동작 (810) 에서, 로딩되도록 가용한 추가 기판들 (예를 들면, 기판 (102L') 이 있는지를 판단하기 위한 질문이 이루어진다. 기판 (102L') 이 로딩되도록 가용하면, 동작 (812) 에서, 기판 (102L) 이 헤드 (204A) 에 로딩되며 방법 동작들은 전술한 바와 같이 동작 (804) 에서 계속된다.
동작 (810) 에서, 로딩되도록 가용한 추가 기판들이 없으면, 방법 동작들은 동작 (814) 에서 계속된다. 이전에 로딩된 기판들이 프로레스되도록 아직 남아있으면, 방법 동작들은 전술한 바와 같이 동작 (804) 에서 계속된다. 이전에 로딩된 기판들이 프로레스되도록 아직 남아있으면, 방법 동작들은 종료될 수 있다.
V. 제조 시설에 통합된 다중 스테이션 툴들
도 9a 는 본 발명의 실시형태들에 따른, 제조 시스템 (900) 에서의 다중 프로세싱 헤드 프로세스 툴들 (600, 640) 을 도시한다. 제조 시스템 (900) 은 FOUPs (front opening unified pods) (930A 내지 930J) 을 핸들링하고 수송하는 FOUP 수송 시스템 (938) 을 포함한다. 다중 프로세싱 헤드 프로세스 툴들 (600, 640) 의 로드/언로드 포트들 (702, 704) 은 기판들을 핸들링하고 수송하는 FOUP 를 수용할 수 있다.
컨트롤러 (612) 는 플라즈마 신호 (922) 를 제어하기 위한, 액추에이터 위치 (923) 를 제어하기 위한, 다양한 프로세싱 (924) 의 엔드 포인트들을 검출하기 위한, 압력들과 진공 (925) 을 제어하기 위한, 프로세스 소스 제어들 (926) 을 위한, 그리고 프로세스 레시피 (614) 를 제어하기 위한 제어 서브시스템들을 포함한다. 각각의 제어 서브시스템들은 제어를 실행하기 위해 필요한 각각의 하드웨어부들과 링크되어 있다. 예를 들면, 위치 컨트롤러 (923) 는 다중 프로세싱 헤드 프로세스 툴들 (600, 640) 의 액추에이터들과 다른 이동가능한 부분들에 링크되어 있다. 컨트롤러 (612) 는 또한 시설 네트워크 (929) 에 유선 또는 무선 링크 (928) 를 제공하는 몇가지 적당한 유형의 네트워크 인터페이스 (927) 를 포함한다.
도 9b 는 본 발명의 실시형태들에 따른, 제조 시설 (950) 에서의 다중 프로세싱 헤드 프로세스 툴들 (600, 640) 을 도시한다. 다중 프로세싱 헤드 프로세스 툴들 (600, 640) 과 다른 프로세스 툴들 (952) 은 시설 제어 센터 (929) 에 네트워크 (927) 에 의해 결합된다. 시설 제어 센터 (929) 는 다중 프로세싱 헤드 프로세스 툴들 (600, 640) 각각의 컨트롤러들 (612) 에 중앙집중화된 액세스를 제공하기 위해 중앙 컨트롤러 (940) 를 포함한다.
도 10 은 본 발명의 실시형태들에 따른, 프로세싱을 수행하기 위한 예시적인 컴퓨터 시스템 (1000) (예를 들면, 전술한 컨트롤러 (612) 및/또는 시설 컨트롤러 (940)) 의 블록도이다. 컴퓨터 시스템 (1000) 은 디지털 컴퓨터 (digital computer) (1002), 디스플레이 화면 (또는 모니터) (1004), 프린터 (1006), 플로피 디스크 드라이브 (1008), 하드 디스크 드라이브 (1010), 네트워크 인터페이스 (1012), 그리고 키보드 (1014) 를 포함한다. 컴퓨터 (1002) 는 마이크로프로세서 (1016), 메모리 버스 (1018), 랜덤 액세스 메모리 (RAM) (1020), 리드 온리 메모리 (ROM) (1022), 주변 장치 버스 (1024), 그리고 키보드 컨트롤러 (KCB) (1026) 를 포함한다. 컴퓨터 (1002) 는 (예를 들면, IBM 호환 퍼스털 컴퓨터, 맥킨토시 컴퓨터 또는 맥킨토시 호환 컴퓨터와 같은) 퍼스널 컴퓨터, (예를 들면 썬 마이크로시스템즈 또는 휴렛-팩커드 워크스테이션과 같은) 워크스테이션 컴퓨터, 또는 다른 유형의 컴퓨터일 수 있다.
마이크로프로세서 (1016) 는 컴퓨터 시스템 (1000) 의 동작을 제어하는 범용 디지털 프로세서이다. 마이크로프로세서 (1016) 는 단일-칩 프로세서일 수 있거나 다수의 컴포넌트들로 구현될 수 있다. 메모리로부터 인출한 명령들을 이용하여, 마이크로프로세서 (1016) 는 입력 데이터의 수신과 조작 그리고 출력 디바이스들 상의 데이터의 출력과 디스플레이를 제어한다.
메모리 버스 (1018) 는 RAM (1020) 과 ROM (1022) 를 액세스하기 위해 마이크로프로세서 (1016) 에 의해 사용된다. RAM (1020) 은 마이크로프로세서 (1016) 에 의해 일반적인 저장 영역 및 스크래치-패드 메모리 (scratch-pad memory) 로서 사용되며, 또한 입력 데이터와 프로세스된 데이터를 저장하기 위해 사용된다. ROM (1022) 은 다른 데이터뿐만 아니라 마이크로프로세서 (1016) 가 따르는 명령들 또는 프로그램 코드을 저장하기 위해 사용될 수 있다.
주변 장치 버스 (1024) 는 디지털 컴퓨터 (1002) 에 의해 사용된 입력, 출력 및 저장 디바이스들을 액세스하기 위해 사용된다. 설명된 실시 형태에서, 이러한 디바이스들은 디스플레이 스크린 (1004), 프린터 디바이스 (1006), 플로피 디스크 드라이브 (1008), 하드 디스크 드라이브 (1010), 그리고 네트워크 인터페이스 (1012) 를 포함한다. 키보드 컨트롤러 (1026) 는 키보드 (1014) 로부터의 입력을 수신하고 각각의 눌러진 키에 대해 디코딩된 심볼들을 버스 (1028) 를 통하여 마이크로프로세서 (1016) 로 송신하기 위해 사용된다.
디스플레이 스크린 (1004) 은 컴퓨터 시스템 (1000) 에서 주변 장치 버스 (1024) 를 통하여 마이크로프로세서 (1016) 에 의해 제공되거나 다른 컴포넌트들에 의해 제공된 데이터의 이미지들을 디스플레이하는 출력 디바이스이다. 프린터 디바이스 (1006) 는 프린터로 작동하면 종이 한장 또는 유사한 표면상에 이미지를 제공한다. 플로터 (plotter), 타이프세터 (typesetter) 등과 같은 다른 출력 디바이스들이 프린터 디바이스 (1006) 를 대신하거나 프린터 디바이스 (1006) 에 추가하여 사용될 수 있다.
플로피 디스크 드라이브 (1008) 와 하드 디스크 드라이브 (1010) 는 다양한 유형의 데이터를 저장하기 위해 사용될 수 있다. 플로피 디스크 드라이브 (1008) 는 그러한 데이터를 다른 컴퓨터 시스템들로 이송하는 것을 용이하게 하며, 하드 디스크 드라이브 (1010) 는 다량의 저장된 데이터로의 빠른 액세스를 허용한다.
마이크로프로세서 (1016) 는 오퍼레이팅 시스템과 함께 컴퓨터 코드를 실행하고 데이터를 생성 및 사용하기 위해 작동한다. 컴퓨터 코드와 데이터는 RAM (1020), ROM (1022) 또는 하드 디스크 드라이브 (1010) 상에 상주할 수도 있다. 컴퓨터 코드와 데이터는 또한 착탈 가능 프로그램 매체상에 상주하고 필요한 경우 컴퓨터 시스템 (1000) 상에 로딩되거나 설치된다. 착탈 가능 프로그램 매체는, 예를 들면, CD-ROM, PC-CARD, 플로피 디스크, 플래쉬 메모리 (flash memory), 광 매체 그리고 자기 테이프를 포함한다.
네트워크 인터페이스 (1012) 는 다른 컴퓨터 시스템에 연결된 네트워크를 통하여 데이터를 송신하고 수신하기 위해 사용된다. 마이크로프로세서 (1016) 에 의해 구현된 인터페이스 카드 또는 유사한 디바이스와 적절한 소프트웨어는 컴퓨터 시스템 (1000) 을 기존의 네트워크에 연결하고 표준 프로토콜들에 따라 데이터를 전송하기 위해 사용될 수 있다.
키보드 (1014) 는 커맨드들과 다른 명령들을 컴퓨터 시스템 (1000) 에 입력하기 위해 사용자에 의해 사용된다. 다른 유형들의 사용자 입력 디바이스들이 또한 본 발명과 함께 사용될 수 있다. 예를 들면, 컴퓨터 마우스, 트랙볼 (track ball), 스타일러스 (stylus), 또는 태블릿 (tablet) 과 같은 포인팅 디바이스들이 범용 컴퓨터의 화면상에서 포인터를 조작하기 위해 사용될 수 있다.
전술한 실시 형태들을 기억하면서, 본 발명은 컴퓨터 시스템들에 저장된 데이터와 관련된 다양한 컴퓨터-구현된 동작들을 채용할 수도 있다는 것이 이해되어야 한다. 이러한 동작들은 물리적 양들의 물리적 조작을 요구하는 것들이다. 일반적으로, 반드시 꼭 그런 것은 아니지만, 이러한 양들은 저장될 수 있고, 전송될 수 있고, 조합될 수 있고, 비교될 수 있고, 아니면 조작될 수 있는 전기 또는 자기 신호들의 형태를 가진다. 게다가, 수행된 조작들은 종종 생성, 식별, 결정, 또는 비교라는 용어로 불린다.
본 발명의 일부를 형성하는 여기에 설명된 동작들중 임의의 어느 동작이라도 모두 유용한 머신 동작들이다. 본 발명은 또한 이러한 동작들을 수행하기 위한 디바이스 또는 장치에 관한 것이다. 장치는 요구되는 목적들을 위해 특별히 구축될 수 도 있거나, 컴퓨터에 저장된 컴퓨터 프로그램에 의해 선택적으로 활성화되거나 구성되는 범용 컴퓨터일 수도 있다. 특히, 다양한 범용 기계들이 여기에서 교시들에 따라 기입된 컴퓨터 프로그램들과 함께 사용될 수도 있거나, 요구되는 동작들을 수행하기 위한 보다 전문화된 장치를 구축하는 것이 보다 간편할 수 도 있다. 본 발명에 대한 예시적인 구조가 아래에 설명된다.
본 발명의 실시 형태들은 또한 데이터를 어떤 상태에서 다른 상태로 변환하는 머신으로서 정의될 수 있다. 변환된 데이터는 저장기에 저장될 수 있고, 그런 다음 프로세서에 의해 조작될 수 있다. 이렇게 하여, 프로세서는 데이터를 어떤 것에서 다른 것으로 변환한다. 게다가, 방법들은 네트워크를 통하여 연결될 수 있는 하나 또는 그 이상의 머신들 또는 프로세서들에 의해 프로세스될 수 있다. 각각의 머신은 데이터를 어떤 상태 또는 어떤 것에서 다른 상태 또는 다른 것으로 변환시킬 수 있으며, 또한 데이터를 프로세스할 수 있으며, 저장기에 데이터를 저장하고, 네트워크를 통하여 데이터를 송신하고, 결과를 디스플레이하거나, 결과를 다른 머신에 전달할 수 있다.
본 발명은 또한 컴퓨터 판독가능 매체상에 컴퓨터 판독가능 코드 및/또는 로직으로 구현될 수 있다. 컴퓨터 판독가능 매체는 저장된 후에 컴퓨터 시스템에 의해 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체의 예들은 하드 드라이브들, NAS (network attached storage), 로직 회로들, 리드-온리 메모리 (read-only memory), 랜덤-액세스 메모리, CD-ROM들, CD-R들, CD-RW들, 자기 테이프들, 그리고 다른 광학 또는 비-광학 데이터 저장 디바이스들을 포함한다. 컴퓨터 판독가능 매체는 또한 컴퓨터 판독가능 코드가 분산된 방식으로 저장되고 실행되도록, 컴퓨터 시스템들에 결합된 네트워크를 통하여 분산될 수 있다.
또한 상기 도면들에서 동작들에 의해 나타낸 명령들은 도시된 순서대로 수행되도록 요구되는 것은 아니며, 동작들에 의해 나타낸 모든 프로세싱은 본 발명을 반드시 실행하는 것이 아닐 수도 있다. 게다가, 도면들중 임의의 어느 도면에서 설명된 프로세스들은 또한 RAM, ROM 또는 하드 디스크 드라이브 중 어느 하나 또는 그 조합들에 저장된 소프트웨어로 구현될 수 있다.
VI . 다이나믹 척
도 11a 는 본 발명의 실시형태들에 따른, 프로세싱 헤드 (1100) 의 개략도를 도시한다. 프로세싱 헤드 (1100) 는 기판 (102A) 에 대하여 4 개의 위치들 (1102A.1 내지 1102A.4) 로 도시된 단일 마이크로챔버 (202A) 를 포함한다. 척 (201A) 은 기판 (102A) 을 지지하고 있다. 바이어싱 소스 (232B) 는 원하는 주파수에서의 바이어스 전력 (바이어스 신호 (1104)) 을 척 (201A) 에 제공한다. 바이어스 신호 (1104) 는 기판과 척 (201A) 의 표면 사이의 컨택을 통해 기판 (102A) 으로 인가된다. 마이크로챔버 (202A) 는 마이크로챔버의 개방측 (1101) 로부터 플라즈마 (244) 로부터 전자기 에너지 (1103A) 를 (예를 들면, 기판 (102A) 을 향하여 그리고/또는 링 (208) 의 에지를 향하여) 방출한다.
위치 (1102A1) 에서, 전자기 에너지 (1103A) 는 어느 정도 에지 링 (208) 을 향하지만, 전류 경로가 기판 (102A) 을 통과하여 척 (201A) 까지 이어져 있으므로, 전류의 적어도 일부는 기판 (102A) 의 에지를 향하여 당겨진다. 이러한 전류는 또한 이온들을 기판 (102A) 의 에지를 향하여 당긴다. 그 결과, 기판의 에지와 그 에지에 인접한 영역은 기판 (102A) 의 다른 부분들과 비교하여 추가적인 프로세싱 시간과 체류 시간을 얻을 수 있다.
마이크로챔버 (202A) 가 위치 (1102A.1) 에서 위치 (1102A.2) 로 이동하였으므로, 전류 경로 (1103A.2) 는 기판 (102A) 을 통하여 척 (201A) 까지 실질적으로 직선으로 이어진다. 마찬가지로, 마이크로챔버 (202A) 가 위치 (1102A.2) 에서 위치 (1102A.3) 로 이동하였으므로, 전류 경로 (1103A.3) 는 기판 (102A) 을 통하여 척 (201A) 까지 실질적으로 직선으로 이어진다.
마이크로챔버 (202A) 가 위치 (1102A.3) 에서 위치 (1102A.4) 로 이동하였으므로, 전류 경로 (1103A.4) 는 기판 (102A) 을 통하여 척 (201A) 까지 실질적으로 직선으로 이어지지만 어쩌면 에지 링 (208) 을 향한 것 만큼 균일하게는 이어지지 않는다. 이 전류는 이온들의 일부를 기판 (102A) 의 에지를 향하여 끌어당길 수 있다. 그 결과, 기판의 에지와 그 에지에 인접한 영역은 기판 (102A) 의 다른 부분들과 비교하여 추가적인 프로세싱 시간과 체류 시간을 얻을 수 있다.
도 11b 는 본 발명의 실시형태들에 따른, 프로세싱 헤드 (1110) 의 개략도를 도시한다. 프로세싱 헤드 (1110) 는 다이나믹 척 (1108) 을 포함한다. 다이나믹 척 (1108) 은 기판 (102A) 의 반대편과 에지 링 (208) 에 지지와 바이어싱을 제공한다. 지지 재료 (1106) 의 비교적 박층이 척 (201A) 과 기판 (102A) 사이에 제공된다. 지지 재료 (1106A) 의 비교적 박층이 척 (201A) 과 에지 링 (208) 사이에 제공된다. 지지 재료 (1106, 1006A) 는 일체형 (one piece) 일 수 있다. 대안적으로, 지지 재료 (1106, 1006A) 는 분리될 수 있다.
척 (1108) 은 전술한 바와 같이 기판 (102A)의 에지들에서 이온들의 집중을 감소시킨다. 나아가, 다이나믹 척 (1108) 은 기판 (102A)의 에지들에서 이온들의 집중을 감소시킬 수 있고 또한 전기 효율들을 얻을 수 있다. 마이크로챔버 (202A) 는 에지 링 (208) 및/또는 기판 (102A) 의 대응되는 부분이 바이어스되는 것을 오직 필요로 한다.
도 11c 는 본 발명의 일 실시형태에 따라, 마이크로챔버 (202A) 내에 플라즈마를 형성하고 마이크로챔버를 이동하고 다이나믹 척 (1108) 의 대응 부분들을 바이어싱함에 있어 수행되는 방법 동작들 (1150) 을 도시한 플로우차트이다. 여기에 도시된 동작들은 예를 든 것이며, 일부 동작들은 서브-동작들을 가질 수도 있으며, 다른 경우들에서, 여기에 설명된 어떤 동작들은 도시된 동작들에 포함되지 않을 수도 있다는 것이 이해되어야 한다. 이러한 사실을 기억하면서, 이제 방법 및 동작들 (1150) 이 설명될 것이다. 동작 (1152) 에서, 위치 (1102A.1) 의 마이크로챔버 (202A) 에 플라즈마가 형성된다. 동작 (1154) 에서, 다이나믹 척 (1108) 은 에지 링 (208) 의 대응 부분 (1109A.1) 이 바이어스되도록 다이나믹 척 (1108) 의 대응 부분 (1104A.1) 을 오직 바이어스할 필요가 있다. 그 결과, 전류 경로와 이온 경로는 마이크로챔버 (202A) 와 다이나믹 척 (1108) 의 대응 부분 (1104A.1) 사이의 에지 링 (208) 의 대응 부분 (1109A.1) 만으로 실질적으로 제한된다.
동작 (1156) 에서, 마이크로챔버는 후속 위치 (1102A.2) 로 이동한다. 동작 (1158) 에서, 다이나믹 척 (1108) 은 기판 (102A) 의 대응 부분 (1109A.2) 이 바이어스되도록 다이나믹 척의 대응 부분 (1104A.2) 을 오직 바이어스해야 한다. 그 결과, 전류 경로와 이온 경로는 마이크로챔버 (202A) 와 다이나믹 척 (1108) 의 대응 부분 (1104A.2) 사이의 기판 (102A) 의 대응 부분 (1109A.2) 만으로 실질적으로 제한된다.
방법 동작들은 기판 및/또는 에지 링 (208) 의 후속 부분들을 위한 동작들 (1156 및 1158) 에서 계속되고 방법 동작들은 종료될 수 있다. 예를 들면, 마이크로챔버가 위치 (1102A.3) 으로 이동하였으므로, 다이나믹 척 (1108) 은 기판 (102A) 의 대응 부분 (1109A.3) 이 바이어스되도록 다이나믹 척의 대응 부분 (1104A.3) 을 오직 바이어스할 필요가 있다. 그 결과, 전류 경로와 이온 경로는 마이크로챔버 (202A) 와 다이나믹 척 (1108) 의 대응 부분 (1104A.3) 사이의 기판 (102A) 의 대응 부분 (1109A.3) 만으로 실질적으로 제한된다.
마이크로챔버가 위치 (1102A.4) 으로 이동하였으므로, 다이나믹 척 (1108) 은 기판 (102A) 과 에지 링 (208) 의 대응 부분 (1109A.4) 이 바이어스되도록 다이나믹 척의 대응 부분 (1104A.4) 을 오직 바이어스할 필요가 있다. 그 결과, 전류 경로와 이온 경로는 마이크로챔버 (202A) 와 다이나믹 척 (1108) 의 대응 부분 (1104A.4) 사이의 기판 (102A) 의 대응 부분 (1109A.4) 만으로 실질적으로 제한된다.
다이나믹 척 (1106) 의 대응하는 부분들만을 바이어싱하면 바이어싱의 에너지 요구량들을 감소시키고 또한 플라즈마로부터 기판으로의 이온들의 보다 더 제어된 흐름을 제공한다. 다이나믹 척 (1106) 은 임의의 주어진 시간에 바이어싱을 요구하는 기판 (102A)의 영역들만이 선택적으로 바이어스될 수 있도록 선택적으로 바이어스될 수 있는 많은 전기적으로 분리된 부분들을 포함할 수 있다. 상기 많은 전기적으로 분리된 부분들은 공지의 메모리 매트릭스 형의 시스템들과 유사한 매트릭스를 통해 선택적으로 바이어스 될 수 있다. 다이나믹 척 (1106) 의 어드레스가능한 전기적으로 분리된 부분들과 같은 다른 시스템들이 구현될 수 있다.
도 11d 는 본 발명의 실시형태들에 따른, 프로세싱 헤드 (1120) 의 개략도를 도시한다. 다이나믹 척 (1108) 은 대응 위치들 (예를 들면, 1104A.1 내지1104A.4, 등) 에서 마이크로챔버 (202A) 의 위치 (예를 들면, 위치들 (1102A.1 내지 1102A.4) 등) 까지 이동할 수 있는 다이나믹 척의 이동가능한 부분 (1124) 을 포함한다. 액추에이터 (1122) 는 링크 (1121) 에 의해 이동가능한 부분 (1124) 에 결합된다. 액추에이터 (1122) 는 이동가능한 부분 (1124) 을 필요할 때 이동시킨다. 다이나믹 척의 이동가능한 부분 (1124) 은 다이너믹 척의 유일한 바이어스된 부분일 수 있고, 그러므로 바이어스된 이동가능한 부분은 마이크로챔버 위치에 대응하기 위해 이동될 수 있으며, 기판 지지부 (1106) 와 에지 링 지지부 (1106A) 의 잔존 부분은 마이크로챔버 (202A) 와 나란하게 정렬되지 않는다면 바이어스되지 않는다.
프로세싱 헤드 (1100, 1120) 가 오직 하나의 마이크로챔버 (202A) 를 가진 것으로 전술되었지만, 프로세싱 헤드 (1100, 1120) 는 여기에 설명된 바와 같이 다수의 마이크로챔버들을 포함할 수 있다는 것이 이해되어야 한다. 따라서, 다이나믹 척 (1108) 은 다수의 이동가능한 부분들 (1104A) 및/또는 선택적으로 바어어스되어 프로세싱 헤드 (1100, 1120) 에서 다수의 마이크로챔버 (202A) 중 각각의 하나와 실질적으로 나란히 정렬되고 대응할 수 있는 다수의 부분들을 가질 수 있다.
도 12a 내지 도 12c 는 본 발명의 실시형태들에 따른, 플라즈마 마이크로챔버들 (1200, 1210, 1220) 이다. 도 12d 는 본 발명의 실시형태들에 따른, 선형 다중 마이크로챔버 시스템 (1240) 의 평면도이다. 도 12e 는 본 발명의 실시형태들에 따른, 선형 다중 마이크로챔버 시스템 (1250) 의 측면도이다. 도 12f 는 본 발명의 실시형태들에 따라, 기판들을 클리닝 라인 (1266) 으로 공급하는 2 개의 선형 다중 마이크로챔버 시스템들 (1262, 1262) 을 포함하는 시스템 (1260) 의 평면도이다. 도 12g 는 본 발명의 실시형태들에 따라, 2 개의 부채꼴의 다중 마이크로챔버들을 가지는 시스템 (1270) 의 평면도이다. 도 12h 는 본 발명의 실시형태들에 따른, 다양한 플라즈마 소스들의 그래프 (1280) 이다. 도 12i 는 본 발명의 실시형태들에 따른, 다양한 타입들의 플라즈마의 플라즈마 밀도들의 그래프 (1290) 이다.
전술한 발명은 이해의 명확성을 위하여 다소 상세히 설명되었지만, 첨부된 청구항들의 범위내에서 임의의 변경들 및 수정들이 실행될 수도 있다는 것은 명백할 것이다. 따라서, 본 실시 형태들은 실례로서 한정적이지 않은 것으로 간주되며, 본 발명은 여기에 제시된 상세한 설명에 제한되지 않으며, 첨부된 청구항들의 범위와 등가물 이내에서 수정될 수도 있다.

Claims (45)

  1. 플라즈마 에치 프로세싱 툴 (plasma etch processing tool) 로서,
    기판 표면 영역을 가진 기판을 지지하는 기판 지지부;
    상기 기판 지지부 위로 방향이 부여된 개방측을 가지는 플라즈마 마이크로챔버를 포함하는 프로세싱 헤드로서, 상기 플라즈마 마이크로챔버의 개방측은 상기 기판 표면 영역보다 작은 프로세스 영역을 가지는, 상기 프로세싱 헤드;
    상기 기판 지지부와 상기 프로세싱 헤드 사이에 정의된 실링 (sealing) 구조; 및
    상기 플라즈마 마이크로챔버와 상기 기판 지지부에 연결된 전원 공급부 (power supply) 를 포함하는, 플라즈마 에치 프로세싱 툴.
  2. 제 1 항에 있어서,
    상기 전원 공급부는 상기 플라즈마 마이크로챔버 내의 체적 (volume) 에 비례하는 셋팅을 가지는, 플라즈마 에치 프로세싱 툴.
  3. 제 1 항에 있어서,
    상기 전원 공급부는 상기 플라즈마 마이크로챔버에 결합된 제 1 전원 공급부와 상기 기판 지지부에 결합된 제 2 전원 공급부를 포함하는, 플라즈마 에치 프로세싱 툴.
  4. 제 1 항에 있어서,
    상기 기판 지지부는 척 (chuck) 인, 플라즈마 에치 프로세싱 툴.
  5. 제 4 항에 있어서,
    상기 척은 기판의 면적보다 작거나 기판의 면적과 동일한 척킹 영역을 가지는, 플라즈마 에치 프로세싱 툴.
  6. 제 1 항에 있어서,
    상기 플라즈마 마이크로챔버는 기판에 대하여 이동 가능한, 플라즈마 에치 프로세싱 툴.
  7. 제 6 항에 있어서,
    상기 기판 지지부의 일부만이 바이어스되며 (biased), 상기 기판 지지부의 바이어스된 부분은 상기 플라즈마 마이크로챔버와 실질적으로 정렬되는, 플라즈마 에치 프로세싱 툴.
  8. 제 7 항에 있어서,
    상기 기판 지지부의 바이어스된 부분은 상기 이동가능한 플라즈마 마이크로챔버와 실질적인 정렬을 유지하도록 이동가능한, 플라즈마 에치 프로세싱 툴.
  9. 제 1 항에 있어서,
    상기 플라즈마 마이크로챔버는 마이크로챔버 체적을 가지며 상기 마이크로챔버 체적은 플라즈마를 포함하는, 플라즈마 에치 프로세싱 툴.
  10. 제 1 항에 있어서,
    상기 플라즈마 마이크로챔버에 결합된 프로세스 재료 소스; 및
    상기 플라즈마 마이크로챔버에 결합된 진공 소스를 더 포함하는, 플라즈마 에치 프로세싱 툴.
  11. 제 10 항에 있어서,
    상기 진공 소스는 조정가능한 진공 소스인, 플라즈마 에치 프로세싱 툴.
  12. 제 1 항에 있어서,
    실링 구조를 더 포함하는, 플라즈마 에치 프로세싱 툴.
  13. 제 12 항에 있어서,
    상기 실링 구조는 실링 링을 포함하는, 플라즈마 에치 프로세싱 툴.
  14. 제 12 항에 있어서,
    상기 실링 구조는 마이크로챔버의 둘레에 외부 챔버를 포함하는, 플라즈마 에치 프로세싱 툴.
  15. 제 1 항에 있어서,
    상기 플라즈마 마이크로챔버는 기판에 대하여 이동 가능하고 상기 기판 지지부에 연결된 액추에이터 (actuator) 를 더 포함하며,
    상기 액추에이터는, 상기 기판 지지부 위에 위치될 때, 기판 표면의 선택된 영역을 노출하기 위해 상기 기판 지지부를 이동하도록 구성된, 플라즈마 에치 프로세싱 툴.
  16. 제 15 항에 있어서,
    상기 액추에이터는 회전 방향, 각 (angular) 방향, 선형 방향, 비-선형 방향 또는 피봇팅 (pivoting) 방향 중 하나 이상의 방향으로 이동하도록 구성된, 플라즈마 에치 프로세싱 툴.
  17. 제 1 항에 있어서,
    상기 플라즈마 마이크로챔버는 기판에 대하여 이동 가능하고 상기 플라즈마 마이크로챔버에 연결된 액추에이터를 더 포함하며,
    상기 액추에이터는, 상기 기판 지지부 위에 위치될 때, 기판 표면의 선택된 영역을 노출하기 위해 플라즈마 마이크로챔버를 이동하도록 구성된, 플라즈마 에치 프로세싱 툴.
  18. 제 17 항에 있어서,
    상기 액추에이터는 회전 방향, 각 방향, 선형 방향, 비-선형 방향 또는 피봇팅 방향 중 하나 이상의 방향으로 이동하도록 구성된, 플라즈마 에치 프로세싱 툴.
  19. 제 1 항에 있어서,
    상기 기판 지지부는 기판을 회전시키도록 구성된, 플라즈마 에치 프로세싱 툴.
  20. 제 1 항에 있어서,
    상기 기판 지지부는 에지 링을 포함하는, 플라즈마 에치 프로세싱 툴.
  21. 제 20 항에 있어서,
    상기 에지 링의 적어도 일부는 바이어스되는, 플라즈마 에치 프로세싱 툴.
  22. 제 20 항에 있어서,
    상기 에지 링의 적어도 일부는 교체가능한, 플라즈마 에치 프로세싱 툴.
  23. 제 20 항에 있어서,
    상기 에지 링의 적어도 일부는 플라즈마 마이크로챔버 내의 플라즈마와 반응하는, 플라즈마 에치 프로세싱 툴.
  24. 제 20 항에 있어서,
    상기 에지 링은 상기 기판 지지부상에 존재할 때 기판의 에지의 적어도 일부에 인접하는, 플라즈마 에치 프로세싱 툴.
  25. 제 20 항에 있어서,
    상기 에지 링은 상기 기판 지지부상에 존재할 때 기판의 에지의 커브 (curved) 부분에 인접하는, 플라즈마 에치 프로세싱 툴.
  26. 제 1 항에 있어서,
    상기 마이크로챔버는 복수의 유입 포트들 (inlet ports) 과 복수의 유출 포트들 (outlet ports) 을 포함하는, 플라즈마 에치 프로세싱 툴.
  27. 제 26 항에 있어서,
    상기 복수의 유입 포트들 중 적어도 하나가 복수의 프로세스 재료 소스들 중 하나에 결합되는, 플라즈마 에치 프로세싱 툴.
  28. 제 26 항에 있어서,
    상기 복수의 유입 포트들 중 적어도 하나가 퍼지 (purge) 재료 소스에 결합되는, 플라즈마 에치 프로세싱 툴.
  29. 제 26 항에 있어서,
    상기 복수의 유출 포트들 중 적어도 하나가 진공 소스에 결합되는, 플라즈마 에치 프로세싱 툴.
  30. 제 1 항에 있어서,
    적어도 하나의 모니터링 기구를 더 포함하는, 플라즈마 에치 프로세싱 툴.
  31. 제 30 항에 있어서,
    상기 모니터링 기구는 상기 플라즈마 마이크로챔버로부터 출력된 부산물을 모니터링하는, 플라즈마 에치 프로세싱 툴.
  32. 제 30 항에 있어서,
    상기 모니터링 기구는 상기 플라즈마 마이크로챔버로부터 방사된 빛의 스펙트럼을 모니터링하는, 플라즈마 에치 프로세싱 툴.
  33. 제 30 항에 있어서,
    상기 모니터링 기구는 컨트롤러에 결합되는, 플라즈마 에치 프로세싱 툴.
  34. 제 30 항에 있어서,
    상기 모니터링 기구는 기판의 표면을 모니터링하는, 플라즈마 에치 프로세싱 툴.
  35. 제 1 항에 있어서,
    상기 플라즈마 마이크로챔버의 내부 체적은 상기 플라즈마 마이크로챔버의 길이를 따라서 일정한 폭을 가지는, 플라즈마 에치 프로세싱 툴.
  36. 제 1 항에 있어서,
    상기 플라즈마 마이크로챔버의 내부 체적은 상기 플라즈마 마이크로챔버의 길이를 따라서 변화하는 폭을 가지는, 플라즈마 에치 프로세싱 툴.
  37. 제 1 항에 있어서,
    상기 플라즈마 마이크로챔버의 내부 체적은 상기 플라즈마 마이크로챔버의 길이를 따라서 일정한 깊이를 가지는, 플라즈마 에치 프로세싱 툴.
  38. 제 1 항에 있어서,
    상기 플라즈마 마이크로챔버의 내부 체적은 상기 플라즈마 마이크로챔버의 길이를 따라서 변화하는 깊이를 가지는, 플라즈마 에치 프로세싱 툴.
  39. 제 1 항에 있어서,
    상기 플라즈마 마이크로챔버의 내부 체적은 상기 플라즈마 마이크로챔버의 길이를 따라서 조정가능한 깊이를 가지는, 플라즈마 에치 프로세싱 툴.
  40. 제 1 항에 있어서,
    상기 플라즈마 에치 프로세싱 툴은 복수의 플라즈마 마이크로챔버들을 포함하는, 플라즈마 에치 프로세싱 툴.
  41. 제 40 항에 있어서,
    상기 복수의 플라즈마 마이크로챔버들은 선형 배열을 가지는, 플라즈마 에치 프로세싱 툴.
  42. 제 40 항에 있어서,
    상기 복수의 플라즈마 마이크로챔버들은 회전 배열을 가지는, 플라즈마 에치 프로세싱 툴.
  43. 플라즈마 에치를 수행하는 방법으로서,
    플라즈마 마이크로챔버 내에서 플라즈마를 형성하는 단계; 및
    기판 지지부에 존재할 때, 상기 기판의 복수의 표면들 중 선택된 하나가 상기 플라즈마에 노출될 때까지 상기 기판의 표면에 대하여 상기 플라즈마 마이크로챔버를 이동시키는 단계를 포함하며,
    상기 마이크로챔버는,
    기판 표면 영역을 가진 기판을 지지하는 기판 지지부;
    상기 기판 지지부 위로 방향이 부여된 개방측을 가지는 플라즈마 마이크로챔버를 포함하는 프로세싱 헤드로서, 상기 플라즈마 마이크로챔버의 개방측은 상기 기판 표면 영역보다 작은 프로세스 영역을 가지는, 상기 프로세싱 헤드;
    상기 기판 지지부와 상기 프로세싱 헤드 사이에 정의된 실링 (sealing) 구조; 및
    상기 플라즈마 마이크로챔버와 상기 기판 지지부에 연결된 전원 공급부를 포함하는, 플라즈마 에치를 수행하는 방법.
  44. 제 43 항에 있어서,
    상기 플라즈마 마이크로챔버로부터 복수의 플라즈마 부산물들을 인출하는 단계를 더 포함하는, 플라즈마 에치를 수행하는 방법.
  45. 제 44 항에 있어서,
    상기 복수의 플라즈마 부산물들은 상기 플라즈마 마이크로챔버의 상단 부분 근처에서 상기 플라즈마 마이크로챔버로부터 인출되는, 플라즈마 에치를 수행하는 방법.
KR1020127014385A 2009-12-03 2010-12-02 소형 플라즈마 챔버 시스템들 및 방법들 KR101800037B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US26647609P 2009-12-03 2009-12-03
US61/266,476 2009-12-03
US12/957,923 2010-12-01
US12/957,923 US9111729B2 (en) 2009-12-03 2010-12-01 Small plasma chamber systems and methods
PCT/US2010/058791 WO2011069011A1 (en) 2009-12-03 2010-12-02 Small plasma chamber systems and methods

Publications (2)

Publication Number Publication Date
KR20120104222A true KR20120104222A (ko) 2012-09-20
KR101800037B1 KR101800037B1 (ko) 2017-11-21

Family

ID=44081004

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127014385A KR101800037B1 (ko) 2009-12-03 2010-12-02 소형 플라즈마 챔버 시스템들 및 방법들

Country Status (7)

Country Link
US (3) US9111729B2 (ko)
JP (1) JP5826761B2 (ko)
KR (1) KR101800037B1 (ko)
CN (1) CN102753723B (ko)
SG (1) SG10201407638RA (ko)
TW (1) TWI443740B (ko)
WO (1) WO2011069011A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160019876A (ko) * 2014-08-12 2016-02-22 램 리써치 코포레이션 차동 펌핑된 반응 가스 주입기
KR20210008146A (ko) * 2017-12-15 2021-01-20 램 리써치 코포레이션 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
KR20220025235A (ko) * 2014-01-13 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
KR101794069B1 (ko) * 2010-05-26 2017-12-04 삼성전자주식회사 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US8980046B2 (en) * 2011-04-11 2015-03-17 Lam Research Corporation Semiconductor processing system with source for decoupled ion and radical control
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
JP5712874B2 (ja) 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9177762B2 (en) * 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP6011417B2 (ja) * 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP5939147B2 (ja) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
JP5971144B2 (ja) * 2013-02-06 2016-08-17 東京エレクトロン株式会社 基板処理装置及び成膜方法
US9997381B2 (en) * 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10276426B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
US11682544B2 (en) * 2020-10-21 2023-06-20 Applied Materials, Inc. Cover wafer for semiconductor processing chamber
CN113782408A (zh) * 2021-09-15 2021-12-10 中山市博顿光电科技有限公司 等离子体发射方向控制装置、等离子体源及其启动方法

Family Cites Families (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4276557A (en) * 1978-12-29 1981-06-30 Bell Telephone Laboratories, Incorporated Integrated semiconductor circuit structure and method for making it
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS61189642A (ja) 1985-02-18 1986-08-23 Mitsubishi Electric Corp プラズマ反応装置
EP0246453A3 (en) 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
KR960016218B1 (ko) * 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 표면처리방법 및 그 장치
RU2094961C1 (ru) 1989-07-20 1997-10-27 Уланов Игорь Максимович Трансформаторный плазмотрон
RU2022917C1 (ru) 1989-09-27 1994-11-15 Уланов Игорь Максимович Способ получения окиси азота
JPH07110991B2 (ja) * 1989-10-02 1995-11-29 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
RU2056702C1 (ru) 1990-07-09 1996-03-20 Уланов Игорь Максимович Трансформаторный плазмотрон
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
JPH0644481A (ja) 1991-02-13 1994-02-18 Teruo Sato 交換機能付集合警報表示装置
US5183990A (en) * 1991-04-12 1993-02-02 The Lincoln Electric Company Method and circuit for protecting plasma nozzle
US5236512A (en) * 1991-08-14 1993-08-17 Thiokol Corporation Method and apparatus for cleaning surfaces with plasma
US5353314A (en) 1991-09-30 1994-10-04 The United States Of America As Represented By The United States Department Of Energy Electric field divertor plasma pump
JPH05144594A (ja) 1991-11-19 1993-06-11 Ebara Corp 放電プラズマ発生装置
JPH05166595A (ja) 1991-12-12 1993-07-02 Fuji Denpa Koki Kk 高気圧高密度プラズマ発生方法
US5302237A (en) * 1992-02-13 1994-04-12 The United States Of America As Represented By The Secretary Of Commerce Localized plasma processing
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5349271A (en) * 1993-03-24 1994-09-20 Diablo Research Corporation Electrodeless discharge lamp with spiral induction coil
JP2950110B2 (ja) 1993-09-24 1999-09-20 住友金属工業株式会社 プラズマエッチング方法
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5679167A (en) * 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
JPH0878192A (ja) 1994-09-06 1996-03-22 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
US5620524A (en) * 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5811021A (en) * 1995-02-28 1998-09-22 Hughes Electronics Corporation Plasma assisted chemical transport method and apparatus
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
JPH0950992A (ja) * 1995-08-04 1997-02-18 Sharp Corp 成膜装置
US5630880A (en) * 1996-03-07 1997-05-20 Eastlund; Bernard J. Method and apparatus for a large volume plasma processor that can utilize any feedstock material
EP0805475B1 (en) * 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
JP3489351B2 (ja) * 1996-09-17 2004-01-19 セイコーエプソン株式会社 表面処理装置およびその表面処理方法
AUPO281896A0 (en) * 1996-10-04 1996-10-31 Unisearch Limited Reactive ion etching of silica structures for integrated optics applications
US6190236B1 (en) * 1996-10-16 2001-02-20 Vlsi Technology, Inc. Method and system for vacuum removal of chemical mechanical polishing by-products
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US6924455B1 (en) * 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JPH11135297A (ja) 1997-10-31 1999-05-21 Kumagai Hiromi プラズマ発生器
JPH11150104A (ja) * 1997-11-19 1999-06-02 Niigata Eng Co Ltd 半導体基板の表面平坦化装置
US6429400B1 (en) * 1997-12-03 2002-08-06 Matsushita Electric Works Ltd. Plasma processing apparatus and method
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6905578B1 (en) * 1998-04-27 2005-06-14 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure
JP3349953B2 (ja) * 1998-05-25 2002-11-25 シャープ株式会社 基板処理装置
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
EP0989595A3 (en) * 1998-09-18 2001-09-19 Ims-Ionen Mikrofabrikations Systeme Gmbh Device for processing a surface of a substrate
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6478875B1 (en) * 1999-03-03 2002-11-12 The Research Foundation Of State University Of New York Method and apparatus for determining process-induced stresses and elastic modulus of coatings by in-situ measurement
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
EP1212775A1 (en) * 1999-08-06 2002-06-12 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
KR20020070436A (ko) 1999-11-19 2002-09-09 나노 스케일 서피스 시스템즈, 인코포레이티드 무기/유기 유전체 막의 증착 시스템 및 증착 방법
US6547458B1 (en) * 1999-11-24 2003-04-15 Axcelis Technologies, Inc. Optimized optical system design for endpoint detection
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP4212210B2 (ja) 1999-12-07 2009-01-21 株式会社小松製作所 表面処理装置
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6337460B2 (en) * 2000-02-08 2002-01-08 Thermal Dynamics Corporation Plasma arc torch and method for cutting a workpiece
JP2001237226A (ja) 2000-02-23 2001-08-31 Kobe Steel Ltd プラズマ処理装置
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP4559595B2 (ja) * 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
TW445540B (en) * 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20020101167A1 (en) * 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US20020153103A1 (en) * 2001-04-20 2002-10-24 Applied Process Technologies, Inc. Plasma treatment apparatus
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4039834B2 (ja) 2001-09-28 2008-01-30 株式会社荏原製作所 エッチング方法及びエッチング装置
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US6660177B2 (en) * 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
US6847430B2 (en) * 2002-02-01 2005-01-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US6761804B2 (en) * 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US7056416B2 (en) * 2002-02-15 2006-06-06 Matsushita Electric Industrial Co., Ltd. Atmospheric pressure plasma processing method and apparatus
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
FR2838020B1 (fr) * 2002-03-28 2004-07-02 Centre Nat Rech Scient Dispositif de confinement de plasma
US20030188685A1 (en) * 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6936546B2 (en) * 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
US7465362B2 (en) * 2002-05-08 2008-12-16 Btu International, Inc. Plasma-assisted nitrogen surface-treatment
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2004014904A (ja) * 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd 同時放電化装置
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6902774B2 (en) * 2002-07-25 2005-06-07 Inficon Gmbh Method of manufacturing a device
US7256132B2 (en) * 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7411352B2 (en) * 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7513262B2 (en) * 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7198055B2 (en) * 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
JP4087234B2 (ja) 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置及びプラズマ処理方法
NL1022155C2 (nl) * 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
JP3827638B2 (ja) * 2002-12-26 2006-09-27 株式会社タムラ製作所 真空処理装置及び真空処理方法
US7163602B2 (en) * 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
JP2004296729A (ja) 2003-03-26 2004-10-21 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US7824520B2 (en) * 2003-03-26 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
JP4460940B2 (ja) * 2003-05-07 2010-05-12 株式会社ニューパワープラズマ 多重放電管ブリッジを備えた誘導プラズマチャンバ
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7632379B2 (en) 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
JP2005032805A (ja) * 2003-07-08 2005-02-03 Future Vision:Kk マイクロ波プラズマ処理方法、マイクロ波プラズマ処理装置及びそのプラズマヘッド
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US7282244B2 (en) * 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
JP2005108932A (ja) * 2003-09-29 2005-04-21 Hitachi Kokusai Electric Inc 半導体製造装置
US8812150B2 (en) * 2003-11-10 2014-08-19 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20050103265A1 (en) 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US7464662B2 (en) * 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
JP4342984B2 (ja) 2004-03-10 2009-10-14 Okiセミコンダクタ株式会社 エッチング方法
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
JP2006024442A (ja) * 2004-07-08 2006-01-26 Sharp Corp 大気圧プラズマ処理装置及び処理方法
ATE532203T1 (de) * 2004-08-27 2011-11-15 Fei Co Lokalisierte plasmabehandlung
JP2006114884A (ja) * 2004-09-17 2006-04-27 Ebara Corp 基板洗浄処理装置及び基板処理ユニット
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
KR20060077363A (ko) * 2004-12-30 2006-07-05 엘지.필립스 엘시디 주식회사 대기개방형 박막처리장치 및 이를 이용한 평판표시장치용기판의 박막처리방법
JP5034245B2 (ja) * 2005-02-10 2012-09-26 コニカミノルタホールディングス株式会社 プラズマ放電処理装置およびプラズマ放電処理方法
US7262555B2 (en) * 2005-03-17 2007-08-28 Micron Technology, Inc. Method and system for discretely controllable plasma processing
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
EP1727186B1 (en) * 2005-05-23 2012-01-25 New Power Plasma Co., Ltd. Plasma chamber with discharge inducing bridge
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
WO2007029777A1 (ja) 2005-09-09 2007-03-15 Ulvac, Inc. イオン源およびプラズマ処理装置
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8092638B2 (en) * 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7397232B2 (en) * 2005-10-21 2008-07-08 The University Of Akron Coulter counter having a plurality of channels
KR100663668B1 (ko) 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100785164B1 (ko) 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP4410771B2 (ja) * 2006-04-28 2010-02-03 パナソニック株式会社 ベベルエッチング装置およびベベルエッチング方法
TW200816880A (en) * 2006-05-30 2008-04-01 Matsushita Electric Ind Co Ltd Atmospheric pressure plasma generating method, plasma processing method and component mounting method using same, and device using these methods
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
JP5069427B2 (ja) 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
DE102006048816A1 (de) 2006-10-16 2008-04-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur lokalen Erzeugung von Mikrowellenplasmen
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
KR100842745B1 (ko) * 2006-11-30 2008-07-01 주식회사 하이닉스반도체 스캔 인젝터를 가지는 플라즈마 공정 장비 및 공정 방법
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
CN101583736A (zh) * 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
KR100868019B1 (ko) * 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US20080179008A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using an etch plasma feeding a lower process zone and a scavenger plasma feeding an upper process zone
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
JP2008205209A (ja) * 2007-02-20 2008-09-04 Matsushita Electric Works Ltd プラズマ処理装置
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
US20080302652A1 (en) * 2007-06-06 2008-12-11 Mks Instruments, Inc. Particle Reduction Through Gas and Plasma Source Control
KR101418438B1 (ko) * 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US20090025879A1 (en) * 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8771483B2 (en) 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090109595A1 (en) * 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
WO2009082763A2 (en) 2007-12-25 2009-07-02 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
KR101659095B1 (ko) * 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
KR101577474B1 (ko) * 2008-02-08 2015-12-14 램 리써치 코포레이션 플라즈마 프로세싱 장치용 rf 리턴 스트랩
US8409459B2 (en) 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US20090229972A1 (en) * 2008-03-13 2009-09-17 Sankaran R Mohan Method and apparatus for producing a feature having a surface roughness in a substrate
US7713757B2 (en) 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
JP5232512B2 (ja) * 2008-03-26 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8236133B2 (en) 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
JP5524453B2 (ja) * 2008-05-15 2014-06-18 Sumco Techxiv株式会社 シリコンウェーハのエッチング方法及びエッチング装置
JP2009295800A (ja) * 2008-06-05 2009-12-17 Komatsu Ltd Euv光発生装置における集光ミラーのクリーニング方法および装置
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5144594B2 (ja) 2008-06-30 2013-02-13 ヤフー株式会社 サーバ装置、サーバ装置における予測方法及びプログラム
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
US20110135843A1 (en) 2008-07-30 2011-06-09 Kyocera Corporation Deposited Film Forming Device and Deposited Film Forming Method
US20100024729A1 (en) 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
KR20100031960A (ko) 2008-09-17 2010-03-25 삼성전자주식회사 플라즈마 발생장치
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8099995B2 (en) 2008-12-16 2012-01-24 Agilent Technologies, Inc. Choked flow isolator for noise reduction in analytical systems
US7994724B2 (en) 2009-03-27 2011-08-09 Ecole Polytechnique Inductive plasma applicator
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5642181B2 (ja) 2009-08-21 2014-12-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 基体を処理する装置及び基体の処理方法
CN102550130A (zh) 2009-08-31 2012-07-04 朗姆研究公司 用于执行等离子体约束的多外围环装置
JP4855506B2 (ja) 2009-09-15 2012-01-18 住友精密工業株式会社 プラズマエッチング装置
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
EP2481832A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
US8916055B2 (en) * 2011-08-02 2014-12-23 Tokyo Electron Limited Method and device for controlling pattern and structure formation by an electric field
JP5166595B2 (ja) 2011-12-16 2013-03-21 株式会社藤商事 遊技機
US9373551B2 (en) * 2013-03-12 2016-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Moveable and adjustable gas injectors for an etching chamber

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220025235A (ko) * 2014-01-13 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
KR20160019876A (ko) * 2014-08-12 2016-02-22 램 리써치 코포레이션 차동 펌핑된 반응 가스 주입기
KR20210008146A (ko) * 2017-12-15 2021-01-20 램 리써치 코포레이션 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들

Also Published As

Publication number Publication date
US20180144906A1 (en) 2018-05-24
CN102753723B (zh) 2015-04-29
TW201126601A (en) 2011-08-01
US20110132874A1 (en) 2011-06-09
WO2011069011A1 (en) 2011-06-09
US9111729B2 (en) 2015-08-18
JP2013514633A (ja) 2013-04-25
US20140151333A1 (en) 2014-06-05
SG10201407638RA (en) 2015-01-29
KR101800037B1 (ko) 2017-11-21
US10332727B2 (en) 2019-06-25
TWI443740B (zh) 2014-07-01
US9911578B2 (en) 2018-03-06
JP5826761B2 (ja) 2015-12-02
CN102753723A (zh) 2012-10-24

Similar Documents

Publication Publication Date Title
KR101800037B1 (ko) 소형 플라즈마 챔버 시스템들 및 방법들
KR101470664B1 (ko) 베벨 에지 에처를 위해 가스를 분배하는 방법 및 시스템
JP3996771B2 (ja) 真空処理装置及び真空処理方法
JP2018098239A (ja) 載置台及びプラズマ処理装置
US20130206594A1 (en) Plasma reactor with tiltable overhead rf inductive source
KR101241570B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP2001520452A (ja) プラズマの密度分布を調節する装置及び方法
JP7296829B2 (ja) プラズマ処理装置、処理方法、上部電極構造
US20190371575A1 (en) Plasma processing apparatus and plasma processing method
CN112768336A (zh) 基片载置台和基片处理装置
JP7321026B2 (ja) エッジリング、載置台、基板処理装置及び基板処理方法
US20210082712A1 (en) Method of etching silicon oxide film and plasma processing apparatus
TWI643261B (zh) 電漿處理晶圓、電漿控制的方法及電漿反應系統
CN113053717A (zh) 载置台、基板处理装置以及导热气体供给方法
US11908754B2 (en) Method and system for controlling profile of critical dimension
US20240076777A1 (en) Substrate processing apparatus and substrate processing method
US11139161B2 (en) Method of processing substrates and substrate processing apparatus
US11004703B1 (en) Gas flow guiding device for semiconductor processing apparatus and method of using the same
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning
US20200135429A1 (en) Local dry etching apparatus
CN117836898A (zh) 具有均匀调谐的多相旋转交叉流的等离子体腔室

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right