JP5826761B2 - 小型のプラズマチャンバシステム及び方法 - Google Patents

小型のプラズマチャンバシステム及び方法 Download PDF

Info

Publication number
JP5826761B2
JP5826761B2 JP2012542199A JP2012542199A JP5826761B2 JP 5826761 B2 JP5826761 B2 JP 5826761B2 JP 2012542199 A JP2012542199 A JP 2012542199A JP 2012542199 A JP2012542199 A JP 2012542199A JP 5826761 B2 JP5826761 B2 JP 5826761B2
Authority
JP
Japan
Prior art keywords
plasma
plasma etching
microchamber
processing tool
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012542199A
Other languages
English (en)
Other versions
JP2013514633A (ja
Inventor
ゴッチョー・リチャード
ディンドサ・ラジンダー
スリニバサン・ムクンド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2013514633A publication Critical patent/JP2013514633A/ja
Application granted granted Critical
Publication of JP5826761B2 publication Critical patent/JP5826761B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32376Scanning across large workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Electron Sources, Ion Sources (AREA)

Description

本発明は、総じて、基板のプラズマ処理に関するものであり、特に、小型のプラズマ処理チャンバを使用して基板の一部分をプラズマ処理するための方法及びシステムに関するものである。
図1は、代表的なプラズマ処理チャンバ100である。代表的なプラズマ処理チャンバ100は、処理対象とされる基板102全体を取り囲む。基板102は、処理チャンバ100に取り込まれる。処理チャンバ100は、次いで、密閉され、出口112を通じて不要なガスを排出するためにパージされる。ポンプ114は、不要なガスの引き抜きを助けることができる。導入ポート122につながれたプロセスガス源及び/又はパージガス源120からは、パージガス又はプロセスガスを処理チャンバ100に送り込むことができる。パージガス又はプロセスガスは、不要なガスを希釈するために又はそうでなくて除去するために、処理チャンバ100から送出することができる。
通常は静電チャック104を通じて、基板102に対して電気的接続がなされる。基板102には、通常は静電チャック104を通じて、プラズマ信号源108Bがつながれる。処理チャンバ内のエミッタ106には、プラズマ信号源108Aがつながれる。
次いで、所望の圧力及び流量の(1つ又は2つ以上の)所望のガスが、処理チャンバ100に投入される。信号源108から所望の周波数及び電位で処理信号(例えばRF)を出力し、放出されたエネルギを処理チャンバ100内のガスに付与することによって、プラズマ110が発生される。プラズマによって生成されたイオン110Aは、基板102の表面全体に直接ぶつかる。プラズマ110は、また、熱も生成し、この熱は、その少なくとも一部を基板102によって吸収される。静電チャック104は、基板102を冷却することもできる。
代表的なプラズマ処理チャンバ100は、処理チャンバ内で基板全体を一度に処理できるように、処理対象とされる基板100よりも大きい。代表的なプラズマ処理チャンバ100は、そのサイズの増大に伴って、パージガスの量及び処理チャンバ100をパージするために必要な時間が増大する。したがって、処理チャンバ100が大きいほど、基板102が処理される前後におけるパージ時間が増大する。
代表的な処理チャンバ100のスループットは、基板の取り込み時間と、処理前のパージ時間と、基板の処理時間と、処理後のパージ時間と、取り出し(搬出)の時間との合計によって実質的に決定される。したがって、処理チャンバ100が大きくなってパージ時間が増えると、基板102のサイズの増大に伴ってスループットが低下する。
代表的な処理チャンバ100では、基板102の表面全体が一度に処理される(例えばプラズマ110に暴露される)。プラズマ110は、基板102の表面全体を一度に実質的に一様に暴露させるのに十分な大きさでなければならない。基板102のサイズの増大に伴い、プラズマ110を発生させるために必要とされるエネルギの量は基板の表面積の二乗におおよそ比例して増加する。したがって、基板102が大きいほどエネルギ必要量が増えてスループットが低下する。
以上を考慮すると、スループットを犠牲にすることなく従来よりも大きい基板に対応して拡張可能な改善されたプラズマ処理のシステム及び方法が必要である。
概して、本発明は、スループットを犠牲にすることなく従来よりも大きい基板に対応して拡張可能な改善されたプラズマ処理のシステム及び方法を提供することによって、これらの必要を満たす。本発明は、プロセス、装置、システム、コンピュータ可読媒体、又はデバイスなどの、数々の形で実装可能であることがわかる。本発明のその他の態様及び利点は、本発明の原理を例として示した添付の図面との関連でなされる以下の詳細な説明から明らかになる。
1つの実施形態は、プラズマエッチング処理ツールであって、基板表面領域を有する基板を支持するための基板支持部と、基板支持部を覆うように方向付けられているオープンサイドを有するプラズママイクロチャンバを含む処理ヘッドと、オープンサイドは基板表面領域よりも狭いプロセス領域を有し、基板支持部と処理ヘッドとの間に規定されている密閉構造と、プラズママイクロチャンバ及び基板支持部に接続されている電源とを含むプラズマエッチング処理ツールを提供する。
電源は、プラズママイクロチャンバ内の容積に比例する設定を有することができる。電源は、プラズママイクロチャンバに結合されている第1の電源と、基板支持部に結合されている第2の電源とを含むことができる。
基板支持部は、チャックであっても良い。チャックは、基板の面積に等しい、または基板の面積よりも小さいチャック面積を有することができる。
プラズママイクロチャンバは、基板と相対的に可動である。基板支持部の一部分のみがバイアスされてよく、基板支持部のバイアス部分は、プラズママイクロチャンバと実質的に並んでいる(一列に整列している)。基板支持部のバイアス部分は、可動なプラズママイクロチャンバとの実質的な並びを維持するために可動であることができる。
プラズママイクロチャンバは、マイクロチャンバ容積を有することができ、このマイクロチャンバ容積は、プラズマを内包する。
プラズマエッチング処理ツールは、また、プラズママイクロチャンバに結合されているプロセス材料源と、プラズママイクロチャンバに結合されている真空源とを含むこともできる。真空源は、可調整式の真空源を有することができる。
プラズマエッチング処理ツールは、密閉構造を含んでも良い。密閉構造は、密閉リングを含むことができる。密閉構造は、マイクロチャンバを囲むアウターチャンバを含むことができる。
プラズママイクロチャンバは、基板と相対的に可動であることができ、基板支持部に接続されているアクチュエータも、含まれてよい。アクチュエータは、基板支持部の上側に配されたときに、基板支持部を移動させて基板表面の被選択領域を露出させるように構成することができる。アクチュエータは、回転方向、角度方向、直線方向、非直線方向、枢動方向のうちの1つ又は2つ以上の方向に移動するように構成することができる。
プラズママイクロチャンバは、基板と相対的に可動であることができ、アクチュエータは、プラズママイクロチャンバに接続されていてよい。アクチュエータは、基板支持部の上側に配されたときに、プラズママイクロチャンバを移動させて基板表面の被選択領域を露出させるように構成することができる。アクチュエータは、回転方向、角度方向、直線方向、非直線方向、枢動方向のうちの1つ又は2つ以上の方向に移動するように構成することができる。
基板支持部は、基板を回転させるように構成することができる。基板支持部は、エッジリングを含むことができる。エッジリングの少なくとも一部分は、バイアス可能である。エッジリングの少なくとも一部分は、取り換え可能であることができる。エッジリングの少なくとも一部分は、プラズママイクロチャンバ内のプラズマと反応することができる。エッジリングは、基板支持部上にあるときに、基板のエッジの少なくとも一部分に隣接することができる。エッジリングは、基板支持部上にあるときに、基板のエッジの湾曲部分に隣接することができる。
マイクロチャンバは、複数の導入ポートと、複数の排出ポートとを含むことができる。導入ポートの少なくとも1つは、複数のプロセス材料源の1つにつながれる。導入ポートの少なくとも1つは、パージ材料源につなぐことができる。排出ポートの少なくとも1つは、真空源につなぐことができる。
プラズマエッチング処理ツールは、少なくとも1つの監視機器を含むこともできる。監視機器は、プラズママイクロチャンバから排出される副生成物を監視することができる。監視機器は、プラズママイクロチャンバから放射される光のスペクトルを監視することができる。監視機器は、コントローラにつなぐことができる。監視機器は、基板の表面を監視することができる。
プラズママイクロチャンバの内部空間(容積)は、プラズママイクロチャンバの長さに沿って一定の幅を有することができる。プラズママイクロチャンバの内部空間は、プラズママイクロチャンバの長さに沿って変化する幅を有することができる。プラズママイクロチャンバの内部空間は、プラズママイクロチャンバの長さに沿って一定の深さを有することができる。プラズママイクロチャンバの内部空間は、プラズママイクロチャンバの長さに沿って変化する深さを有することができる。プラズママイクロチャンバの内部空間は、プラズママイクロチャンバの長さに沿って調整可能な深さを有することができる。
プラズマエッチング処理ツールは、複数のプラズママイクロチャンバを含むことができる。複数のプラズママイクロチャンバは、直線状の構成を有することができる。複数のプラズママイクロチャンバは、回転式の構成を有することができる。
別の実施形態は、プラズマエッチングを実施する方法であって、プラズママイクロチャンバ内でプラズマを発生させることを含む方法を提供する。マイクロチャンバは、基板表面領域を有する基板を支えるための基板支持部と、基板支持部を覆うように方向付けられ且つ基板表面領域よりも狭いプロセス領域を有するオープンサイドを有するプラズママイクロチャンバを含む処理ヘッドと、基板支持部と処理ヘッドとの間に定められた密閉構造と、プラズママイクロチャンバ及び基板支持部に接続された電源とを含む。プラズママイクロチャンバは、基板支持部上にあるときに、基板の複数の表面のうちの被選択表面がプラズマに暴露されるまで基板の表面に相対的に移動される。
方法は、複数のプラズマ副生成物をプラズママイクロチャンバから抜き出すことも含むことができる。プラズマ副生成物は、プラズママイクロチャンバの頂部近くでプラズママイクロチャンバから抜き出される。
本発明のその他の態様及び利点は、本発明の原理を例として示した添付の図面との関連でなされる以下の詳細な説明から明らかになる。
本発明は、添付の図面との関連でなされる以下の詳細な説明によって容易に理解される。
代表的なプラズマ処理チャンバの図である。
本発明の実施形態にしたがって処理されている表面の全面の被選択部分を処理するプラズマ処理システムの実施形態を示した図である。 本発明の実施形態にしたがって処理されている表面の全面の被選択部分を処理するプラズマ処理システムの実施形態を示した図である。 本発明の実施形態にしたがって処理されている表面の全面の被選択部分を処理するプラズマ処理システムの実施形態を示した図である。
本発明の実施形態にしたがう、マイクロチャンバ内でプラズマを発生させるにあたって実施される方法工程を示したフローチャートである。
本発明の実施形態にしたがう、マイクロチャンバの詳細な断面図である。 本発明の実施形態にしたがう、マイクロチャンバの詳細な断面図である。 本発明の実施形態にしたがう、マイクロチャンバの詳細な断面図である。 本発明の実施形態にしたがう、マイクロチャンバの詳細な断面図である。 本発明の実施形態にしたがう、マイクロチャンバの詳細な断面図である。 本発明の実施形態にしたがう、マイクロチャンバの詳細な断面図である。
本発明の実施形態にしたがう、マイクロチャンバの上面図である。
本発明の実施形態にしたがう、マイクロチャンバの上面図である。
本発明の実施形態にしたがう、マイクロチャンバの上面図である。
本発明の実施形態にしたがう、マイクロチャンバの上面図である。
本発明の実施形態にしたがう、マイクロチャンバの上面図である。
本発明の実施形態にしたがう、マイクロチャンバの上面図である。
本発明の実施形態にしたがう、マイクロチャンバの上面図である。
本発明の実施形態にしたがう、マイクロチャンバの縦切り断面図である。 本発明の実施形態にしたがう、マイクロチャンバの縦切り断面図である。 本発明の実施形態にしたがう、マイクロチャンバの縦切り断面図である。
本発明の実施形態にしたがう、複数のマイクロチャンバを伴う1つの処理ヘッドを示した図である。 本発明の実施形態にしたがう、複数のマイクロチャンバを伴う1つの処理ヘッドを示した図である。 本発明の実施形態にしたがう、複数のマイクロチャンバを伴う1つの処理ヘッドを示した図である。
本発明の実施形態にしたがう、複数のマイクロチャンバを伴う1つの処理ヘッドを示した図である。
本発明の実施形態にしたがう、複数の処理チャンバを有する処理ヘッドによって基板の表面を処理するにあたって実施される方法工程を示したフローチャートである。
本発明の実施形態にしたがう、複数ステーションプロセスツールの概略図である。 本発明の実施形態にしたがう、複数ステーションプロセスツールの概略図である。
本発明の実施形態にしたがう、プロセスツールの概略図である。
本発明の実施形態にしたがう、複数処理ヘッドプロセスツールによって基板を処理するにあたって実施される方法工程を示したフローチャートである。
本発明の実施形態にしたがう、製造システムにおける複数処理ヘッドプロセスツールを示した図である。
本発明の実施形態にしたがう、製造設備における複数処理ヘッドプロセスツールを示した図である。
本発明の実施形態にしたがう、処理を実行するための代表的なコンピュータシステムのブロック図である。
本発明の実施形態にしたがう、処理ヘッドの概略図である。
本発明の実施形態にしたがう、処理ヘッドの概略図である。
本発明の一実施形態にしたがう、マイクロチャンバ202Aでプラズマを発生させるにあたって、並びにマイクロチャンバを移動させる及び動的チャックの対応部分をバイアスさせるにあたって実施される方法工程を示したフローチャートである。
本発明の実施形態にしたがう、処理ヘッドの概略図である。
本発明の実施形態にしたがう、プラズママイクロチャンバの図である。 本発明の実施形態にしたがう、プラズママイクロチャンバの図である。 本発明の実施形態にしたがう、プラズママイクロチャンバの図である。
本発明の実施形態にしたがう、直線状の複数マイクロチャンバシステムの上面図である。
本発明の実施形態にしたがう、直線状の複数マイクロチャンバシステムの側面図である。
本発明の実施形態にしたがう、洗浄ラインに基板を供給する2つの直線状の複数マイクロチャンバシステムを含むシステムの上面図である。
本発明の実施形態にしたがう、2つの複数扇形マイクロチャンバを伴うシステムの上面図である。
本発明の実施形態にしたがう、様々なプラズマ源を示したグラフである。
本発明の実施形態にしたがう、様々なタイプのプラズマのプラズマ密度を示したグラフである。
スループットを犠牲にすることなく従来よりも大きい基板に対応して拡張可能である改善されたプラズマ処理のシステム及び方法の幾つかの代表的な実施形態が説明される。当業者ならば、本発明が、本明細書に明記された詳細の一部又は全部を伴わなくても実施可能であることが明らかである。
I.全面未満のエッチング処理
現在の半導体処理は、200mm及び300mの半導体ウエハ並びに様々な形状及びサイズのフラットパネル基板に専ら焦点を当てている。スループットに対する必要性が高まるにつれて、将来の半導体ウエハ及び基板は、450mm及びそれよりも大きい次世代の半導体ウエハのように、更に大きくなるだろう。代表的なプラズマ処理では、プラズマチャンバの容積は、そのプラズマチャンバ内で処理されるウエハの直径よりも遥かに速いペースで大きくなる。プラズマチャンバの容積の増大に伴って、プラズマチャンバを構築する材料のコストも増加する。やはりプラズマチャンバの容積の増大に伴って、プラズマは、チャンバにおける一貫性を制御及び維持することがよりいっそう困難になる。更に、容積の増大に伴って、プラズマを発生させるためのエネルギ必要量も増し、ゆえにエネルギコストを押し上げ、それにもかかわらず、結果得られる一貫性が低下する。プラズマチャンバの容積の縮小は、プラズマチャンバの作成に必要とされる材料を減少させ、また、一貫性を高めるとともにエネルギ必要量を少なくする。例えばマイクロチャンバなどの小型のプラズマチャンバは、プラズマに暴露される表面の大きな面積および小さな面積より容易に適応可能である。処理対象とされる、すなわちプラズマに暴露される半導体基板は、任意の形状又はサイズの半導体基板やフラットパネルディスプレイなどの、任意の表面でありうることが理解されるべきである。
図2A〜2Cは、本発明の実施形態にしたがって処理されている表面の全面の被選択部分を処理するプラズマ処理システムの実施形態を示した図である。図2Aを参照すると、内部空間231を有するハウジング230によって形成されたマイクロチャンバ202Aを含むシステム204Aの一部分の側面図が示されている。内部空間231は、その三方がチャンバ差し込み230によって区画されている。内部空間231の四方目は、この場合は半導体基板102Aの表面の一部分102A’である処理されている基板の一部分によって形成される。
基板102Aは、チャック201A上で支えられる。チャック201Aは、基板102Aの幅と比べて等しい又は僅かに小さい又は僅かに大きい幅を有することができる。チャック201Aは、基板102Aの表面の処理における必要に応じて加熱又は冷却することができる。例えば、加熱又は冷却のための温度制御システム234が、チャック201Aに結合されている。チャック201Aは、バイアス源232Bにも結合することができる。チャック201Aは、基板102Aを様々な方向に移動させるために可動であっても良い。例えば、チャック201Aは、基板102Aを回転させることができる。代わりに又は追加として、チャック201Aは、基板102Aをマイクロチャンバ202Aに相対的に横方向に、基板をマイクロチャンバに対して近づける又は遠ざけるように移動させることができる。
マイクロチャンバ202Aは、プロセス材料/パージ源及び真空源220A〜220Dにつながれた複数の導入ポート及び排出ポート216A〜216Dを有する。プロセス材料又はパージは、導入ポート及び排出ポート216A〜216D、216A’の少なくとも1つを通じてマイクロチャンバ202Aに供給される。マイクロチャンバ202A内でプラズマ処理が発生するのに伴って、導入ポート及び排出ポート216A〜216D、216A’の少なくとも1つを通じてマイクロチャンバからプラズマ副生成物が抜き出される。
プラズマは、チャンバの内表面による物理的制約と、マイクロチャンバ内におけるガスの流れとによって、マイクロチャンバ202A内に閉じ込められる。マイクロチャンバ202Aは、処理されている表面の周囲を囲むようにシール212によって密閉される。
マイクロチャンバ202Aは、処理されている基板102Aの表面と(に対して)相対的に可動である。マイクロチャンバ202Aは、可動又は固定であることができ、処理されている基板102Aの表面は、可動又は固定であることができる。
図2Aに示されるように、基板102Aは、幅L1を有し、カバー210は、基板及び/又はマイクロチャンバ202Aが互いに相対的に移動できて、それゆえにマイクロチャンバが基板の全面の上を通過してシール212間に留まることができるように、十分な幅又は長さである幅L2を有する。このようにして、空間214内の環境は、導入ポート及び排出ポート216A〜216D、216A’を通じて提供されるプロセス材料及び/又は真空又はガス流によって制御される。
排出ポート216A及び216Bは、プラズマ副生成物を抜き出して、プラズマから半導体基板102Aの表面の一部分102A’へ流れるイオンに対する妨害を最小限に抑えられるように、マイクロチャンバ202Aの上部近くに位置付けられる。
最小空間208Aの正確な幅は、基板の表面に適用されているプラズマ処理にしたがって選択することができる。最小空間208Aには、1つ又は2つ以上のポート208Bが結合されていてよい。ポート208Bには、プロセス材料/パージ源及び/又は真空源220Eを結合することができる。このようにして、最小空間208Aを通じてプロセス材料を供給することができる、尚且つ/或いは空間214内の環境の制御を助けるためにポート208Bに真空を適用することができる。
マイクロチャンバ202Aの上面を示した図2Bを参照する。エッジリング208、このエッジリングを囲むシール212、およびマイクロチャンバによる処理対象とされる基板102Aを示すために、カバー210の一部分が切り取られた状態で示されている。マイクロチャンバ202Aは、プラズマによる処理対象とされる基板102Aの幅W2よりも狭い幅W1を有するとして示されているが、これは、その他の図面で更に詳しく示される代表的な一実施形態に過ぎず、マイクロチャンバは、幾つかの異なる形状、深さ、幅、長さ、及び構成を持てることが理解されるべきである。また、基板102Aは、実質的に円形として示されているが、これは、代表的な一形状にすぎず、基板は、任意の適切な又は望ましい形状及びサイズでよいことが理解されるべきである。例えば、基板102Aは、基板102Aの表面の上をマイクロチャンバが移動できるように固定具内に置くことができる、不規則形又は方形又は楕円形又はその他の任意の形状であることができる。
更に、図2Bに示されるように、マイクロチャンバ202Aには、つなぎアーム241によってアクチュエータ240がつながれる。アクチュエータ240は、マイクロチャンバ202Aを基板102Aの表面に相対的に移動させることができる。上述のように、カバー210は、シール212との接触及びシール212に対する密閉を維持するために、マイクロチャンバ202Aとともに移動することができる。このようにして、マイクロチャンバ202Aは、基板102Aの表面に相対的に移動することができ、また、それと同時に、基板の表面の上で制御された環境を維持することができる。
マイクロチャンバ202Aは、1つ又は2つ以上のその場(in-situ)監視機器211A〜211Dも有することができる。その場監視機器211A〜211Dは、当業者によく知られるような、光表面走査機器、光スペクトル/輝度分析機器、又は磁気的/化学的分析機器であることができる。その場監視機器211A〜211Dは、システムコントローラにつながれる。
その場監視機器211A〜211Dの1つ又は2つ以上は、マイクロチャンバ202Aによる処理の前、最中、及び/又は後に基板の表面を分析することができる。例えば、機器211Aは、基板102Aの表面を測定することができ、コントローラは、基板102Aの表面に適用するためのプラズマプロセスの動作パラメータを決定するために、機器211Aからの測定値を使用することができる。
同様に、機器211Cは、表面のプラズマ処理の結果を測定することができる。機器211Cからの測定結果は、基板102Aの表面に引き続き必要とされるだろう動作パラメータ及び/又は追加の処理を決定するために、コントローラが使用することができる。
更に、機器211Bは、基板の表面にプラズマが印加される間に表面のプラズマ処理の結果を測定することができる。機器211Bからの測定結果は、基板102Aの表面にプラズマが印加される間に基板102Aの表面に適用することができる動作パラメータ及び/又は追加の処理を決定するために、コントローラが使用することができる。
その場監視機器211A〜211Dの1つ又は2つ以上は、プラズマ副生成物を分析することができる。例えば、機器211Dは、マイクロチャンバ202Aから排出されるプラズマ副生成物を分析することによって、基板の表面にプラズマが印加される間に表面のプラズマ処理の結果を測定することができる。機器211Dからの測定結果は、基板102Aの表面にプラズマが印加される間に基板102Aの表面に適用することができる動作パラメータ及び/又は追加の処理を決定するために、マイクロチャンバ202Aによる処理の前、最中、及び/又は後にコントローラが使用することができる。
その場監視機器211A〜211Dは、プラズマ処理の結果を測定するために及びそれに応じてプラズマ動作パラメータを調整して所望の結果を得るために、コントローラが使用することができる。例えば、機器211A〜211Dの1つ又は2つ以上からの測定結果は、所望の結果を達成するために、プラズマ処理時間がより長い若しくは短いことが必要である、又は1つ若しくは2つ以上のプラズマ源材料の流量及び/若しくは圧力がより大きい若しくは小さいことが必要である、又はバイアス若しくは周波数の変更が必要である、又は温度の変更が必要であることを示すだろう。
その場監視機器211A〜211Dは、基板102Aの表面上における局所的な及び全体的な不均一性を検出及びマッピングするために、コントローラが使用することができる。コントローラは、次いで、検出された不均一性を修正するために、適切な追跡処理を指示することができる。コントローラは、また、後続基板のプラズマ処理のためのプラズマ動作パラメータを調整するために、検出された不均一性を使用することができる。
マイクロチャンバ202Aは、マイクロチャンバ202A内部のプラズマ244のスペクトル分析又は輝度分析を実施するために、1つ又は2つ以上の機器211A〜211Dのための光学覗き窓を含むことができる。機器211A〜211Dの1つ又は2つ以上は、プラズマ処理の終点を検出するために使用することができる。
コントローラは、マイクロチャンバ202Aの内表面上におけるプラズマ副生成物の蓄積を補償するために、プラズマ動作パラメータを調整することもできる。例えば、機器211A〜211Dの1つ又は2つ以上は、プラズマと、マイクロチャンバ202Aの内表面上に結果として蓄積されるプラズマ副生成物とを監視するために使用することができる。同様に、コントローラは、マイクロチャンバ202Aの内表面上におけるプラズマ副生成物の蓄積を補償するために、動作の順序、若しくはコントローラ内のタイマ若しくはレシピにしたがって又は(例えばオペレータから受信された)コントローラ入力に応えてプラズマ動作パラメータを調整することができる。マイクロチャンバ202Aの内表面上におけるプラズマ副生成物の蓄積を補償するためにプラズマ動作パラメータを調整することは、マイクロチャンバの内表面上におけるプラズマ副生成物の蓄積の全部または一部を除去するためにプラズマ動作パラメータを調整することも含むことができる。
コントローラは、マイクロチャンバ202Aと基板102Aの表面との間の距離D1の変化に伴って、プラズマ動作パラメータを調整することもできる。例えば、D1は、様々な動作上の理由又は物理的な理由によって調整することができ、プラズマ動作パラメータは、距離の違いを補償して所望の結果を達成するために調整することができる。
図2Cは、本発明の実施形態にしたがう、より詳細なマイクロチャンバ202Aの側面図である。図2Dは、本発明の実施形態にしたがう、マイクロチャンバ202A内でプラズマを発生させるにあたって実施される方法工程250を示したフローチャートである。本明細書で示される工程は、一部の工程がサブ工程を有するかもしれないこと、及び場合によっては本明細書で説明される特定の工程が例示の工程に含まれないかもしれないことが理解されるべきであり、ゆえに、例示的である。これを念頭に置いて、方法及び工程250が説明される。工程252において、カバー210は、支持部206とカバー210との間のシール212を圧縮することによって基板102Aに対して密閉される。シール212は、支持部206とカバー210が互いに近づいてカバー210と支持部206との間のシール212を圧縮できるように、カバー210を方向227に移動させることによって又は支持部206を方向225に移動させることによって圧縮される。
工程254において、マイクロチャンバ202A及び空間214は、パージされる且つ/又は真空にされる。パージプロセス中は、パージ材料(例えば不活性パージガス/液/蒸気/その他の流体/それらの組み合わせ)が、プロセス材料/パージ源220A〜220D及び/又は220A’の1つ又は2つ以上からポート216A〜216D及び/又は216B’の少なくとも1つに供給される。
工程256では、プロセス材料242が、プロセス材料源220A〜220Dの1つ又は2つ以上によって提供され、ポート216A〜216D及び/又は216B’の少なくとも1つを通じてプラズマチャンバ202Aに注入される。例えば、プロセス材料242は、プロセス材料源220A〜220Dの1つ又は2つ以上によって提供し、ポート216B’を通じてプラズマチャンバ202Aに注入することができる。プロセス材料の提供は、2つ又は3つ以上のプロセス材料をその場で且つ要求に応じて混合することを含むことができる。混合は、マイクロチャンバ202Aの外のマニホールド又は混合地点(不図示)で生じることができる。2つ又は3つ以上のプラズマ源材料220A’及び220A”の混合は、マイクロチャンバ202A内部で生じることもできる。
工程258では、信号源232Aによってプラズマ信号(通常はRF又はマイクロ波である)が生成され、所望の周波数、電圧、波形、デューティサイクル、及び電流でアンテナ/コイル233及びチャック201Aに印加される。工程260では、プラズマ244が、イオン246及び熱を発生させる。イオン246及び熱は、半導体基板102Aの表面の第1の部分102A’と反応し、プラズマ副生成物248を生成する。
工程262において、プラズマ副生成物248は、マイクロチャンバ202Aから引き抜かれる。プラズマ副生成物248は、ポート216A〜216D及び/又は216B’の少なくとも1つに真空を適用することによってマイクロチャンバ202Aから引き抜くことができる。例えば、真空は、ポート216A〜216Dに適用されて、プラズマ副生成物248A〜248Cをマイクロチャンバ202Aから引き抜くことができる。ポート216A〜216Dを通じたマイクロチャンバ202Aからのプラズマ副生成物248A〜248Cの引き抜きは、プラズマ副生成物248A〜248Cを、イオン、及び処理されているすなわちプラズマ244に暴露されている基板102A’の部分からも引き離す。マイクロチャンバ202Aからのプラズマ副生成物248の除去は、イオン246が基板102Aの表面の被選択部分102A’に接触することをプラズマ副生成物が妨害する可能性を低くする。マイクロチャンバ202Aからのプラズマ副生成物248の除去は、プラズマ副生成物がマイクロチャンバ202Aの内表面203A〜203Cに付着する可能性を下げる。もし、プラズマ副生成物248がマイクロチャンバ202Aの内表面203A〜203Cに付着して蓄積すると、このような蓄積は、マイクロチャンバの構造及び全体形状を変化させる恐れがあり、これは、マイクロチャンバ内におけるプラズマ244の密度及び分布を、より具体的には基板102Aの表面に適用されるプラズマの密度を変化させる恐れがある。
工程264において、マイクロチャンバ202Aは、基板の表面の後続部分102A”がマイクロチャンバと並べられる(位置が揃えられる)まで、基板102Aと相対的に方向224、224A、226、及び/又は226Aの少なくとも一方向に移動することができる。マイクロチャンバ202Aは、すると、内表面203A〜203Eと、基板102Aの表面の第2の部分102A”とによって形成され、プラズマは、工程266において、基板102Aの表面の後続部分102A”に適用される。
工程268において、基板の表面に処理されるべき更なる部分があるならば、方法工程は、上述された工程264〜266に続く。基板の表面に処理されるべき更なる部分がないならば、方法工程は、終了する。
図2A〜2Cに示されるように、エッジプラットフォーム又はエッジリング208も含めることができる。エッジリング/プラットフォーム208は、プラズマの初期段階中及びプラズマの遮断中に、又はプラズマが作動可能ではあるがプラズマが基板102Aの表面に接触することは望ましくないとされるその他の任意の時点でマイクロチャンバ202Aを配置することができる追加の処理表面を提供する。
エッジリング/プラットフォーム208は、最小空間208Aによって基板102Aの表面から隔てられる。エッジリング/プラットフォーム208は、図に示されるように、基板102Aの全周囲に隣接することができる。或いは、エッジリング/プラットフォーム208は、基板の周囲の1つ又は2つ以上の部分にのみ隣接することができる。エッジリング/プラットフォーム208は、基板が円形であれ、矩形であれ、又はその他の何らかの形状(不規則形や多角形など)であれ、任意の形状の基板に使用することができる。部分的なエッジリング/プラットフォーム208は、あらゆる目的のために全体を参照によって本明細書に組み込まれた「Substrate Meniscus Interface and Methods for Operation(基板メニスカスインターフェース、及び動作のための方法)」と題された共同所有の米国特許第7,513,262号でより詳しく説明されている。
エッジリング/プラットフォーム208は、幾つかの機能を実施することができる。1つの機能は、マイクロチャンバの発進場所、停止場所、及び米国特許第7,513,262号で説明されるようなマイクロチャンバ又はその他の処理チャンバのための「一時停止」場所である。
別の機能は、基板102Aのエッジにおけるプラズマ244の集中を軽減することである。エッジリング208がないと、マイクロチャンバが基板102Aのエッジに載るに伴って、基板によって形成されるそのサイドのマイクロチャンバのまでの距離が基板102Aの厚さぶんだけ変化するゆえに、マイクロチャンバの容積は、大幅に変化するだろう。マイクロチャンバ容積のこの変化は、イオンのプラズマ濃度を、ひいてはプラズマの形状を変化させる。
更に、マイクロチャンバが基板102Aのエッジに搭載されると、プラズマ244から放出されたイオン246は、基板102Aのエッジの比較的狭い領域に集中するだろう。その結果、イオン24の反応性もまた、基板102Aのエッジのその比較的狭い領域に集中し、相対的な処理活動は、基板102Aのエッジにおいて、基板の表面のその他の部分と比べて大幅に増加するだろう。
エッジリング/プラットフォーム208が基板と実質的に同じ電位に維持されることによって、エッジリング/プラットフォーム208は、プラズマがエッジリング/プラットフォームから基板102Aのエッジを跨いで基板102Aの表面に完全に載る間、マイクロチャンバプラズマ容積及びイオン濃度も実質的に一定に維持する。
コントローラは、マイクロチャンバ202Aが基板のエッジの上を通過して処理を施すのに伴って、プラズマパラメータを調整することもできる。通常、基板のエッジは、基板を取り扱うために使用されるゆえに能動素子構造の一部としては通常は使用されないベベルエッジ部分を含む。更に、ベベルエッジは、丸みを帯びている又は斜面状にされているのが通常であり、ゆえに、ベベルエッジがマイクロチャンバ内を通過するのに伴ってマイクロチャンバの容積を変化させることができる。したがって、コントローラもやはり、所望の結果を達成するために、マイクロチャンバがベベルエッジを処理するのに伴ってプラズマパラメータを調整することができる。
エッジリング208は、基板102Aの処理と同様にマイクロチャンバによって処理される犠牲材料であることができる。エッジリングは、複数の層又は部分を含むことができる。例えば、エッジリング208は、層208Aを含むことができる。層208Aは、犠牲的であってよく、エッジリングの残りの部分は、マイクロチャンバによるプラズマ処理に対して実質的に抵抗性であってよい。或いは、層208Aは、マイクロチャンバによるプラズマ処理に対して実質的に耐性であってよい、すなわち抵抗性であってよい。
マイクロチャンバ202Aは、2つ又は3つ以上のプラズマ源材料220A’、220A”をマイクロチャンバ202Aにおける使用のために必要に応じて混合することができるその場混合地点/マニホールド221も含むことができる。その場混合地点/マニホールド221は、マイクロチャンバ202Aに投入される直前に所望の混合を形成できるようにプラズマ源材料220A’、220A”の量、流量、及び圧力を制御するための流量計測システム221Aも含むことができる。
マイクロチャンバ202Aは、温度制御システム223Aも含むことができる。温度制御システム223Aは、マイクロチャンバ202A及び/又はマイクロチャンバ内のプラズマ源材料220A’を加熱又は冷却することができる。このようにして、マイクロチャンバ202A及び/又はプラズマ源材料220A’の温度を制御することができる。
説明され例示された実施形態は、水平の向きで示されているが、マイクロチャンバ202Aは、任意の向きで動作可能であることが理解されるべきである。例えば、マイクロチャンバ202Aは、逆向きで動作可能である。マイクロチャンバ202Aは、垂直の向き又は水平と垂直との間の任意の角度で動作可能である。
基板102Aは、マイクロチャンバ202Aが基板の表面の第1の部分(例えば最初の半分又は最初の四分円又はその他の部分)の上を通過できるようにチャック210によって回転させることができる。次いで、基板02Aは、マイクロチャンバ202Aが基板の後続部分の上を通過できるように回転させることができる。このようにすると、基板の回転は、マイクロチャンバが、基板の表面の第1の部分を処理している際に移動されたのと反対の方向に、基板の第2の部分を処理するために移動することを可能にするので、マイクロチャンバ202Aは、移動が少なくてすむ。これによりカバー210は、基板の幅の2倍よりも大きい必要がなく、基板102Aのおおよその幅よりも僅かに大きいだけでよいので、カバー210の全体サイズを小さくすることが可能になる。
II. マイクロチャンバ
図3A〜3Fは、本発明の実施形態にしたがう、マイクロチャンバ202A.1〜202A.6の詳細な断面図を示している。マイクロチャンバ202A.1〜202A.6は、様々な場所、数、及び構成の、導入ポート及び排出ポート216A、216B、216A’、216B’、216A”、216B”を有する。マイクロチャンバ202A.1〜202A.6は、また、様々な断面形状も有する。これらは、代表的な形状及びポート構成に過ぎず、ポートを組み合わせること及びより少ない又は多い数のポートが含まれてもよいことが理解されるべきである。図に示されるように、中心線305に相対的に導入ポート及び排出ポート216A、216B、216A’、216B’、216A”、216B”によって形成される角度は、代表例に過ぎず、導入ポート及び排出ポートは、図に示されるものと異なる角度及び任意の適切な角度を付けることが可能である。
例えば、マイクロチャンバ202A.1は、2つの排出ポート216A、216Bと、1つの導入ポート216B’とを含む。第1のサイド203Aにある1つ目の排出ポート216Aは、マイクロチャンバ202A.1の頂部203Cの近くである。導入ポート216B’は、マイクロチャンバの頂部203Cに位置付けられる。2つ目の排出ポート216Bは、第1のサイド203Aの実質的に反対側であるサイド203Bにおいて、頂部203から離れて位置付けられる。
形状に関して、マイクロチャンバ202A.1は、実質的に台形の断面形状を有し、マイクロチャンバ202A.2は、実質的に三角形の断面形状を有し、マイクロチャンバ202A.3は、丸みを帯びた実質的に三角形の断面形状を有し、マイクロチャンバ202A.4は、実質的に矩形の断面形状を有し、マイクロチャンバ202A.5は、実質的にU字型の断面形状を有し、マイクロチャンバ202A.6は、隅を丸められた実質的に矩形の断面形状を有する。
更なる実施例では、例示された、マイクロチャンバ202A.1〜202A.6と、対応する導入ポート及び排出ポート216A、216B、216A’、216B’、216A”、216B”との組み合わせ及び形状は、代表的な組み合わせに過ぎず、例えば、図3Eに示されたマイクロチャンバ202A.5は、図3Fに示されるようなポート構成、又は任意の組み合わせのポート構成を含むことができる。より大きい又は小さい容積のマイクロチャンバを提供するために、形状に加えてサイズもまた変更することができる。
図3Gは、本発明の実施形態にしたがう、マイクロチャンバ202Aの上面図である。マイクロチャンバ202Aは、上述されたマイクロチャンバと同様であり、基板102Aの幅W2に等しい又はそれよりも大きい幅W3を有する。
図3Hは、本発明の実施形態にしたがう、マイクロチャンバ321Aの上面図である。マイクロチャンバ321Aは、実質的に円形であることを除き、図2Bに示されたマイクロチャンバ202Aと同様である。マイクロチャンバ321Aは、マイクロチャンバの動作を監視するための機器324も含むことができる。
図3Iは、本発明の実施形態にしたがう、マイクロチャンバ321Bの上面図である。マイクロチャンバ321Bは、実質的に環状の領域322B内でプラズマを発生させる環状のマイクロチャンバであることを除き、図3Hに示されたマイクロチャンバ321Aと同様である。環状のマイクロチャンバ321Bでは、対応する基板102A表面の環状部分302Aのみがプラズマに暴露される。マイクロチャンバ321Bは、マイクロチャンバの動作を監視するための機器324も含むことができる。
図3Jは、本発明の実施形態にしたがう、マイクロチャンバ321Cの上面図である。マイクロチャンバ321Cは、基板102Aの湾曲エッジの一部分と同様の、しかしながら必ずしも同じ曲がり方ではないアーチ形状を有する。これは、副生成物又は蓄積を除去するなどの、ウエハエッジのエッチング準備を可能にする。このエッジ処理は、全てのウエハ処理が完了した後に、ウエハ洗浄工程と併せてなすこともできる。
図3Kは、本発明の実施形態にしたがう、マイクロチャンバ321Dの上面図である。マイクロチャンバ321Dは、上記の図2Bに示されたマイクロチャンバ202Aと実質的に同様であるが、ただし、部分マスキング板331も含んでいる。部分マスキング板331は、マイクロチャンバ321D内で基板102Aの表面の一部分を選択的にプラズマから覆い隠す。部分マスキング板331は、マイクロチャンバ321Dに対して固定又は可動であることができる。アクチュエータ240は、つなぎアーム331Aによって部分マスキング板331につなぐことができる。
図3Lは、本発明の実施形態にしたがう、マイクロチャンバ321Eの上面図である。マイクロチャンバ321Eは、上記の図3Kに示されたマイクロチャンバ321Dと実質的に同様であるが、ただし、全体マスキング板333も含んでいる。全体マスキング板333は、マイクロチャンバ321E内のプラズマに基板102Aの表面の一部分を選択的に暴露することができる開口335を含む。全体マスキング板333は、マイクロチャンバ321Eに対して固定又は可動であることができる。アクチュエータ240は、つなぎアーム333Aによって全体マスキング板333につなぐことができる。
図3Mは、本発明の実施形態にしたがう、マイクロチャンバ321Fの上面図である。マイクロチャンバ321Fは、上記の図3Gに示されたマイクロチャンバ202Aと実質的に同様であるが、ただし、幅W4を有する狭い第1の端323Aと、W4よりも広い幅W5を有する反対側の第2の端323Bとを有する扇形を有する。W5は、W4よりも僅かに広いことが可能である(例えば、W5=W4の101%)。W5は、W4の倍数であることが可能である(例えば、nを、約2から約20までの間の必ずしも整数値ではない任意の倍数として、W5n×W4)。W4とW5との比は、後ほど更に詳しく説明されるように、第1の端323Aにおける基板102Aの滞留時間が第2の端323Bにおける滞留時間と実質的に同じであるように、回転式テーブルにおける基板の回転の関数であることが可能である。
マイクロチャンバ321Fは、つなぎアーム241によってアクチュエータ240につながれている。アクチュエータ240は、マイクロチャンバを位置312F’から位置312F”へ移動させるために、そしてマイクロチャンバが基板102Aから完全に離れるまで枢動させられるようにマイクロチャンバを更にもっと移動させるために、方向350A、350Bにマイクロチャンバ321Fを枢動させることができる。このようにして、マイクロチャンバは、基板102Aの全面にわたって枢動することができる。
図3N〜3Pは、それぞれ、本発明の実施形態にしたがう、マイクロチャンバ312G、321H、及び335の縦切り断面図である。マイクロチャンバ312Gは、マイクロチャンバの長さ全体にわたって一定の深さD1を有する。マイクロチャンバ321の深さは、第1の端313Aにおける深さD1から第2の端313Bにおける深さD2まで長さに沿って変化する。マイクロチャンバ321の深さは、マイクロチャンバの第1の部分313Cを通して一定であって、引き続き、第2の部分313Dに沿って変化することができる。
図3Pに示されるように、マイクロチャンバ335は、マイクロチャンバの長さに沿って可変の深さ及び形状を有する。マイクロチャンバ335は、複数の深さ・形状アジャスタ331A〜331Lを含む。深さ・形状アジャスタ331A〜331Lは、リンク332によってアクチュエータ330につながれている。深さ・形状アジャスタ331A〜331Lは、マイクロチャンバの対応部分333A〜333Eの深さ及び形状を調整するために、アクチュエータ330によって、方向334A又は334Bに移動させることができる。深さ・形状アジャスタ331A〜331Lは、マイクロチャンバ335の深さ及び形状を変化させるために、横方向(例えば、図3Pに示された図の面に出入りする方向)に移動させることができる。深さ・形状アジャスタ331A〜331Lは、所望の電位にバイアスされる、又はマイクロチャンバ335内の様々な電位から電気的に絶縁されることが可能である。深さ・形状アジャスタ331A〜331Lは、任意の適切な材料又は形状であることができる。マイクロチャンバ335の深さ及び形状は、基板102Aの表面に対して所望のプラズマ暴露を提供するために、必要に応じて調整することができる。
III. 複数チャンバチャンバヘッド及び組み合わせチャンバヘッド
図4A〜4Cは、本発明の実施形態にしたがう、複数のマイクロチャンバ404A〜404Cを伴う1つの処理ヘッド402を示している。図4Aは、処理ヘッド402の上面図である。図4Bは、処理ヘッド402の側断面図である。図4Cは、処理ヘッド402の底面図である。
図4A及び図4Bについて、処理ヘッド402は、3つの処理チャンバ404A〜404Cを含む。処理ヘッド402は、各処理チャンバ404A〜404Cが基板102Aの全上面を通過することができるように、基板102Aに相対的に方向406A及び406Bに移動することができる。処理ヘッド402及び基板102Aは、異なる速度で同じ方向に移動することができる。或いは、処理ヘッド402及び基板102Aは、同じ又は異なる速度で異なる方向に移動することができる。各処理チャンバ404A〜404Cは、対応するプロセスを基板102Aの表面に適用することができる。
処理チャンバ404A〜404Cは、サイズ、形状、分布、及び機能が実質的に同様なものとして示されているが、各処理チャンバは、異なるサイズ、形状、及び機能を有してよいことが理解されるべきである。また、各処理ヘッド404は、1つ又は2つ以上の処理チャンバのうちの任意の数を含んでよいことも理解されるべきである。
処理チャンバ404Aは、その他の処理チャンバ404B、404Cと比べて異なる長さ、幅、及び/又は深さを有してよい。例えば、処理チャンバ404Aが、基板の幅よりも狭い幅を有し、処理チャンバ404B、404Cが、基板の幅に等しい又はそれよりも広い幅を有してよい。
処理チャンバ404Aは、例えば矩形、円形、環状など、その他の処理チャンバ404B、404Cと比べて異なる形状を有してよい。例えば、処理チャンバ404Aが、矩形の形状を有し、処理チャンバ404B、404Cが、楕円形又は円形の形状を有してよい。
処理チャンバ404A〜404Cは、処理ヘッド402に様々に分布されてよい。例えば、処理チャンバ404Aが、処理ヘッド402のエッジ近くに位置付けられ、処理チャンバ404B、404Cが、不均等な間隔を空けて処理ヘッドに分布されてよい。
処理チャンバ404Aは、例えば、プラズマエッチング、プラズマ洗浄、パッシベーション、非プラズマ洗浄、及び/又はすすぎなど、その他の処理チャンバ404B、404Cと比べて異なる機能を有してよい。例えば、処理チャンバ404Aが、パッシベーション機能を有し、処理チャンバ404B、404Cが、異なるプラズマエッチング機能を有してよい。別の例では、いずれもあらゆる目的のために全体を参照によって本明細書に組み込まれた、出願人所有の、発明の名称を「Meniscus, Vacuum, IPA Vapor, Drying Manifold(メニスカス、真空、IPA蒸気、乾燥用マニホールド)」とするWoodsによる米国特許第7,198,055号、発明の名称を「Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces(ウエハ表面にごく接近して保持された複数の投入口と排出口とを使用して半導体ウエハ表面を乾燥させるための方法及び装置)」とするde Larios et al.による米国特許第7,234,477号、発明の名称を「Vertical Proximity Processor(垂直プロキシミティプロセッサ)」とするGarcia et alによる米国特許第7,069,937B2号、発明の名称を「Methods and Systems for Processing a Substrate Using a Dynamic Liquid Meniscus(動的液体メニスカスを使用して基板を処理するための方法及びシステム)」とするGarcia et alによる米国特許第6,988,327号、並びに後続の及び関連の出願及び特許で更に詳しく記載されるように、処理チャンバ404A〜404Cの1つ又は2つ以上が、プロキシミティヘッド洗浄ステーションであってよい。
次に、図4Cについて、処理ヘッド402は、3つの処理チャンバ404A〜404Cを含む。処理チャンバ404A〜404Cは、処理ヘッド402の実質的に平坦な底面402Aの対応領域408A〜408Cにおける開口として現れている。
処理ヘッド402は、各処理チャンバを隣接する処理チャンバから隔てる障壁システム410も含むことができる。障壁システム410は、シールなどの物理的障壁、又は電場若しくは磁場、又はガスカーテン及び/若しくは真空カーテン、又はその他の流体障壁であることができる。
1つの処理ヘッド402の複数の処理チャンバ404A〜404Cは、各処理チャンバ内で異なるプロセスが実施されることを可能にする。更に、1つの処理チャンバが使用されている間に、スループットを妨害することなく第2の処理チャンバを洗浄することも可能である。
図4Dは、本発明の実施形態にしたがう、複数のマイクロチャンバ424A〜424Dを伴う1つの処理ヘッド422を示している。処理ヘッド422は、基板102Aに相対的に回転することができ、ゆえに、僅か4分の1回転(90度の回転)の間に、少なくとも1つの処理チャンバ下で基板102Aの表面を通過することができる。処理ヘッド422及び/又は基板102Aは、方向426A及び/又は426Bに回転することができる。処理ヘッド422及び基板102Aは、異なる速度で同じ方向に回転することができる。或いは、処理ヘッド422及び基板102Aは、同じ又は異なる速度で相対する方向426A及び/又は426Bに回転することができる。
図5は、本発明の実施形態にしたがう、複数の処理チャンバを有する処理ヘッドによって基板102Aの表面を処理するにあたって実施される方法工程500を示したフローチャートである。本明細書で示される工程は、一部の工程がサブ工程を有するかもしれないこと、及び場合によっては本明細書で説明される特定の工程が例示の工程に含まれないかもしれないことが理解されるべきであり、ゆえに、例示的である。これを念頭に置いて、方法及び工程500が説明される。工程502では、基板102Aの第1の部分の上に、第1の処理チャンバが配される。工程504では、基板102Aの第2の部分の上に、第2の処理チャンバが配される。基板102Aの更なる対応部分の上には、更なる処理チャンバを配置することができる。
工程506では、第1のマイクロチャンバによって、基板102Aの第1の部分が処理される。工程508では、第2のマイクロチャンバによって、基板102Aの第2の部分が処理される。更なる処理チャンバは、基板102Aの更なる対応部分を処理することができる。基板102Aの第1及び第2の部分は、同時に、又は異なる時点で、又は異なる期間にわたって処理されてよいことが理解されるべきである。更に、上述のように、基板102Aの第1及び第2の各部分に適用されるプロセスは、同じ又は異なってよい。
工程510において、第1及び第2のマイクロチャンバは、基板102Aの後続部分の上へ移動される。第1及び第2のマイクロチャンバは、同時に、又は異なる時点で且つ異なる移動速度で、基板102Aの後続部分の上へ移動させることができる。第1及び第2のマイクロチャンバは、同じ又は異なる方向に移動させることができる。工程512において、基板102Aの後続部分は、第1及び第2のマイクロチャンバによって処理される。
工程518において、基板102Aに処理を必要とする更なる部分があるならば、方法工程は、上述のような工程510に続く。基板102Aに処理を必要とする更なる部分がないならば、方法工程は、終了することができる。
IV. 複数ステーションツール
図6A〜6Bは、本発明の実施形態にしたがう、複数ステーションプロセスツール600、640の概略図を示している。プロセスツール600、40に複数の処理ヘッド204A〜204F、244A〜244Fを有することの冗長性は、処理ヘッドが基板102A〜102Hを並列に処理できるゆえに、スループット及び信頼性を向上させる。複数の処理ヘッド204A〜204F、244A〜244Fは、本明細書で説明されるように、任意のタイプの処理ヘッド又はその組み合わせであることができる。
図6Aについて、プロセスツール600は、回転式に配置された処理ヘッド204A〜204Fを含む。各処理ヘッド204A〜204Fは、1つ又は2つ以上のマイクロチャンバ202A〜202Fを含む。複数の基板102A〜102Fが、対応する処理ヘッド202A〜204Fによって支えて処理することが可能である。処理ヘッド202A〜204F及び/又は基板102A〜102Fは、1つ又は2つ以上の処理ヘッドによって基板が処理されるように移動することができる。回転式プロセスツール600は、方向622A及び622Bに回転する。回転式プロセスツール600は、また、回転式プロセスツールの動作を制御するためのレシピを有するコントローラ612も含む。
図6Bについて、プロセスツール640は、直線状に配置された処理ヘッド244A〜244Fを含む。各処理ヘッド244A〜244Fは、1つ又は2つ以上のマイクロチャンバ202A〜202Fを含む。複数の基板102A〜102Fが、対応する処理ヘッド244A〜244Fによって支えて処理することが可能である。処理ヘッド244A〜244F及び/又は基板102A〜102Fは、1つ又は2つ以上の処理ヘッドによって基板が処理されるように移動することができる。直線状プロセスツール60は、基板及び/又は処理ヘッド244A〜244Fを方向622C及び622Dに移動させることができる。直線状プロセスツール60は、また、直線状プロセスツールの動作を制御するためのレシピを有するコントローラ612も含む。基板102A〜102Fは、また、それぞれの処理ヘッド204A〜204F、244A〜244Fにおいて軸中心に回転することもできる。
上述のように、処理ヘッド204A〜204F、244A〜244F及び/又は基板102A〜102Fは、同じ又は異なる方向に且つ異なる移動速度で移動することができる。アクチュエータ240は、ステッピングモータ、空気圧式アクチュエータ、油圧式アクチュエータ、電気機械式アクチュエータ、微細運動及び/若しくは振動のための圧電アクチュエータ、又はその他の任意の適切なタイプのアクチュエータであることができる。
各処理ヘッド204A〜204F、244A〜244Fは、同じ又は異なるプロセスを基板102A〜102に適用することができる。1つの処理ヘッドにおける複数の処理チャンバの使用に関して上述されたのと同様に、各処理ヘッド204A〜204F、244A〜244Fは、それぞれのプロセスを適用することができる。例えば、第1の処理ヘッド204A、244Aは、基板102Aに対してプラズマエッチングプロセスを適用することができる。次いで、基板102Aは、処理ヘッド204B、244Bへ移動され、そこで、仕上げプラズマエッチングプロセスを適用される。次いで、基板102Aは、処理ヘッド204C、244Cへ移動され、そこで、プロキシミティヘッド洗浄を実施される。処理ヘッド204A〜204F、244A〜244Fの1つ又は2つ以上は、チャックを基板に確実に適切に接触させるために、基板102A〜102Hの裏側を洗浄するなどの前洗浄プロセスを適用することができる。
処理ヘッド204A〜204F、244A〜244F及び基板102A〜102がともに可動であるゆえに、各処理ヘッドにおける各基板の滞留時間は可変である。例えば、処理ヘッド204Aが12インチ毎分(おおよそ30.5cm/分)で移動し、基板が静止している。その結果、相対速度は12インチ/分である。処理ヘッド204Bも、やはり12インチ毎分で第1の方向に移動し、基板102Bは、反対の第2の方向に12インチ毎分で移動し、その結果、相対速度は24インチ毎分(おおよそ61.0cm/分)である。同様に、処理ヘッド204Cが第1の方向に11インチ/分(おおよそ27.9cm/分)で移動し、基板102Bは、同じ方向に12インチ/分で移動し、相対速度は1インチ/分(おおよそ2.54cm/分)になる。このような異なる速度が有用であるのは、処理ヘッド204A及び処理ヘッド204Bにおいて、ユーザが、基板102Aに多数の薄い層がエッチングされるように複合的な高速通過を望むからであり、したがって、ステーション1、2、及び3における相対的な処理時間は、おおよそ等しくなる。
図7は、本発明の実施形態にしたがう、プロセスツール700の概略図を示している。プロセスツール700は、図に示されるような回転式プロセスツール600、又は図に示されない直線状プロセスツール640を含む。プロセスツール700は、また、搬入/搬出ポート702、704も含む。搬入/搬出ポート702、704は、ロードロック712A〜712Dを含む。
図8は、本発明の実施形態にしたがう、複数処理ヘッドプロセスツール700によって基板102A〜102Fを処理するにあたって実施される方法工程800を示したフローチャートである。本明細書で示される工程は、一部の工程がサブ工程を有するかもしれないこと、及び場合によっては本明細書で説明される特定の工程が例示の工程に含まれないかもしれないことが理解されるべきであり、ゆえに、例示的である。これを念頭に置いて、方法及び工程800が説明される。工程802では、搬入/搬出ポート702、704を通じて複数処理ヘッドプロセスツール700へ基板102A〜102Fが取り込まれる。基板102A〜102Fは、全て、処理が開始する前に搬入する(取り込む)ことができる。或いは、基板102A〜102Fは、基板が処理ヘッド204A〜204F、244A〜244Fを経て処理されるのに伴って順次取り込むことができる。基板102A〜102Fは、順次又はまとまりごとに取り込むことができる。例えば、各搬入/搬出ポート702、704を通じて1枚又は2枚以上の基板102A〜102Fを取り込むことができる。
工程804では、処理の準備のために、処理ヘッド204A〜204F、244A〜244Fが基板102A〜102Fを覆って密閉され、パージされる。工程806では、基板102A〜102Fは、それぞれの処理ヘッド204A〜204Fによって処理される。本明細書の他所で説明されるように、処理ヘッド204A〜204F、244A〜244Fは、それぞれの基板102A〜102Fを同じ又は異なる時間間隔で処理することができる。それぞれの基板102A〜102Fは、スループットを向上させるために、並列に処理することができる。
工程808では、基板102A〜102Fは、それぞれの後続の処理ヘッド204A〜204F、244A〜244Fに、又は搬出ポート702、704に順次移動される。例えば、基板102Aは、処理ヘッド204Bに進められ、基板102Bは、処理ヘッド204Cへと進められ、基板102Cは、処理ヘッド204Dへと進められ、基板102Dは、処理ヘッド204Eへと進められ、基板102Eは、処理ヘッド204Fへと進められる。基板102Fが全ての処理ヘッド204A〜204Fを通過すると、基板102Fの処理は完了し、したがって、基板102Fは、搬入/搬出ポート702、704に進められる。その結果、処理ヘッド204Aは、基板がない状態で残される。
工程810では、取り込み可能な更なる基板(例えば基板102L’)があるかどうかの問い合わせがなされる。基板102L’が取り込み可能であるならば、工程812において、基板102L’は、ヘッド204Aに取り込まれ、方法工程は、上述された工程804に続く。
工程810において、取り込み可能な更なる基板がないならば、方法工程は、工程814に続く。これまでに取り込まれた基板で処理されるべき基板が残っているならば、方法工程は、上述された工程804に続く。これまでに取り込まれた基板で処理されるべき基板が残っているならば、方法工程は、終了することができる。
V. 製造設備に統合された複数ステーションツール
図9Aは、本発明の実施形態にしたがう、製造システム900における複数処理ヘッドプロセスツール600、640を示している。製造システム900は、正面開口式一体型搬送容器(FOUP)930A〜930Jの取り扱い及び搬送のためのFOUP搬送システム938を含む。複数処理ヘッドプロセスツール600、640の搬入/搬出ポート702、704は、基板の取り扱い及び搬送のためにFOUPを収容することができる。
コントローラ612は、プラズマ信号を制御するための制御サブシステム922と、アクチュエータ位置を制御するための制御サブシステム923と、各種処理の終点を検出するための制御サブシステム924と、圧力及び真空を制御するための制御サブシステム925と、プロセス源を制御するための制御サブシステム926と、プロセスレシピ614とを含む。各制御サブシステムは、制御を実行するために必要なそれぞれのハードウェア部分にリンクされている。例えば、位置コントローラ923は、複数処理ヘッドプロセスツール600、640のアクチュエータ及びその他の可動部分にリンクされている。コントローラ612は、設備ネットワーク929への有線又は無線のリンク928を提供する何らかの適切なタイプのネットワークインターフェース927も含む。
図9Bは、本発明の実施形態にしたがう、製造設備950における複数処理ヘッドプロセスツール600、640を示している。複数処理ヘッドプロセスツール600、640及びその他のプロセスツール952は、ネットワーク927によって設備制御センタ929に結合されている。設備制御センタ929は、各複数処理ヘッドプロセスツール600、640のコントローラ612への集中アクセスを提供する中央コントローラ940を含む。
図10は、本発明の実施形態にしたがう、処理を実行するための代表的なコンピュータシステム1000(例えば、上述されたコントローラ612及び/又は設備コントローラ940)のブロック図である。コンピュータシステム1000は、デジタルコンピュータ1002と、ディスプレイ画面(すなわちモニタ)1004と、プリンタ1006と、フロッピィディスクドライブ1008と、ハードディスクドライブ1010と、ネットワークインターフェース1012と、キーボード1014とを含む。コンピュータ1002は、マイクロプロセッサ1016と、メモリバス1018と、ランダムアクセスメモリ(RAM)1020と、読み出し専用メモリ(ROM)1022と、周辺バス1024と、キーボードコントローラ(KBC)1026とを含む。コンピュータ1002は、パソコン(IBMと互換性のあるパソコン、Macintoshコンピュータ、若しくはMacintoshと互換性のあるコンピュータなど)、ワークステーションコンピュータ(Sun Microsystems若しくはHewlett−Pakardワークステーションなど)、又はその他の何らかのタイプのコンピュータであることができる。
マイクロプロセッサ1016は、コンピュータシステム1000の動作を制御する汎用デジタルプロセッサである。マイクロプロセッサ1016は、単一チッププロセッサであることができる、又は複数の構成要素で実装することができる。メモリから取り出された命令を使用し、マイクロプロセッサ1016は、入力データの受信及び操作、並びに出力デバイスにおけるデータの出力及び表示を制御する。
メモリバス1018は、RAM1020及びROM1022にアクセスするために、マイクロプロセッサ1016によって使用される。RAM1020は、汎用ストレージ領域として及びスクラッチパッドメモリとしてマイクロプロセッサ1016によって使用され、また、入力データ及び処理済みデータを保存するためにも使用することができる。ROM1022は、マイクロプロセッサ1016が従う命令又はプログラム、及びその他のデータを保存するために使用することができる。
周辺バス1024は、デジタルコンピュータ1002によって使用される入力デバイス、出力デバイス、及びストレージデバイスにアクセスするために使用される。説明されている実施形態では、これらのデバイスは、ディスプレイ画面1004、プリンタデバイス1006、フロッピィディスクドライブ1008、ハードディスクドライブ1010、及びネットワークインターフェース1012を含む。キーボードコントローラ1026は、キーボード1014から入力を受信し、押された各キーについて、デコード化された記号をバス1028を通じてマイクロプロセッサ1016に送信するために使用される。
ディスプレイ画面1004は、周辺バス1024を通じてマイクロプロセッサ1016によって提供された又はコンピュータシステム1000内のその他の構成要素によって提供されたデータの画像を表示する出力デバイスである。プリンタデバイス1006は、プリンタとして動作しているときは、用紙又は類似の表面上に画像を提供する。プリンタデバイス1006の代わり又は追加として、プロッタ、植字装置などのその他の出力デバイスを使用することができる。
フロッピィディスクドライブ1008及びハードディスクドライブ1010は、様々なタイプのデータを保存するために使用することができる。フロッピィディスクドライブ1008は、その他のコンピュータシステムへのこのようなデータの搬送を促し、ハードディスクドライブ1010は、保存された大量のデータへの高速アクセスを可能にする。
マイクロプロセッサ1016は、オペレーティングシステムとともに、コンピュータコードを実行してデータを生成及び使用するように動作する。コンピュータコード及びデータは、RAM1020上、ROM1022上、又はハードディスクドライブ1010上にあってよい。コンピュータコード及びデータは、取り外し可能なプログラム媒体上にあって、必要なときにコンピュータシステム1000に取り込まれてよい、すなわちインストールされてよい。取り外し可能なプログラム媒体には、例えば、CD−ROM、PCカード、フロッピィディスク、光媒体、及び磁気テープなどがある。
ネットワークインターフェース1012は、その他のコンピュータシステムに接続されたネットワークを通じてデータを送受信するために使用される。コンピュータシステム1000を既存のネットワークに接続するために及び標準プロトコルにしたがってデータを転送するために、インターフェースカード又は類似のデバイスと、マイクロプロセッサ1016によって実装された適切なソフトウェアとを使用することができる。
キーボード1014は、ユーザによって、コマンド及びその他の命令をコンピュータシステム1000に入力するために使用される。本発明との関連で、その他のタイプのユーザ入力デバイスを使用することもできる。例えば、汎用コンピュータの画面上のポインタを操作するために、コンピュータマウス、トラックボール、スタイラス、又はタブレットなどのポインティングデバイスを使用することができる。
上記の実施形態を念頭に置いて、本発明は、コンピュータシステムに保存されたデータを伴う様々なコンピュータ実行動作を用いてよいことを理解されるべきである。これらの動作は、物理量の物理的操作を必要とする動作である。必ずしもそうとは限らないが、通常、これらの量は、格納、転送、結合、比較、及びその他の操作を経ることが可能な電気信号又は磁気信号の形態をとる。更に、実施される操作は、多くの場合、生成、特定、決定、又は比較などの表現で言及される。
本明細書で説明されて本発明の一部を構成する動作は、いずれも、有用なマシン動作である。本発明は、また、これらの動作を実施するためのデバイス又は装置にも関する。装置は、所要の目的のために特別に構築されてもよいし、又はコンピュータに保存されたコンピュータプログラムによって選択的に作動若しくは構成される汎用コンピュータであってもよい。具体的には、本明細書の教示にしたがって記述されたコンピュータプログラムとともに各種の汎用マシンが使用されてよい、又は所要の動作を実施するためのより特化された装置を構築するほうが好都合なこともある。本発明の代表的な構造が、以下で説明される。
本発明の実施形態は、データを或る状態から別の状態へ変換するマシンとしても定義することができる。変換されたデータは、ストレージに保存することができ、次いで、プロセッサによって操作される。プロセッサは、ゆえに、データを或るものから別のものに変換する。尚も更に、方法は、ネットワークを通じて接続可能な1つ又は2つ以上のマシン又はプロセッサによって処理することができる。各マシンは、データを或る状態又はものから別の状態又はものに変換することができ、また、データを処理する、データをストレージに保存する、ネットワークを通じてデータを伝送する、結果を表示する、又は結果を別のマシンに伝えることもできる。
本発明は、コンピュータ可読媒体上のコンピュータ可読コード及び/又はロジックとしても実装することができる。コンピュータ可読媒体は、コンピュータシステムによって後ほど読み出し可能なデータを保存することができる任意のデータストレージデバイスである。コンピュータ可読媒体の例には、ハードドライブ、ネットワーク接続ストレージ(NAS)、ロジック回路、読み出し専用メモリ、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、並びにその他の光及び非光データストレージデバイスがある。コンピュータ可読媒体は、コンピュータ可読コードが分散方式で保存及び実行されるように、ネットワーク結合されたコンピュータシステムに分散させることもできる。
更に、上記の図面において動作で表されている命令は、例示された順序で実施される必要はなく、動作で表されている必ずしも全ての処理が、本発明を実施するために必要とは限らない。更に、いずれの図面に記載されているプロセスであれ、RAM、ROM、又はハードディスクドライブのいずれか又は組み合わせに保存されたソフトウェアとして実装することもできる。
VI. 動的チャック
図11Aは、本発明の実施形態にしたがう、処理ヘッド1100の概略図を示している。処理ヘッド1100は、基板102Aに相対的な4つの位置1102A.1〜1102A.4で示されている。チャック201Aは、基板102Aを支えている。バイアス源232Bは、所望の周波数でチャック201Aにバイアス電力(バイアス信号1104)を提供する。バイアス信号1104は、基板とチャック201Aの表面との接触を通じて基板に印加される。マイクロチャンバ202Aは、そのオープンサイド(解放面)1101から、プラズマ244からの電磁エネルギ1103Aを(例えば基板102Aに向かって及び/又はエッジリング208に向かって)放出する。
位置1102A.1では、電磁エネルギ1103Aは、ある程度はエッジリング208に向けられているが、電流経路は、基板102Aを通ってチャック201Aに通じているので、電流の少なくとも一部は、基板102Aのエッジに向かって引っ張られる。この電流は、イオンも基板102Aのエッジに向かって引っ張る。その結果、基板のエッジ及びエッジに隣接する領域は、基板102Aのその他の部分と比べて追加の処理時間及び滞留時間を得ることができる。
マイクロチャンバ202Aが、位置1102A.1から位置1102A.2に移動されるのに伴って、電流経路1103A.2は、実質的に真直ぐ基板102Aを通ってチャック201Aに通じる。同様に、マイクロチャンバ202Aが位置1102A.2から位置1102A.3に移動されるのに伴って、電流経路1103A.3は、実質的に真直ぐ基板102Aを通ってチャック201Aに通じる。
マイクロチャンバ202Aが位置1102A.3から位置1102A.4に移動されるのに伴って、電流経路1103A.4は、実質的に真直ぐ基板102Aを通ってチャック201Aにつながるが、エッジリング208に向かうほどには均一でない可能性がある。この電流は、やはり、イオンの一部を基板102Aのエッジに向かって引っ張ることができる。その結果、基板のエッジ及びエッジに隣接する領域は、基板102Aのその他の部分と比べて追加の処理時間及び滞留時間を得ることができる。
図11Bは、本発明の実施形態にしたがう、処理ヘッド1110の概略図を示している。処理ヘッド1110は、動的チャック1108を含む。動的チャック1108は、基板102Aの反対側に及びエッジリング208に対して支え及びバイアスを提供する。チャック1108と基板102Aとの間には、比較的薄いサポート材料の層1106が提供される。チャック1108とエッジリング208との間には、比較的薄いサポート材料の層1106Aが提供される。サポート材料1106、1106Aは、一枚続きであることができる。或いは、サポート材料1106、1106Aは、別々であることができる。
チャック1108は、上述のように、基板102Aのエッジにおけるイオンの集中を低下させる。マイクロチャンバ202Aは、エッジリング208及び/又は基板102Aの対応部分のみをバイアスされればよいので、動的チャック1108は、基板102Aのエッジにおけるイオンの集中を更に低下させるとともに、電気効率を得ることもできる。
図11Cは、本発明の一実施形態にしたがう、マイクロチャンバ202A内でプラズマを発生させるにあたって、並びにマイクロチャンバを移動させる及び動的チャック1108の対応部分をバイアスさせるにあたって実施される方法工程1150を示したフローチャートである。本明細書で示される工程は、一部の工程がサブ工程を有するかもしれないこと、及び場合によっては本明細書で説明される特定の工程が例示の工程に含まれないかもしれないことが理解されるべきであり、ゆえに、例示的である。これを念頭に置いて、方法及び工程1150が説明される。工程1152では、位置1102A.1にあるマイクロチャンバ202A内でプラズマが発生される。工程1154では、動的チャック1108は、エッジリング208の対応部分1109A.1がバイアスされるように、動的チャックの対応部分1104A.1のみをバイアスさせればよい。その結果、電流経路及びイオン経路は、マイクロチャンバ202Aと、動的チャック1108の対応部分1104A.1との間の、エッジリング208の対応部分1109A.1のみに、実質的に制限される。
工程1156では、マイクロチャンバは、後続部分1102A.2に移動される。工程1158では、動的チャック1108は、基板102Aの対応部分1109A.2がバイアスされるように、動的チャックの対応部分1104A.2のみをバイアスさせればよい。その結果、電流経路及びイオン経路は、マイクロチャンバ202Aと、動的チャック1108の対応部分1104A.2との間の、基板102Aの対応部分1109A.2のみに、実質的に制限される。
方法工程は、基板及び/又はエッジリング208の後続部分のための工程1156及び1158に続き、その後、終了することができる。例えば、マイクロチャンバが位置1102A.3に移動されるのに伴って、動的チャック1108は、基板102Aの対応部分1109A.3がバイアスされるように、動的チャックの対応部分1104A.3のみをバイアスさせればよい。その結果、電流経路及びイオン経路は、マイクロチャンバ202Aと、動的チャック1108の対応部分1104A.3との間の、基板102Aの対応部分1109A.3のみに、実質的に制限される。
マイクロチャンバが位置1102A.4に移動されるのに伴って、動的チャック1108は、基板102Aの対応部分1109A.4がバイアスされるように、動的チャックの対応部分1104A.4のみをバイアスさせればよい。その結果、電流経路及びイオン経路は、マイクロチャンバ202Aと、動的チャック1108の対応部分1104A.4との間の、基板102Aの対応部分1109A.4のみに、実質的に制限される。
動的チャック1108の対応部分のみのバイアスは、バイアスのためのエネルギ必要量を減らすとともに、プラズマから基板へのエネルギの流れをより制御されたものにする。動的チャック1108は、どの時点でも基板102Aのなかでバイアスを必要とする領域のみを選択的にバイアス可能であるように、選択的にバイアス可能な多数の電気的に分離された部分を含むことができる。これらの多数の電気的分離部分は、よく知られたメモリマトリクスタイプのシステムと同様に、マトリクスを通じて選択的にバイアス可能である。動的チャック1108のアドレス指定可能な電気的分離部分などの、その他のシステムも実装可能である。
図11Dは、本発明の実施形態にしたがう、処理ヘッド1120の概略図を示している。動的チャック1108は、マイクロチャンバ202Aの場所(例えば場所1102A.1〜1102A.4など)に対応する場所(例えば1104A.1〜1104A.4など)に移動させることができる動的チャックの可動部分1124を含む。可動部分1124には、リンク1121によってアクチュエータ1122が結合されている。アクチュエータ1122は、必要に応じて可動部分1124を移動させる。動的チャックの可動部分1124は、動的チャックのなかで唯一バイアスされる部分であってよく、したがって、バイアスされた可動部分は、マイクロチャンバの場所に対応するように移動することができ、基板支持部1106及びエッジリング支持部1106Aの残りの部分は、マイクロチャンバ202Aと位置が揃っていない限りはバイアスされない。
処理ヘッド1100、1120は、1つのマイクロチャンバ202Aのみを伴うとして上述されているが、処理ヘッド1100、1120は、本明細書で説明されるように、複数のマイクロチャンバを含んでもよいことが理解されるべきである。これに対応して、動的チャック1108は、複数の可動部分1104A及び/又は選択的にバイアス可能な複数の部分を有することができ、これらは、処理ヘッド1100、1120内の複数のマイクロチャンバ202Aの各自と実質的に位置を揃えて対応付けることができる。
図12A〜12Cは、本発明の実施形態にしたがう、プラズママイクロチャンバ1200、1210、1220である。図12Dは、本発明の実施形態にしたがう、直線状の複数マイクロチャンバシステム1240の上面図である。図12Eは、本発明の実施形態にしたがう、直線状の複数マイクロチャンバシステム1250の側面図である。図12Fは、本発明の実施形態にしたがう、洗浄ライン1266に基板を供給する2つの直線状の複数マイクロチャンバシステム1262、126を含むシステム1260の上面図である。図12Gは、本発明の実施形態にしたがう、2つの複数扇形マイクロチャンバを伴うシステム1270の上面図である。図12Hは、本発明の実施形態にしたがう、様々なプラズマ源を示したグラフ1280である。図12Iは、本発明の実施形態にしたがう、様々なタイプのプラズマのプラズマ密度を示したグラフ1290である。
以上の発明は、理解を明瞭にする目的で、或る程度詳しく説明されてきた。しかしながら、添付の特許請求の範囲内で特定の変更及び修正がなされてよいことは明らかである。したがって、これらの実施形態は、例示的であって尚且つ非限定的であると見なされ、本発明は、本明細書で定められた詳細に限定されず、添付の特許請求の範囲及びそれらの等価形態の範囲内で変更することが可能である。
適用例1:プラズマエッチング処理ツールであって、基板表面領域を有する基板を支持するための基板支持部と、前記基板支持部を覆うように方向付けられているオープンサイドを有するプラズママイクロチャンバを含む処理ヘッドと、前記オープンサイドは前記基板表面領域よりも狭いプロセス領域を有し、前記基板支持部と前記処理ヘッドとの間に規定されている密閉構造と、前記プラズママイクロチャンバ及び前記基板支持部に接続されている電源と、を備えるプラズマエッチング処理ツール。
適用例2:適用例1に記載のプラズマエッチング処理ツールであって、前記電源は、前記プラズママイクロチャンバ内の容積に比例する設定を有する、プラズマエッチング処理ツール。
適用例3:適用例1に記載のプラズマエッチング処理ツールであって、前記電源は、前記プラズママイクロチャンバに結合されている第1の電源と、前記基板支持部に結合されている第2の電源とを含む、プラズマエッチング処理ツール。
適用例4:適用例1に記載のプラズマエッチング処理ツールであって、前記基板支持部は、チャックである、プラズマエッチング処理ツール。
適用例5:適用例4に記載のプラズマエッチング処理ツールであって、前記チャックは、前記基板の面積に等しい、または前記基板の面積よりも小さいチャック面積を有する、プラズマエッチング処理ツール。
適用例6:適用例1に記載のプラズマエッチング処理ツールであって、前記プラズママイクロチャンバは、前記基板に対して相対的に可動である、プラズマエッチング処理ツール。
適用例7:適用例6に記載のプラズマエッチング処理ツールであって、前記基板支持部の一部のみがバイアスされ、前記基板支持部の前記バイアス部分は、前記プラズママイクロチャンバと実質的に並んでいる、プラズマエッチング処理ツール。
適用例8:適用例7に記載のプラズマエッチング処理ツールであって、前記基板支持部の前記バイアス部分は、前記可動なプラズママイクロチャンバとの実質的な並びを維持するために可動である、プラズマエッチング処理ツール。
適用例9:適用例1に記載のプラズマエッチング処理ツールであって、前記プラズママイクロチャンバはマイクロチャンバ容積を有し、前記マイクロチャンバ容積はプラズマを内包する、プラズマエッチング処理ツール。
適用例10:適用例1に記載のプラズマエッチング処理ツールは更に、前記プラズママイクロチャンバに結合されているプロセス材料源と、前記プラズママイクロチャンバに結合されている真空源と、を備えるプラズマエッチング処理ツール。
適用例11:適用例10に記載のプラズマエッチング処理ツールであって、前記真空源は、可調整式の真空源である、プラズマエッチング処理ツール。
適用例12:適用例1に記載のプラズマエッチング処理ツールは更に、密閉構造を備えるプラズマエッチング処理ツール。
適用例13:適用例12に記載のプラズマエッチング処理ツールであって、前記密閉構造は、密閉リングを含む、プラズマエッチング処理ツール。
適用例14:適用例12に記載のプラズマエッチング処理ツールであって、前記密閉構造は、前記マイクロチャンバを囲むアウターチャンバを含む、プラズマエッチング処理ツール。
適用例15:適用例1に記載のプラズマエッチング処理ツールであって、前記プラズママイクロチャンバは、前記基板に対して相対的に可動であり、更に、前記基板支持部に接続されているアクチュエータを備え、前記アクチュエータは、前記基板支持部の上側に配されたときに、前記基板支持部を移動させて基板表面の被選択領域を露出させるように構成されている、プラズマエッチング処理ツール。
適用例16:適用例15に記載のプラズマエッチング処理ツールであって、前記アクチュエータは、回転方向、角度方向、直線方向、非直線方向、枢動方向のうちの1つ又は2つ以上の方向に移動するように構成されている、プラズマエッチング処理ツール。
適用例17:適用例1に記載のプラズマエッチング処理ツールであって、前記プラズママイクロチャンバは、前記基板に対して相対的に可動であり、更に、前記プラズママイクロチャンバに接続されているアクチュエータを含み、前記アクチュエータは、前記基板支持部の上側に配されたときに、前記プラズママイクロチャンバを移動させて基板表面の被選択領域を露出させるように構成されている、プラズマエッチング処理ツール。
適用例18:適用例17に記載のプラズマエッチング処理ツールであって、前記アクチュエータは、回転方向、角度方向、直線方向、非直線方向、枢動方向のうちの1つ又は2つ以上の方向に移動するように構成されている、プラズマエッチング処理ツール。
適用例19:適用例1に記載のプラズマエッチング処理ツールであって、前記基板支持部は、前記基板を回転させるように構成されている、プラズマエッチング処理ツール。
適用例20:適用例1に記載のプラズマエッチング処理ツールであって、前記基板支持部は、エッジリングを含む、プラズマエッチング処理ツール。
適用例21:適用例20に記載のプラズマエッチング処理ツールであって、前記エッジリングの少なくとも一部は、バイアスされる、プラズマエッチング処理ツール。
適用例22:適用例20に記載のプラズマエッチング処理ツールであって、前記エッジリングの少なくとも一部は、取り換え可能である、プラズマエッチング処理ツール。
適用例23:適用例20に記載のプラズマエッチング処理ツールであって、前記エッジリングの少なくとも一部は、前記プラズママイクロチャンバ内のプラズマと反応することができる、プラズマエッチング処理ツール。
適用例24:適用例20に記載のプラズマエッチング処理ツールであって、前記エッジリングは、前記基板支持部上にあるときに、前記基板のエッジの少なくとも一部に隣接する、プラズマエッチング処理ツール。
適用例25:適用例20に記載のプラズマエッチング処理ツールであって、前記エッジリングは、前記基板支持部上にあるときに、前記基板のエッジの湾曲部分に隣接する、プラズマエッチング処理ツール。
適用例26:適用例1に記載のプラズマエッチング処理ツールであって、前記マイクロチャンバは、複数の導入ポートと、複数の排出ポートとを含む、プラズマエッチング処理ツール。
適用例27:適用例26に記載のプラズマエッチング処理ツールであって、前記複数の導入ポートの少なくとも1つは、複数のプロセス材料源の1つに結合されている、プラズマエッチング処理ツール。
適用例28:適用例26に記載のプラズマエッチング処理ツールであって、前記複数の導入ポートの少なくとも1つは、パージ材料源に結合されている、プラズマエッチング処理ツール。
適用例29:適用例26に記載のプラズマエッチング処理ツールであって、前記複数の排出ポートの少なくとも1つは、真空源に結合されている、プラズマエッチング処理ツール。
適用例30:適用例1に記載のプラズマエッチング処理ツールは更に、少なくとも1つの監視機器を備えるプラズマエッチング処理ツール。
適用例31:適用例30に記載のプラズマエッチング処理ツールであって、前記監視機器は、前記プラズママイクロチャンバから排出される副生成物を監視する、プラズマエッチング処理ツール。
適用例32:適用例30に記載のプラズマエッチング処理ツールであって、前記監視機器は、前記プラズママイクロチャンバから放射される光のスペクトルを監視する、プラズマエッチング処理ツール。
適用例33:適用例30に記載のプラズマエッチング処理ツールであって、前記監視機器は、コントローラに結合されている、プラズマエッチング処理ツール。
適用例34:適用例30に記載のプラズマエッチング処理ツールであって、前記監視機器は、前記基板の表面を監視する、プラズマエッチング処理ツール。
適用例35:適用例1に記載のプラズマエッチング処理ツールであって、前記プラズママイクロチャンバの内部空間は、前記プラズママイクロチャンバの長さに沿って一定の幅を有する、プラズマエッチング処理ツール。
適用例36:適用例1に記載のプラズマエッチング処理ツールであって、前記プラズママイクロチャンバの内部空間は、前記プラズママイクロチャンバの長さに沿って変化する幅を有する、プラズマエッチング処理ツール。
適用例37:適用例1に記載のプラズマエッチング処理ツールであって、前記プラズママイクロチャンバの内部空間は、前記プラズママイクロチャンバの長さに沿って一定の深さを有する、プラズマエッチング処理ツール。
適用例38:適用例1に記載のプラズマエッチング処理ツールであって、前記プラズママイクロチャンバの内部空間は、前記プラズママイクロチャンバの長さに沿って変化する深さを有する、プラズマエッチング処理ツール。
適用例39:適用例1に記載のプラズマエッチング処理ツールであって、前記プラズママイクロチャンバの内部空間は、前記プラズママイクロチャンバの長さに沿って調整可能な深さを有する、プラズマエッチング処理ツール。
適用例40:適用例1に記載のプラズマエッチング処理ツールであって、前記プラズマエッチング処理ツールは、複数のプラズママイクロチャンバを含む、プラズマエッチング処理ツール。
適用例41:適用例40に記載のプラズマエッチング処理ツールであって、前記複数のプラズママイクロチャンバは、直線状の構成を有する、プラズマエッチング処理ツール。
適用例42:適用例40に記載のプラズマエッチング処理ツールであって、前記複数のプラズママイクロチャンバは、回転式の構成を有する、プラズマエッチング処理ツール。
適用例43:プラズマエッチングを実施する方法であって、プラズママイクロチャンバ内でプラズマを発生させることと、前記マイクロチャンバは、基板表面領域を有する基板を支持するための基板支持部と、前記基板支持部を覆うように方向付けられているオープンサイドを有するプラズママイクロチャンバを含む処理ヘッドと、前記オープンサイドは前記基板表面領域よりも狭いプロセス領域を有し、前記基板支持部と前記処理ヘッドとの間に規定されている密閉構造と、前記プラズママイクロチャンバ及び前記基板支持部に接続されている電源と、を含み、
前記プラズママイクロチャンバを、前記基板支持部上にあるときに、前記基板の複数の表面のうちの被選択表面が前記プラズマに暴露されるまで前記基板の表面に対して相対的に移動させることと、を備える方法。
適用例44:適用例43に記載の方法は更に、複数のプラズマ副生成物を前記プラズママイクロチャンバから引き抜くことを備える方法。
適用例45:適用例44に記載の方法であって、前記複数のプラズマ副生成物は、前記プラズママイクロチャンバの頂部近くで前記プラズママイクロチャンバから引き抜かれる、方法。

Claims (42)

  1. プラズマエッチング処理ツールであって、
    基板表面領域を有する基板を支持するための基板支持部と、
    前記基板支持部を覆うように方向付けられているオープンサイドを有し、前記基板に対して相対的に可動であるプラズママイクロチャンバを含む処理ヘッドと、前記オープンサイドは前記基板表面領域よりも狭いプロセス領域を有し、
    前記基板支持部と前記処理ヘッドとの間に規定されている密閉構造と、
    前記プラズママイクロチャンバ及び前記基板支持部に接続されている電源と、
    を備え、
    前記プロセス領域は前記密閉構造により提供される密閉領域よりも小さな領域であり、前記プラズママイクロチャンバは前記密閉領域内において前記基板に対して相対的に可動であり、前記処理ヘッドは、前記密閉領域内に配置され、プラズマ処理中に可動であり、前記密閉領域における前記基板の全表面に対してプラズマ処理が可能である
    プラズマエッチング処理ツール。
  2. 請求項1に記載のプラズマエッチング処理ツールであって、
    前記電源は、前記プラズママイクロチャンバに結合されている第1の電源と、前記基板支持部に結合されている第2の電源とを含む、プラズマエッチング処理ツール。
  3. 請求項1に記載のプラズマエッチング処理ツールであって、
    前記基板支持部は、チャックである、プラズマエッチング処理ツール。
  4. 請求項3に記載のプラズマエッチング処理ツールであって、
    前記チャックは、前記基板の面積に等しい、または前記基板の面積よりも小さいチャック面積を有する、プラズマエッチング処理ツール。
  5. 請求項1に記載のプラズマエッチング処理ツールであって、
    前記プラズママイクロチャンバは、前記基板に対して相対的に可動である、プラズマエッチング処理ツール。
  6. 請求項5に記載のプラズマエッチング処理ツールであって、
    前記基板支持部の一部のみがバイアスされ、前記基板支持部の前記バイアス部分は、前記プラズママイクロチャンバと実質的に並んでいる、プラズマエッチング処理ツール。
  7. 請求項6に記載のプラズマエッチング処理ツールであって、
    前記基板支持部の前記バイアス部分は、前記可動なプラズママイクロチャンバとの実質的な並びを維持するために可動である、プラズマエッチング処理ツール。
  8. 請求項1に記載のプラズマエッチング処理ツールは更に、
    前記プラズママイクロチャンバに結合されているプロセス材料源と、
    前記プラズママイクロチャンバに結合されている真空源と、
    を備えるプラズマエッチング処理ツール。
  9. 請求項8に記載のプラズマエッチング処理ツールであって、
    前記真空源は、可調整式の真空源である、プラズマエッチング処理ツール。
  10. 請求項1に記載のプラズマエッチング処理ツールは更に、
    密閉構造を備えるプラズマエッチング処理ツール。
  11. 請求項10に記載のプラズマエッチング処理ツールであって、
    前記密閉構造は、密閉リングを含む、プラズマエッチング処理ツール。
  12. 請求項10に記載のプラズマエッチング処理ツールであって、
    前記密閉構造は、前記マイクロチャンバを囲むアウターチャンバを含む、プラズマエッチング処理ツール。
  13. 請求項1に記載のプラズマエッチング処理ツールであって、更に、前記基板支持部に接続されているアクチュエータを備え、前記アクチュエータは、前記基板支持部の上側に配されたときに、前記基板支持部を移動させて基板表面の被選択領域を露出させるように構成されている、プラズマエッチング処理ツール。
  14. 請求項13に記載のプラズマエッチング処理ツールであって、
    前記アクチュエータは、回転方向、角度方向、直線方向、非直線方向、枢動方向のうちの1つ又は2つ以上の方向に移動するように構成されている、プラズマエッチング処理ツール。
  15. 請求項1に記載のプラズマエッチング処理ツールであって、更に、前記プラズママイクロチャンバに接続されているアクチュエータを含み、前記アクチュエータは、前記基板支持部の上側に配されたときに、前記プラズママイクロチャンバを移動させて基板表面の被選択領域を露出させるように構成されている、プラズマエッチング処理ツール。
  16. 請求項15に記載のプラズマエッチング処理ツールであって、
    前記アクチュエータは、回転方向、角度方向、直線方向、非直線方向、枢動方向のうちの1つ又は2つ以上の方向に移動するように構成されている、プラズマエッチング処理ツール。
  17. 請求項1に記載のプラズマエッチング処理ツールであって、
    前記基板支持部は、前記基板を回転させるように構成されている、プラズマエッチング処理ツール。
  18. 請求項1に記載のプラズマエッチング処理ツールであって、
    前記基板支持部は、エッジリングを含む、プラズマエッチング処理ツール。
  19. 請求項18に記載のプラズマエッチング処理ツールであって、
    前記エッジリングの少なくとも一部は、バイアスされる、プラズマエッチング処理ツール。
  20. 請求項18に記載のプラズマエッチング処理ツールであって、
    前記エッジリングの少なくとも一部は、取り換え可能である、プラズマエッチング処理ツール。
  21. 請求項18に記載のプラズマエッチング処理ツールであって、
    前記エッジリングの少なくとも一部は、前記プラズママイクロチャンバ内のプラズマと反応することができる、プラズマエッチング処理ツール。
  22. 請求項18に記載のプラズマエッチング処理ツールであって、
    前記エッジリングは、前記基板支持部上にあるときに、前記基板のエッジの少なくとも一部に隣接する、プラズマエッチング処理ツール。
  23. 請求項18に記載のプラズマエッチング処理ツールであって、
    前記エッジリングは、前記基板支持部上にあるときに、前記基板のエッジの湾曲部分に隣接する、プラズマエッチング処理ツール。
  24. 請求項1に記載のプラズマエッチング処理ツールであって、
    前記マイクロチャンバは、複数の導入ポートと、複数の排出ポートとを含む、プラズマエッチング処理ツール。
  25. 請求項24に記載のプラズマエッチング処理ツールであって、
    前記複数の導入ポートの少なくとも1つは、複数のプロセス材料源の1つに結合されている、プラズマエッチング処理ツール。
  26. 請求項24に記載のプラズマエッチング処理ツールであって、
    前記複数の導入ポートの少なくとも1つは、パージ材料源に結合されている、プラズマエッチング処理ツール。
  27. 請求項24に記載のプラズマエッチング処理ツールであって、
    前記複数の排出ポートの少なくとも1つは、真空源に結合されている、プラズマエッチング処理ツール。
  28. 請求項1に記載のプラズマエッチング処理ツールは更に、
    少なくとも1つの監視機器を備えるプラズマエッチング処理ツール。
  29. 請求項28に記載のプラズマエッチング処理ツールであって、
    前記監視機器は、前記プラズママイクロチャンバから排出される副生成物を監視する、プラズマエッチング処理ツール。
  30. 請求項28に記載のプラズマエッチング処理ツールであって、
    前記監視機器は、前記プラズママイクロチャンバから放射される光のスペクトルを監視する、プラズマエッチング処理ツール。
  31. 請求項28に記載のプラズマエッチング処理ツールであって、
    前記監視機器は、コントローラに結合されている、プラズマエッチング処理ツール。
  32. 請求項28に記載のプラズマエッチング処理ツールであって、
    前記監視機器は、前記基板の表面を監視する、プラズマエッチング処理ツール。
  33. 請求項1に記載のプラズマエッチング処理ツールであって、
    前記プラズママイクロチャンバの内部空間は、前記プラズママイクロチャンバの長さに沿って一定の幅を有する、プラズマエッチング処理ツール。
  34. 請求項1に記載のプラズマエッチング処理ツールであって、
    前記プラズママイクロチャンバの内部空間は、前記プラズママイクロチャンバの長さに沿って変化する幅を有する、プラズマエッチング処理ツール。
  35. 請求項1に記載のプラズマエッチング処理ツールであって、
    前記プラズママイクロチャンバの内部空間は、前記プラズママイクロチャンバの長さに沿って一定の深さを有する、プラズマエッチング処理ツール。
  36. 請求項1に記載のプラズマエッチング処理ツールであって、
    前記プラズママイクロチャンバの内部空間は、前記プラズママイクロチャンバの長さに沿って変化する深さを有する、プラズマエッチング処理ツール。
  37. 請求項1に記載のプラズマエッチング処理ツールであって、
    前記プラズママイクロチャンバの内部空間は、前記プラズママイクロチャンバの長さに沿って調整可能な深さを有する、プラズマエッチング処理ツール。
  38. 請求項1に記載のプラズマエッチング処理ツールであって、
    前記プラズマエッチング処理ツールは、複数のプラズママイクロチャンバを含む、プラズマエッチング処理ツール。
  39. 請求項38に記載のプラズマエッチング処理ツールであって、
    前記複数のプラズママイクロチャンバは、直線状の構成を有する、プラズマエッチング処理ツール。
  40. プラズマエッチングを実施する方法であって、
    プラズママイクロチャンバ内でプラズマを発生させることと、前記マイクロチャンバは、
    基板表面領域を有する基板を支持するための基板支持部と、
    前記基板支持部を覆うように方向付けられているオープンサイドを有し、前記基板に対して相対的に可動であるプラズママイクロチャンバを含む処理ヘッドと、前記オープンサイドは前記基板表面領域よりも狭いプロセス領域を有し、
    前記基板支持部と前記処理ヘッドとの間に規定されている密閉構造と、
    前記プラズママイクロチャンバ及び前記基板支持部に接続されている電源と、
    を含み、前記プロセス領域は前記密閉構造により提供される密閉領域よりも小さな領域であり、前記処理ヘッドは、前記密閉領域内に配置され、
    前記密閉領域内において、前記プラズママイクロチャンバを、前記基板支持部上にあるときに、前記基板の複数の表面のうちの被選択表面が前記プラズマに暴露されるまで前記基板の表面に対して相対的に移動させ、前記密閉領域における前記基板の全表面に対してプラズマ処理することと、
    を備える方法。
  41. 請求項40に記載の方法は更に、
    複数のプラズマ副生成物を前記プラズママイクロチャンバから引き抜くことを備える方法。
  42. 請求項41に記載の方法であって、
    前記複数のプラズマ副生成物は、前記プラズママイクロチャンバの頂部近くで前記プラズママイクロチャンバから引き抜かれる、方法。
JP2012542199A 2009-12-03 2010-12-02 小型のプラズマチャンバシステム及び方法 Active JP5826761B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US26647609P 2009-12-03 2009-12-03
US61/266,476 2009-12-03
US12/957,923 2010-12-01
US12/957,923 US9111729B2 (en) 2009-12-03 2010-12-01 Small plasma chamber systems and methods
PCT/US2010/058791 WO2011069011A1 (en) 2009-12-03 2010-12-02 Small plasma chamber systems and methods

Publications (2)

Publication Number Publication Date
JP2013514633A JP2013514633A (ja) 2013-04-25
JP5826761B2 true JP5826761B2 (ja) 2015-12-02

Family

ID=44081004

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012542199A Active JP5826761B2 (ja) 2009-12-03 2010-12-02 小型のプラズマチャンバシステム及び方法

Country Status (7)

Country Link
US (3) US9111729B2 (ja)
JP (1) JP5826761B2 (ja)
KR (1) KR101800037B1 (ja)
CN (1) CN102753723B (ja)
SG (1) SG10201407638RA (ja)
TW (1) TWI443740B (ja)
WO (1) WO2011069011A1 (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
KR101794069B1 (ko) * 2010-05-26 2017-12-04 삼성전자주식회사 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US8980046B2 (en) * 2011-04-11 2015-03-17 Lam Research Corporation Semiconductor processing system with source for decoupled ion and radical control
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
JP5712874B2 (ja) 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9177762B2 (en) * 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP6011417B2 (ja) * 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
JP5971144B2 (ja) * 2013-02-06 2016-08-17 東京エレクトロン株式会社 基板処理装置及び成膜方法
US9997381B2 (en) * 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
CN105917445B (zh) * 2014-01-13 2020-05-22 应用材料公司 具有空间原子层沉积的自对准式双图案化
US9837254B2 (en) * 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10276426B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
KR102204181B1 (ko) * 2017-12-15 2021-01-19 램 리써치 코포레이션 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
KR20210123409A (ko) 2019-02-28 2021-10-13 램 리써치 코포레이션 측벽 세정을 사용한 이온 빔 에칭
US11682544B2 (en) 2020-10-21 2023-06-20 Applied Materials, Inc. Cover wafer for semiconductor processing chamber
CN113782408A (zh) * 2021-09-15 2021-12-10 中山市博顿光电科技有限公司 等离子体发射方向控制装置、等离子体源及其启动方法

Family Cites Families (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4276557A (en) * 1978-12-29 1981-06-30 Bell Telephone Laboratories, Incorporated Integrated semiconductor circuit structure and method for making it
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS61189642A (ja) 1985-02-18 1986-08-23 Mitsubishi Electric Corp プラズマ反応装置
EP0246453A3 (en) 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
KR960016218B1 (ko) * 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 표면처리방법 및 그 장치
RU2094961C1 (ru) 1989-07-20 1997-10-27 Уланов Игорь Максимович Трансформаторный плазмотрон
RU2022917C1 (ru) 1989-09-27 1994-11-15 Уланов Игорь Максимович Способ получения окиси азота
JPH07110991B2 (ja) * 1989-10-02 1995-11-29 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
RU2056702C1 (ru) 1990-07-09 1996-03-20 Уланов Игорь Максимович Трансформаторный плазмотрон
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
JPH0644481A (ja) 1991-02-13 1994-02-18 Teruo Sato 交換機能付集合警報表示装置
US5183990A (en) * 1991-04-12 1993-02-02 The Lincoln Electric Company Method and circuit for protecting plasma nozzle
US5236512A (en) * 1991-08-14 1993-08-17 Thiokol Corporation Method and apparatus for cleaning surfaces with plasma
US5353314A (en) 1991-09-30 1994-10-04 The United States Of America As Represented By The United States Department Of Energy Electric field divertor plasma pump
JPH05144594A (ja) 1991-11-19 1993-06-11 Ebara Corp 放電プラズマ発生装置
JPH05166595A (ja) 1991-12-12 1993-07-02 Fuji Denpa Koki Kk 高気圧高密度プラズマ発生方法
US5302237A (en) * 1992-02-13 1994-04-12 The United States Of America As Represented By The Secretary Of Commerce Localized plasma processing
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5349271A (en) * 1993-03-24 1994-09-20 Diablo Research Corporation Electrodeless discharge lamp with spiral induction coil
JP2950110B2 (ja) 1993-09-24 1999-09-20 住友金属工業株式会社 プラズマエッチング方法
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5679167A (en) * 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
JPH0878192A (ja) 1994-09-06 1996-03-22 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
US5620524A (en) * 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5811021A (en) * 1995-02-28 1998-09-22 Hughes Electronics Corporation Plasma assisted chemical transport method and apparatus
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
JPH0950992A (ja) * 1995-08-04 1997-02-18 Sharp Corp 成膜装置
US5630880A (en) * 1996-03-07 1997-05-20 Eastlund; Bernard J. Method and apparatus for a large volume plasma processor that can utilize any feedstock material
DE69719108D1 (de) * 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
JP3489351B2 (ja) * 1996-09-17 2004-01-19 セイコーエプソン株式会社 表面処理装置およびその表面処理方法
AUPO281896A0 (en) * 1996-10-04 1996-10-31 Unisearch Limited Reactive ion etching of silica structures for integrated optics applications
US6190236B1 (en) * 1996-10-16 2001-02-20 Vlsi Technology, Inc. Method and system for vacuum removal of chemical mechanical polishing by-products
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6924455B1 (en) * 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JPH11135297A (ja) 1997-10-31 1999-05-21 Kumagai Hiromi プラズマ発生器
JPH11150104A (ja) * 1997-11-19 1999-06-02 Niigata Eng Co Ltd 半導体基板の表面平坦化装置
US6429400B1 (en) * 1997-12-03 2002-08-06 Matsushita Electric Works Ltd. Plasma processing apparatus and method
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6905578B1 (en) * 1998-04-27 2005-06-14 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure
JP3349953B2 (ja) * 1998-05-25 2002-11-25 シャープ株式会社 基板処理装置
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
EP0989595A3 (en) * 1998-09-18 2001-09-19 Ims-Ionen Mikrofabrikations Systeme Gmbh Device for processing a surface of a substrate
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6478875B1 (en) * 1999-03-03 2002-11-12 The Research Foundation Of State University Of New York Method and apparatus for determining process-induced stresses and elastic modulus of coatings by in-situ measurement
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6432260B1 (en) * 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
CN1460130A (zh) 1999-11-19 2003-12-03 纳米表面系统公司 无机/有机介电薄膜的沉积系统及方法
US6547458B1 (en) * 1999-11-24 2003-04-15 Axcelis Technologies, Inc. Optimized optical system design for endpoint detection
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP4212210B2 (ja) 1999-12-07 2009-01-21 株式会社小松製作所 表面処理装置
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6337460B2 (en) * 2000-02-08 2002-01-08 Thermal Dynamics Corporation Plasma arc torch and method for cutting a workpiece
JP2001237226A (ja) 2000-02-23 2001-08-31 Kobe Steel Ltd プラズマ処理装置
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP4559595B2 (ja) * 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
TW445540B (en) * 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US20020101167A1 (en) * 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20020153103A1 (en) * 2001-04-20 2002-10-24 Applied Process Technologies, Inc. Plasma treatment apparatus
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4039834B2 (ja) 2001-09-28 2008-01-30 株式会社荏原製作所 エッチング方法及びエッチング装置
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US6660177B2 (en) * 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
US6847430B2 (en) * 2002-02-01 2005-01-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US6761804B2 (en) * 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US7056416B2 (en) * 2002-02-15 2006-06-06 Matsushita Electric Industrial Co., Ltd. Atmospheric pressure plasma processing method and apparatus
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
FR2838020B1 (fr) * 2002-03-28 2004-07-02 Centre Nat Rech Scient Dispositif de confinement de plasma
US20030188685A1 (en) * 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6936546B2 (en) * 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
US7465362B2 (en) * 2002-05-08 2008-12-16 Btu International, Inc. Plasma-assisted nitrogen surface-treatment
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2004014904A (ja) * 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd 同時放電化装置
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6902774B2 (en) * 2002-07-25 2005-06-07 Inficon Gmbh Method of manufacturing a device
US7256132B2 (en) * 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7411352B2 (en) * 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7513262B2 (en) * 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
JP4087234B2 (ja) 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置及びプラズマ処理方法
NL1022155C2 (nl) * 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
JP3827638B2 (ja) * 2002-12-26 2006-09-27 株式会社タムラ製作所 真空処理装置及び真空処理方法
US7163602B2 (en) * 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
JP2004296729A (ja) 2003-03-26 2004-10-21 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US7824520B2 (en) * 2003-03-26 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
US8409400B2 (en) * 2003-05-07 2013-04-02 Gen Co., Ltd. Inductive plasma chamber having multi discharge tube bridge
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7632379B2 (en) 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
JP2005032805A (ja) * 2003-07-08 2005-02-03 Future Vision:Kk マイクロ波プラズマ処理方法、マイクロ波プラズマ処理装置及びそのプラズマヘッド
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US7282244B2 (en) * 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
JP2005108932A (ja) * 2003-09-29 2005-04-21 Hitachi Kokusai Electric Inc 半導体製造装置
US20050103265A1 (en) 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US7464662B2 (en) * 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
JP4342984B2 (ja) 2004-03-10 2009-10-14 Okiセミコンダクタ株式会社 エッチング方法
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
JP2006024442A (ja) * 2004-07-08 2006-01-26 Sharp Corp 大気圧プラズマ処理装置及び処理方法
EP1630849B1 (en) * 2004-08-27 2011-11-02 Fei Company Localized plasma processing
JP2006114884A (ja) * 2004-09-17 2006-04-27 Ebara Corp 基板洗浄処理装置及び基板処理ユニット
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
KR20060077363A (ko) * 2004-12-30 2006-07-05 엘지.필립스 엘시디 주식회사 대기개방형 박막처리장치 및 이를 이용한 평판표시장치용기판의 박막처리방법
JP5034245B2 (ja) * 2005-02-10 2012-09-26 コニカミノルタホールディングス株式会社 プラズマ放電処理装置およびプラズマ放電処理方法
US7262555B2 (en) * 2005-03-17 2007-08-28 Micron Technology, Inc. Method and system for discretely controllable plasma processing
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
ATE543199T1 (de) * 2005-05-23 2012-02-15 New Power Plasma Co Ltd Plasmakammer mit entladung induzierender brücke
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI391518B (zh) 2005-09-09 2013-04-01 愛發科股份有限公司 離子源及電漿處理裝置
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8092638B2 (en) 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7397232B2 (en) * 2005-10-21 2008-07-08 The University Of Akron Coulter counter having a plurality of channels
KR100663668B1 (ko) 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100785164B1 (ko) 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
JP4410771B2 (ja) * 2006-04-28 2010-02-03 パナソニック株式会社 ベベルエッチング装置およびベベルエッチング方法
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
TW200816880A (en) * 2006-05-30 2008-04-01 Matsushita Electric Ind Co Ltd Atmospheric pressure plasma generating method, plasma processing method and component mounting method using same, and device using these methods
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
JP5069427B2 (ja) 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
DE102006048816A1 (de) 2006-10-16 2008-04-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur lokalen Erzeugung von Mikrowellenplasmen
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
KR100842745B1 (ko) * 2006-11-30 2008-07-01 주식회사 하이닉스반도체 스캔 인젝터를 가지는 플라즈마 공정 장비 및 공정 방법
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
CN101583736A (zh) * 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US20080178913A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with a ring of plasma under the wafer
KR100868019B1 (ko) * 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
JP2008205209A (ja) * 2007-02-20 2008-09-04 Matsushita Electric Works Ltd プラズマ処理装置
US20080219811A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR101418438B1 (ko) * 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US20090025879A1 (en) * 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US8343305B2 (en) * 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8771483B2 (en) 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090109595A1 (en) * 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
US20090197015A1 (en) 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
KR101625516B1 (ko) * 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
JP5759177B2 (ja) * 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
US8409459B2 (en) 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US20090229972A1 (en) * 2008-03-13 2009-09-17 Sankaran R Mohan Method and apparatus for producing a feature having a surface roughness in a substrate
US7713757B2 (en) 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
JP5232512B2 (ja) * 2008-03-26 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8236133B2 (en) 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
JP5524453B2 (ja) 2008-05-15 2014-06-18 Sumco Techxiv株式会社 シリコンウェーハのエッチング方法及びエッチング装置
JP2009295800A (ja) * 2008-06-05 2009-12-17 Komatsu Ltd Euv光発生装置における集光ミラーのクリーニング方法および装置
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5144594B2 (ja) 2008-06-30 2013-02-13 ヤフー株式会社 サーバ装置、サーバ装置における予測方法及びプログラム
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
JP4727000B2 (ja) 2008-07-30 2011-07-20 京セラ株式会社 堆積膜形成装置および堆積膜形成方法
US20100024729A1 (en) 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
KR20100031960A (ko) 2008-09-17 2010-03-25 삼성전자주식회사 플라즈마 발생장치
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8099995B2 (en) 2008-12-16 2012-01-24 Agilent Technologies, Inc. Choked flow isolator for noise reduction in analytical systems
US7994724B2 (en) 2009-03-27 2011-08-09 Ecole Polytechnique Inductive plasma applicator
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5642181B2 (ja) 2009-08-21 2014-12-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 基体を処理する装置及び基体の処理方法
JP2013503494A (ja) 2009-08-31 2013-01-31 ラム リサーチ コーポレーション プラズマ閉じ込めを実施するためのマルチペリフェラルリング構成
JP4855506B2 (ja) 2009-09-15 2012-01-18 住友精密工業株式会社 プラズマエッチング装置
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
EP2481832A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
EP2739719A2 (en) * 2011-08-02 2014-06-11 Tokyo Electron Limited System and method for tissue construction using an electric field applicator
JP5166595B2 (ja) 2011-12-16 2013-03-21 株式会社藤商事 遊技機
US9373551B2 (en) * 2013-03-12 2016-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Moveable and adjustable gas injectors for an etching chamber

Also Published As

Publication number Publication date
US9111729B2 (en) 2015-08-18
TW201126601A (en) 2011-08-01
US20140151333A1 (en) 2014-06-05
CN102753723A (zh) 2012-10-24
KR20120104222A (ko) 2012-09-20
US20180144906A1 (en) 2018-05-24
US20110132874A1 (en) 2011-06-09
JP2013514633A (ja) 2013-04-25
CN102753723B (zh) 2015-04-29
US9911578B2 (en) 2018-03-06
SG10201407638RA (en) 2015-01-29
TWI443740B (zh) 2014-07-01
KR101800037B1 (ko) 2017-11-21
US10332727B2 (en) 2019-06-25
WO2011069011A1 (en) 2011-06-09

Similar Documents

Publication Publication Date Title
JP5826761B2 (ja) 小型のプラズマチャンバシステム及び方法
KR101470664B1 (ko) 베벨 에지 에처를 위해 가스를 분배하는 방법 및 시스템
KR101886742B1 (ko) 기판 처리 방법
KR101931134B1 (ko) 2개의 스테이지들에서의 균일한 건식 에칭
US7138067B2 (en) Methods and apparatus for tuning a set of plasma processing steps
US6764386B2 (en) Air bearing-sealed micro-processing chamber
US6767429B2 (en) Vacuum processing apparatus
US20070163617A1 (en) Method for cleaning treatment chamber iIn substrate treating apparatus and method for detecting endpoint of cleaning
US20090214800A1 (en) Apparatus for and method of forming carbon nanotube
CN102484940A (zh) 局部等离子体约束和压强控制装置及其方法
WO2011115761A2 (en) Invertable pattern loading with dry etch
TW201044458A (en) Shuttered gate valve
JP2021532573A (ja) 電子励起原子層エッチング
US6726802B2 (en) Plasma processing apparatus
US20090212014A1 (en) Method and system for performing multiple treatments in a dual-chamber batch processing system
US20070074741A1 (en) Method for dry cleaning nickel deposits from a processing system
WO2008049024A1 (en) Methods and apparatus for tuning a set of plasma processing steps
JP7045635B2 (ja) プラズマ処理装置及び方法
US11908754B2 (en) Method and system for controlling profile of critical dimension
US20240120213A1 (en) Substrate processing apparatus, substrate processing method and method of fabricating semiconductor device
WO2022003803A1 (ja) エッチング処理方法およびエッチング処理装置
JP2022099113A (ja) 処理方法及びプラズマ処理装置
KR20220148249A (ko) EUV 패터닝의 결함 감소를 위한 다층 하드마스크 (multi-layer hardmask)
JP2624975C (ja)

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131128

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140819

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140826

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141121

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150317

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150715

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150723

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150929

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151014

R150 Certificate of patent or registration of utility model

Ref document number: 5826761

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250