KR20120020210A - 반도체 구조물, 다중 라인 형성 방법, 및 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 형성하는 방법 - Google Patents

반도체 구조물, 다중 라인 형성 방법, 및 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 형성하는 방법 Download PDF

Info

Publication number
KR20120020210A
KR20120020210A KR1020127002487A KR20127002487A KR20120020210A KR 20120020210 A KR20120020210 A KR 20120020210A KR 1020127002487 A KR1020127002487 A KR 1020127002487A KR 20127002487 A KR20127002487 A KR 20127002487A KR 20120020210 A KR20120020210 A KR 20120020210A
Authority
KR
South Korea
Prior art keywords
masking
composition
structures
semiconductor structure
silicon
Prior art date
Application number
KR1020127002487A
Other languages
English (en)
Other versions
KR101170289B1 (ko
Inventor
라마칸스 알라파티
아르다반 니루맨드
구르테즈 에스. 산드후
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20120020210A publication Critical patent/KR20120020210A/ko
Application granted granted Critical
Publication of KR101170289B1 publication Critical patent/KR101170289B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/43Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
    • H10B41/48Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor with a tunnel dielectric layer also being used as part of the peripheral transistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

소정 실시예는 희생 재료를 따르는 중합체 스페이서의 형성, 희생 재료의 제거, 그리고 집적회로의 제조 동안에 마스크로서 중합체 스페이서 사용을 포함한다. 중합체 스페이서 마스크는 예를 들면 플래시 메모리 어레이의 플래시 게이트를 패턴화하는데 사용될 수 있다. 소정 실시예에서, 중합체는 큰 희생 구조와 작은 희생 구조를 가로질러 동시에 형성된다. 중합체는 작은 희생 구조보다 큰 희생 구조를 가로질러 더 두껍고, 이러한 두께의 차이를 사용하여 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 제조한다.

Description

반도체 구조물, 다중 라인 형성 방법, 및 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 형성하는 방법{SEMICONDUCTOR CONSTRUCTIONS, METHODS OF FORMING MULTIPLE LINES, AND METHODS OF FORMING HIGH DENSITY STRUCTURES AND LOW DENSITY STRUCTURES WITH A SINGLE PHOTOMASK}
본 발명은 반도체 구조물, 다중 라인 형성방법, 그리고 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 형성하는 방법에 관한 것이다.
집적회로의 제조는 구조를 정의하기 위해 포토리소그래피(photolithography)를 빈번히 사용한다. 특히 방사선은 포토마스크(photomask)를 통과하여 빛과 섀도우 영역을 패턴화되게 한다. 패턴화된 방사선은 감광재료(포토레지스트)에 노출 패턴을 부여하는데 사용된다. 그 후, 포토레지스트에 현상액을 가한다. 현상액은 (포토레지스트가 포지티브 레지스트인지 또는 네거티브 레지스트인지 여부에 따라) 섀도우에 노출된 영역에 비하여 빛에 노출된 레지스트 영역을 선택적으로 제거하거나 또는 그 반대가 되고, 따라서 노출된 패턴을 포토레지스트에 형성된 물리적 패턴으로 변형시킨다.
포토마스크는 대신에 레티클(reticle)로 지칭될 수 있다는 점에 주목한다. 역사상, 용어 "포토마스크"와 "레티클" 간의 소정의 구별이 있었지만(용어 "포토마스크"는 기판 전체를 가로질러 연장되는 패턴을 형성하는 마스크를 지칭, 용어 "레티클"은 기판을 가로질러 단지 부분적으로 연장되는 패턴을 형성하는 마스크를 지칭함), 현대 용어 사용에서는 이 구별이 모호해졌다. 따라서 용어 "포토마스크"와 "레티클"은 기판 전체를 가로질러 또는 기판의 일부만을 가로질러 연장되는 패턴을 형성할 수 있는 마스크를 지칭하기 위해 본 명세서에서 호환성있게 사용된다.
집적회로는 반도체 기판 위에 쌓이는 다중 레벨의 구조를 포함할 수 있다. 또한 집적회로는 각 레벨 내에 형성되는 다양하고 상이한 구조를 포함할 수 있다. 다수의 포토마스크는 레벨내 상이한 구조를 생성하고, 상이한 레벨을 생성하기 위해 사용될 수 있다. 새로운 포토마스크가 제조 시퀀스에 도입될 때마다, 마스크 오정렬의 위험이 있다. 또한 각 포토마스크와 관련된 공정 시간이 제조 공정의 전반적인 처리량을 느리게 한다.
반도체 제조에서 계속 추구하는 목표는 마스크 오정렬을 피하고 처리량을 개선하기 위하여 포토마스크 단계를 줄이려는 것이다.
종래기술의 다른 양상은 집적회로의 다수의 메모리 및 논리부 애플리케이션에 비휘발성 장치(플래시(flash))를 사용할 수도 있다는 것이다. 용어 "플래시"는 역사상 방사선의 플래시로 프로그래밍된 특정한 비휘발성 장치를 지칭한다. 이 용어는 제어 게이트 및 부동 게이트를 이용하는 임의의 비휘발성 구조에 대해 일반화되었고, 그리고 이 명세서를 통해 이러한 일반적 의미로 사용될 것이다.
플래시를 형성하기 위한 향상된 방법, 및 다수의 상이한 구조를 패턴화하기 위해 단지 하나의 포토마스킹 단계를 사용하는 이러한 방법을 개발하는 것이 바람직하다.
소정 실시예는 희생 재료를 따르는 중합체 스페이서의 형성, 희생 재료의 제거, 그리고 집적회로의 제조 동안에 마스크로서 중합체 스페이서 사용을 포함한다. 중합체 스페이서 마스크는 예를 들면 플래시 메모리 어레이의 플래시 게이트를 패턴화하는데 사용될 수 있다. 소정 실시예에서, 중합체는 큰 희생 구조와 작은 희생 구조를 가로질러 동시에 형성된다.
중합체는 작은 희생 구조보다 큰 희생 구조를 가로질러 더 두껍고, 이러한 두께의 차이를 사용하여 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 제조한다.
도 1은 일 실시예의 공정 단계에서 한 쌍의 반도체 웨이퍼 부분의 개략적 단면도.
도 2는 도 1 공정 단계에 후속하는 공정 단계에서 도시된 도 1 부분을 도시하는 도면.
도 3는 도 2 공정 단계에 후속하는 공정 단계에서 도시된 도 1 부분을 도시하는 도면.
도 4는 도 3 공정 단계에 후속하는 공정 단계에서 도시된 도 1 부분을 도시하는 도면.
도 5는 도 4 공정 단계에 후속하는 공정 단계에서 도시된 도 1 부분을 도시하는 도면.
도 6는 도 5 공정 단계에 후속하는 공정 단계에서 도시된 도 1 부분을 도시하는 도면.
도 7는 도 6 공정 단계에 후속하는 공정 단계에서 도시된 도 1 부분을 도시하는 도면.
도 8는 도 7 공정 단계에 후속하는 공정 단계에서 도시된 도 1 부분을 도시하는 도면.
도 9는 도 8 공정 단계에 후속하는 공정 단계에서 도시된 도 1 부분을 도시하는 도면.
도 10은 도 9 공정 단계에 후속하는 공정 단계에서 도시된 도 1 부분을 도시하는 도면.
도 11은 컴퓨터 실시예의 개략적인 도면.
도 12는 도 11 컴퓨터 실시예의 마더보드의 특정한 특징을 도시하는 블록도.
도 13은 전자시스템 실시예의 고레벨 블록도.
도 14는 메모리 장치 실시예의 간단한 블록도.
소정 실시예에서, 희생 재료(sacrificial material)를 따라 중합체 스페이서(polymer spacers)를 형성하고, 그 후 스페이서가 하나 이상의 하부 재료를 패턴화하기 위한 이중 피치 마스크로 남도록 희생 재료를 제거한다. 소정 실시예에서, 희생 재료는 좁은 블록 및 넓은 블록으로서 제공되는데, 중합체는 좁은 블록보다는 넓은 블록 위에서 더 두껍게 형성된다. 중합체의 이러한 두께 차이를 이용하여, 좁은 블록을 따르는 중합체 스페이서로부터의 이중 피치 패턴과, 넓은 블록을 따르는 중합체로부터의 단일 피치 패턴을 동시에 형성한다.
예시적인 실시예를 도 1 내지 도 10을 참조하여 기술한다.
도 1을 참조하면, 반도체 웨이퍼(10) 부분이 도시된다. 이들 부분은 참조번호(12) 및 참조번호(14)로 레벨링된다. 부분(12)은 메모리 어레이 영역에 대응할 수 있고, 특히 고밀도로 패킹된 메모리 구조를 제조할 영역에 대응할 수 있다. 부분(14)은 메모리 어레이 영역 주변의 영역에 대응할 수 있고, 논리회로 및/또는 센서회로를 제조할 영역에 대응할 수 있다. 부분(14) 내에 제조되는 회로는 부분(12) 내에 제조되는 메모리 회로보다 저밀도로 패킹될 수 있다.
웨이퍼(10)는 예를 들면 단결정 실리콘을 포함하거나, 본질적으로 실리콘으로 구성되거나 또는 실리콘으로 구성될 수 있는 반도체 베이스(16)를 포함한다. 후속하는 청구범위의 해석을 돕기 위하여, 용어 "반도체 기판" 및 "반도체 구조물"은 (단독으로 또는 다른 재료를 포함하는 어셈블리들에서의) 반도체 웨이퍼, 그리고 (단독으로 또는 다른 재료를 포함하는 어셈블리들에서의) 반도체 재료층과 같은 벌크 반도체 재료를 포함하지만 이로 제한되지 않는 반도체 재료를 포함하는 임의 구조물을 의미한다. 용어 "기판"은 전술한 반도체 기판을 포함하지만 이로 제한되지 않는 임의의 지지 구조를 지칭한다. 베이스(16)가 균일한 것으로 도시되었지만, 다른 실시예에서 베이스가 다수의 층들을 포함할 수 있다. 예를 들면 베이스(16)는 집적회로 제조와 관련된 하나 이상의 층을 포함하는 반도체 기판에 대응할 수 있다. 이러한 실시예에서, 층은 하나 이상의 금속 상호연결층, 장벽층, 확산층, 절연층 등에 대응할 수 있다.
게이트 유전체(18)가 베이스(16)를 가로질러 연장된다. 게이트 유전체(18)는 단일 균일층으로 도시되지만, 다른 실시예에서는 다수의 층을 포함할 수 있다. 게이트 유전체(18)는 예를 들면 이산화실리콘을 포함하거나, 본질적으로 이산화실리콘으로 구성되거나, 또는 이산화실리콘으로 구성될 수 있다.
전기전도성 부동 게이트 재료(20)가 게이트 유전체(18)를 가로질러 연장된다. 부동 게이트 재료(20)는 단일의 균일층으로 도시되지만, 다른 실시예에서는 다수의 층을 포함할 수 있다. 부동 게이트 재료(20)는 예를 들면 하나 이상의 금속(예를 들면 텅스텐, 티타늄 등), 금속포함 조성물(예를 들면 금속 규화물, 금속 질화물 등), 및 전도성 있게 도핑된 반도체 재료(예를 들면 전도성 있게 도핑된 실리콘)를 포함하거나, 본질적으로 이것으로 구성되거나, 또는 이것으로 구성될 수 있다.
게이트간 유전 재료(22)가 부동 게이트 재료(20)를 가로질러 연장된다. 게이트간 유전 재료(22)는 단일의 균일층으로서 도시되지만, 다른 실시예에서는 다수의 층을 포함할 수 있다. 게이트간 유전 재료(22)는 예를 들면 한 쌍의 이산화실리콘층(소위 ONO 스택)간에 질화실리콘층을 포함할 수 있다.
전기전도성 제어 게이트 재료(24)가 게이트간 유전재료(22)를 가로질러 연장된다. 제어 게이트 재료(24)는 단일 균일층으로서 도시되지만, 다른 실시예에서는 다수의 층을 포함할 수 있다. 제어 게이트 재료(24)는 예를 들면 하나 이상의 금속(예를 들면 텅스텐, 티타늄 등), 금속포함 조성물(예를 들면 금속 규화물, 질화금속 등), 그리고 전도성 있게 도핑된 반도체 재료(예를 들면 전도성 있게 도핑된 실리콘)를 포함하거나, 본질적으로 이것들로 구성되거나, 또는 이것들로 구성될 수 있다.
전기절연 캡 재료(26)가 제어 게이트 재료(24)를 가로질러 연장된다. 절연 캡 재료(26)는 단일 균일층으로서 도시되지만, 다른 실시예에서는 다수의 층을 포함할 수 있다. 절연 캡 재료(26)는 예를 들면 이산화실리콘, 질화실리콘 및 산질화실리콘 중의 하나 이상을 포함하거나, 본질적으로 이것들 중 하나 이상으로 구성되거나, 또는 이것들 중 하나 이상으로 구성될 수 있다.
마스킹층(28)이 절연 캡 재료(26)를 가로질러 연장되고, 도시된 실시예에서는 절연 갭 재료의 상부면과 직접 물리적 접촉을 하고 있다. 마스킹층(28)은 예를 들면 탄소를 포함하거나, 본질적으로 탄소로 구성되거나, 또는 탄소로 구성될 수 있다. 예를 들어, 마스킹층(28)은 투명 탄소 또는 비결정성 탄소로 구성될 수 있다.
(장벽이라고도 지칭될 수 있는) 에칭 정지부(30)가 마스킹층(28)을 가로질러 연장된다. 에칭 정지부(30)는 에칭 정지부(30) 위의 재료를 선택적으로 에칭할 수 있는 조성물을 포함한다. 마스킹층(28) 위의 재료가 마스킹층(28)과 관련하여 선택적으로 에칭할 수 있는 조성물로 되는 바와 같이, 소정 실시예에서 에칭 정지부가 생략될 수 있다. 소정 실시예에서, 에칭 정지부는 실리콘, 이산화실리콘, 또는 질화실리콘을 포함하거나, 본질적으로 이것들로 구성되거나, 또는 이것들로 구성될 수 있다. 에칭 정지부가 이산화실리콘을 포함한다면, TeOS(tetraethyl orthosilicate)로부터의 퇴적에 의해 형성될 수 있다.
마스킹층(32)이 에칭 정지부(30)를 가로질러 연장된다. 마스킹층(32)은 산소함유 재료 및/또는 질소함유 재료를 포함할 수 있다. 예를 들면 마스킹층(32)은 이산화실리콘, 질화실리콘, 또는 산질화실리콘을 포함하거나, 본질적으로 이것들로 구성되거나, 또는 이것들로 구성될 수 있다. 마스킹층(32)은 (후에 논의되는) 중합체를 선택적으로 에칭할 수 있는 조성물을 포함할 수 있다.
마스킹 재료(34)가 마스칭층(32) 위에 있다. 마스킹 재료(34)는 마스킹 엘리먼트(36, 38, 40)로 패턴화된다. 도 1의 단면도에서, 마스킹 엘리먼트(36, 38)는 마스킹 엘리먼트(40)보다 좁다. 마스킹 엘리먼트(36, 38)는 제1 마스킹 엘리먼트의 예로 간주될 수 있는 한편, 마스킹 엘리먼트(40)는 제2 마스킹 엘리먼트의 예이다. 도시된 실시예에서, 부분(12)의 메모리 어레이 영역과 관련된 두 마스킹 엘리먼트와, 부분(14)의 주변 영역과 관련된 한 마스킹 엘리먼트로 된 세 마스킹 엘리먼트가 도시되었다. 다른 실시예에서, 둘 이상의 마스킹 엘리먼트가 부분(14)과 관련될 수 있고, 한 엘리먼트 또는 둘보다 많은 엘리먼트가 부분(12)과 관련될 수 있다. 또한 부분(14)과 관련된 하나 이상의 엘리먼트는 좁을 수 있고, 부분(12)과 관련된 하나 이상의 엘리먼트는 넓을 수 있다. 그러나 부분(12)과 관련된 좁은 엘리먼트를 갖는 도시된 실시예는 상세히 후술하는 바와 같이 메모리 어레이의 고밀도 패킹된 엘리먼트를 형성할 수 있다.
마스킹 재료(34)는 임의의 적당한 재료를 포함할 수 있고, 예를 들면 포토레지스트, 실리콘 또는 탄소를 포함하거나 또는 본질적으로 이것들로 구성되거나, 또는 이것들로 구성될 수 있다. 재료(34)가 포토레지스트를 포함한다면, 포토리소그래픽 처리를 통해 마스킹 엘리먼트(36, 38, 40)로 패턴화될 수 있다. 특히 재료층(34)은 포토마스크로 패턴화된 방사선에 노출된 마스킹 재료(32)를 가로질러 형성될 수 있고, 그 후에 잔여하는 엘리먼트(36 ,38, 40)를 남기도록 현상될 수 있다. 재료(34)가 실리콘 또는 탄소를 포함한다면, 실리콘 또는 탄소 위에 포토리소그래픽으로 패턴화된 포토레지스트를 먼저 형성하고, 그 후에 에칭으로 포토레지스트로부터의 패턴을 실리콘 또는 탄소에 전사하고, 마지막으로 포토레지스트를 제거하여 실리콘 또는 탄소의 엘리먼트(36, 38, 40)를 남김으로써 패턴화될 수 있다. 엘리먼트(36, 38, 40)가 포토리소그래픽으로 패턴화된 포토레지스트에 대응하거나, 또는 포토리소그래픽으로 패턴화된 포토레지스트로부터 패턴을 전사함으로써 패턴화된 재료에 대응하는 지의 여부에 관계없이, 엘리먼트(36, 38, 40)는 단일의 포토마스킹 단계로 패턴화되는 것으로 간주될 수 있다. 대안적으로, 엘리먼트(36, 38)가 하나의 단계로 패턴화될 수 있고, 엘리먼트(40)는 상이한 단계에서 패턴화될 수 있다.
마스킹 재료(34)가 부분(14)의 주변 영역 및 부분(12)의 메모리 어레이 영역 위에 엘리먼트들로 패턴화되는 것으로 도시되었지만, 다른 실시예에서 마스킹 재료는 부분(12)과 부분(14) 중의 단지 하나 위에서의 엘리먼트로 패턴화될 수 있다. 예를 들면 마스킹 재료는 메모리 어레이 영역 위에서만의 엘리먼트로 패턴화될 수 있고, 후술되는 피치 이중화 절차는 메모리 어레이 영역 위에서 고집적 구조를 형성하는데 사용될 수 있다.
웨이퍼(10)의 다양한 재료 및 층이 함께 반도체 구조 또는 어셈블리에 대응하는 것으로 간주될 수 있다. 예를 들면 베이스(16)는 층 및 재료(18, 20, 22, 24, 26, 28, 30, 32)와 함께 반도체 구조물에 대응하는 것으로 간주될 수 있고, 재료(34)는 이러한 반도체 구조물을 가로질러 형성된 패턴화된 마스크인 것으로 간주될 수 있다. 다른 예로서, 베이스(16)와 재료 및 층(18, 20, 22, 24, 26)은 반도체 어셈블리에 대응하는 것으로 간주될 수 있고, 나머지 재료 및 층은 이러한 어셈블리 위에 형성되는 것으로 간주될 수 있다.
도 1의 재료(18, 20, 22, 24, 26)는 (후술하는 바와 같이) 플래시 게이트로 패턴화될 수 있다. 다른 실시예에서, 다른 재료가 재료(18, 20, 22, 24, 26)에 추가적으로 또는 대안적으로 제공될 수 있고, 그리고/또는 하나 이상의 재료(18, 20, 22, 24, 26)가 생략될 수 있다. 또한 재료(18, 20, 22, 24, 26)가 웨이퍼(10)의 두 부분(12, 14) 모두를 가로질러 연장되는 것으로 도시되었지만, 다른 실시예에서는 상이한 재료들이 부분(14)을 가로질러 연장되고, 그 후 부분(12)을 가로질러 연장될 수 있다.
마스킹 재료(28, 32, 34)는 서로를 구별하기 위해 제1, 제2, 제3 마스킹 재료로서 지칭될 수 있다.
엘리먼트(36, 38, 40)는 각각 상부면(33, 37, 41)을 포함하고, 각각 측벽면(35, 39, 43)을 포함한다. 엘리먼트(36, 38)는 도시된 단면에서 예를 들어 약 50nm 폭을 가질 수 있고, 예를 들어 약 150nm 거리에 의해 서로 이격될 수 있다.
도 2를 참조하면, 중합 재료(42)는 마스킹 재료(32) 위에, 그리고 엘리먼트(36, 38, 40)를 가로질러 형성된다. 중합 재료는 엘리먼트(36, 38, 40)의 측벽면(35, 39, 43)을 가로질러 연장되고, 또한 엘리먼트의 상부면(33, 37, 41) 위에 연장된다. 중합 재료는 좁은 엘리먼트(36, 38) 위보다 넓은 엘리먼트(40) 위에 더 두껍게 형성된다. 소정 실시예에서, 이러한 두께 차이는 퇴적에 추가되는 처리 단계들로 인한 결과라기보다는 중합 재료를 형성하는데 사용되는 퇴적 조건으로 인한 것이다. (후술되는) 소정 실시예에서, 엘리먼트(36, 38)에 관한 엘리먼트(40) 위의 중합체의 두께 차이를 이용하여 엘리먼트(36, 38)로부터 이중 피치 마스크를 형성하는 한편 엘리먼트(40)로부터 단일 피치 마스크를 형성한다. 다른 실시예에서, 단일 피치 마스크는 이중 피치 마스크와는 상이한 마스킹에 의해 형성될 수 있고, 엘리먼트 위의 중합 재료의 두께는 중요하지 않을 수 있다.
중합 재료(42)의 퇴적은 처리 챔버내의 퇴적 및 에칭 시퀀스를 이용할 수 있다. 시퀀스의 퇴적 부분은 C2H4, CH4 및 CH3R(여기서 R은 임의의 탄소함유 재료) 등으로부터 하나 이상을 사용할 수 있다. 퇴적은 저전력(약 400 와트 내지 약 800 와트의 전력) 및 고압력(적어도 약 200 밀리토르의 압력)으로 행해질 수 있다. 챔버내 인가되는 RF(radio frequency)는 2 메가헤르츠보다 클 수 있고, 소정 실시예에서는 60 메가헤르츠보다 클 수 있다. 시퀀스의 에칭 부분은 CH3F 및 CF4 중 하나 또는 이들 모두에 의해 행해질 수 있다. 에칭은 저압력(100 밀리토르 이하의 압력) 및 고전력(약 1200 와트 내지 약 1600 와트의 압력)에서 행해질 수 있다. 에칭 동안에 챔버 내에 인가되는 RF는 약 2 메가헤르츠 내지 약 30 메가헤르츠일 수 있다. 시퀀스의 퇴적 및 에칭은 중합체(42)가 바람직한 두께로 형성될 때까지 순환될 수 있다. 퇴적 및 에칭은 탄소 및 수소를 포함하거나, 본질적으로 이것들로 구성되거나, 또는 이것들로 구성되도록, 또는 탄소, 수소 및 불소를 포함하거나, 본질적으로 이것들로 구성되거나, 또는 이것들로 구성되도록 중합 재료(42)를 형성할 수 있다.
층(42)은 엘리먼트(36, 38)를 따라, 그 위에 약 50 nm 두께로 형성될 수 있다.
도 3을 참조하면, 중합 재료(42)는 마스킹 엘리먼트(36)의 측벽(35)을 따라 측벽 스페이서(44)를 형성하기 위해, 마스킹 엘리먼트(38)의 측벽(39)을 따라 측벽 스페이서(46)를 형성하기 위해, 그리고 마스킹 엘리먼트(34)의 측벽(43) 및 상부(41)를 따라 쉘(48)을 남기기 위해 이방성 에칭된다. 또한 에칭은 재료(32)에 연장되는 갭(45)을 형성한다. 소정 실시예에서, 재료(32)는 반도체 기판의 부분으로 간주될 수 있고, 따라서 갭은 반도체 기판으로 연장되는 것으로 간주될 수 있다.
측벽(43)을 따르는 쉘(48)의 부분은 측벽 스페이서로 간주될 수 있는 반면에, 표면(41) 위의 쉘의 부분은 보호캡으로 간주될 수 있다. 도 2를 참조하여 전술한 바와 같이, 중합 재료(42)는 처음에 좁은 엘리먼트(36, 38)보다는 넓은 엘리먼트(40) 위에 보다 두껍게 형성되었다. 좁은 마스킹 엘리먼트(36, 38)의 표면(33, 37)을 노출시키는 한편 마스킹 엘리먼트(34) 위에 보호캡을 남기는 지속기간 동안에 이방성 에칭이 행해다. 이방성 에칭은 중합 재료(42)를 형성하기 위해 사용되는 시퀀스로부터 에칭 조건을 사용하여 행해질 수 있다. 따라서 이방성 에칭은 CH3F 및 CF4중의 하나 또는 그들 모두를 사용할 수 있고, 100 밀리토르 이하의 압력에서, 약 1200 와트 내지 1600 와트의 전력에서 행해질 수 있는 한편, 약 2 메가헤르츠 내지 약 30 메가헤르트의 RF가 적용된다. 이방성 에칭은 건식 에칭일 수 있다.
중합 재료(42)와 함께 엘리먼트(36, 38, 40)는 세 개의 마스킹 구조(50, 52, 54)에 대응하는 것으로 간주될 수 있다. 이러한 마스킹 구조는 재료(34)에 대응하는 코어 재료, 그리고 재료(42)에 대응하는 주변 재료를 포함하는 것으로 간주될 수 있다. 따라서 마스킹 구조(50)는 스페이서(44)에 대응하는 코어 재료(34) 및 주변 재료를 포함하고, 마스킹 구조(52)는 스페이서(46)에 대응하는 코어 재료(34) 및 주변 재료를 포함한다. 유사하게, 마스킹 구조(54)는 코어 재료의 상부 및 측벽을 따라 연장되는 쉘(shell)(48)에 대응하는 코어 재료(34) 및 주변 재료를 포함한다.
마스킹 구조(50, 52)는 좁은 마스킹 구조로 간주될 수 있고, 한편 마스킹 구조(54)는 넓은 마스킹 구조로 간주될 수 있다. 넓은 마스킹 구조는 좁은 마스킹 구조보다 적어도 두 배 넓을 수 있다. 좁은 마스킹 구조는 모든 좁은 마스킹 구조에 대응하는 제1 그룹 부분으로 간주될 수 있고, 반면에 넓은 마스킹 구조는 모든 넓은 마스킹 구조에 대응하는 제2 그룹 부분으로 간주될 수 있다. 따라서 좁은 마스킹 구조는 제1 마스킹 구조에 대응하는 그룹을 나타내는 것으로 간주될 수 있는 반면, 넓은 마스킹 구조는 제2 마스킹 구조에 대응하는 그룹을 나타낸다. 제1 마스킹 구조의 주변 재료 및 코어 재료는 제1 주변 재료 및 코어 재료로 지칭될 수 있고, 반면에 제2 마스킹 구조의 주변 재료 및 코어 재료는 제2 주변 재료 및 코어 재료로서 지칭될 수 있다. 제1 주변 재료는 (대표적 제1 마스킹 구조(50, 52)로 도시된 바와 같이) 제1 코어 재료의 상부를 가로질러 연장되지 않지만, 제2 주변 재료는 (대표적 제2 마스킹 구조(54)에 도시된 바와 같이) 제2 코어 재료의 상부를 가로질러 연장된다.
도 4를 참조하면, 구조(50, 52)(도 3)의 노출된 재료(34)가 제거되어 스페이서(44, 46)가 이격된 좁은 마스크(60)로서 남겨진다. 구조(54)의 재료(34) 및 쉘(48)은 넓은 마스크(62)로서 남겨진다. 좁은 마스크 및 넓은 마스크는 마스크 하부의 재료에 있는 라인을 패턴화하는데 사용될 수 있고, 따라서 좁은 라인 패턴과 넓은 라인 패턴으로 각각 지칭될 수 있다. 좁은 마스크(60)는 마스크를 생성하는데 사용되는 마스킹 엘리먼트(36, 38)(도 1)의 피치에 관련된 이중 피치 패턴에 대응하고, 넓은 마스크(60)는 마스크를 생성하는데 사용되는 마스킹 엘리먼트(40)(도 1)의 피치에 관련된 단일 피치 패턴에 대응한다. 따라서 좁은 마스크(60)는 고밀도 구조 패턴에 대응하는 것으로 간주될 수 있고, 반면에 넓은 마스크(62)는 저밀도 구조에 대응하는 것으로 간주될 수 있는데, 용어 "저밀도" 및 "고밀도"는 마스크(60)를 가진 구조 패턴이 마스크(62)를 가진 구조 패턴보다 더 높은 밀도로 형성된다는 것을 나타내기 위해 서로 관련하여 사용된다. 소정 실시예에서, 마스크(60)는 제1 구조 패턴에 대응하는 것으로 간주될 수 있는 한편, 마스크(62)는 제2 구조 패턴에 대응한다.
재료(34)는 중합 재료(42)에 관련하여 재료(34)에 대해 선택적인 조건으로, 또한 재료(32)에 관련하여 재료(34)에 대해 선택적일 수 있는 조건으로 스페이서(44)와 스페이서(46) 사이에서 제거된다. 용어 "선택적"이란 것은, 조건이 재료(42)보다 신속한 속도로 재료(34)를 제거한다는 것을 의미하고, 재료(42)에 관련하여 재료(34)에 대해 100 퍼센트 선택적인 조건을 포함할 수 있지만 이로 제한되지는 한다. 재료(34)가 포토레지스트를 포함하는 실시예에서, 재료(34)는 현상액 및/또는 에칭으로 제거될 수 있다. 소정 실시예에서, 포토레지스트의 제거는 중합 재료(42)에 관련하여 선택적으로 레지스트를 제거하기 위해 먼저 방사선에의 블랭킷 노출(blanket exposure)에 이은 현상기에의 노출, O2 기반 화학제를 이용한 선택적 건식 에칭, 및 용매 기반 습식 에칭에 의해 성취될 수 있다.
구조(50, 52)(도 3)로부터 재료(34)를 제거하는데 사용되는 조건은 이러한 조건으로부터 구조(34)를 가로질러 연장되고 재료를 보호하는 보호캡으로 인하여 구조(54)로부터 재료를 제거하지 않는다.
도 4의 구조는 재료 및 층(18, 20, 22, 24, 26, 28, 30, 32)과 함께 베이스(16)에 대응하는 반도체 기판을 포함하고, 기판 위의 마스킹 구조(60, 62)를 포함하는 것으로 간주될 수 있다. 마스킹 구조(60)는 중합체(42)에 대응하는 제1 조성물로 구성되거나 또는 본질적으로 제1 조성물로 구성되는 제1 마스킹 구조로 간주될 수 있다. 마스킹 구조(62)는 재료(34) 조성물에 대응한 제2 조성물 코어를 포함하고, 또한 제2 조성물 코어 둘레의 제1 조성물 쉘을 포함하는 제2 마스킹 구조로 간주될 수 있다. 제2 마스킹 구조는 도시된 단면도에서는 제1 마스킹 구조의 폭보다 적어도 두 배인 폭을 가지고, 제1 마스킹 구조보다 적어도 세 배의 폭, 제1 마스킹 구조보다 적어도 네 배의 폭 등을 가질 수 있다. 제1 마스킹 구조의 폭에 대한 제2 마스킹 구조의 폭의 비가 커질수록, 제2 마스킹 구조로 패턴화된 장치와 관련된 제1 마스킹 구조로 패턴화된 장치에서 성취될 수 있는 집적회로 밀도의 차이가 커진다. 제1 마스크 구조는 제2 마스킹 구조의 피치에 비하여 두 배의 피치를 가지는 것으로 간주될 수 있다.
도 5를 참조하면, 좁은 라인 패턴 및 넓은 라인 패턴(60, 62)은 에칭을 이용하여 마스킹 재료(32)에 전사된다. 이러한 에칭은 에칭 정지부(30)와 관련하여 재료(32)에 대해 선택적일 수 있다. 소정 실시예에서, 재료(32)는 질화실리콘 또는 산질화실리콘을 포함하거나, 본질적으로 이것들로 구성되거나, 또는 이것들로 구성될 수 있고, 에칭 정지부는 본질적으로 실리콘 또는 이산화실리콘으로 구성되거나 또는 이것들로 구성될 수 있다. 다른 실시예에서, 재료(32)는 이산화실리콘을 포함하거나, 본질적으로 이산화실리콘으로 구성되거나, 또는 이산화실리콘으로 구성될 수 있고, 에칭 정지부는 본질적으로 실리콘으로 구성되거나 또는 실리콘으로 구성될 수 있다. 재료(32)로의 에칭은 재료(42)에 관련하여 재료(32)에 대해 선택적일 것이지만, 충분한 양의 재료(42)를 제거하기 위해 충분히 낮은 선택성을 가질 수 있다. 재료(32)가 SiO2, 산질화실리콘 또는 질화실리콘을 포함한다면, 에칭은 CH3F, CF4 및/또는 CH2F2를 사용할 수 있고, 그리고 재료(32)가 실리콘으로 구성된다면, 에칭은 HBr/Cl2를 사용할 수 있다.
마스크가 제자리에 있는 동안에 하부의 재료를 에칭하는데 실질적으로 이방성 에칭을 사용할 때에, 패턴이 마스크로부터 하부재료로 전사되는 것으로 간주된다. 에칭이 완전히 이방성이라면, 하부 재료는 (에칭 절차의 허용 오차 내에서) 특징부 위의 마스킹 구조의 폭과 동일한 폭을 가진 특징부로 패턴화될 것이다. 에칭이 눈에 띄게 이방성이라면, 하부 재료는 특징부 위의 마스킹 구조의 폭과 근사한 폭을 가진 특징부로 패턴화될 것이다.
도 6을 참조하면, 재료(32)만을 포함하는 좁은 라인 패턴 및 넓은 라인 패턴(60, 62)을 남기도록 재료(34, 42)(도 5)가 제거된다. 재료(34)는 포토레지스트 또는 탄소이고, 재료(42)가 유기 중합체라면, 재료(34, 42)의 제거는 애싱(ashing)으로 흔히 지칭되는 처리를 사용하여 O2에 노출시킴으로써 성취될 수 있다. 소정 실시예에서, 재료(34, 42)중 하나 또는 모두는 제거되지 않고, 후속 처리 동안에 좁고 넓은 마스크(60, 62)의 부분으로 남는다. 예를 들면 재료(34)가 실리콘을 포함한다면, 넓은 라인 패턴 부분으로 남을 수 있다.
도 7을 참조하면, 좁은 라인 패턴 및 넓은 라인 패턴(60, 62)이 에칭을 이용하여 장벽 재료(30)에 전사된다. 장벽 재료(30)가 실리콘으로 구성된다면, 에칭은 HBr/Cl2를 사용할 수 있고, 장벽 재료가 질화실리콘으로 구성된다면, 에칭은 CH3F/CF4를 사용할 수 있다.
도 8을 참조하면, 좁은 라인 패턴 및 넓은 라인 패턴(60, 62)이 에칭을 이용하여 재료(28)에 전사된다. 재료(28)가 투명 탄소를 포함한다면, 에칭은 SO2/O2, HBr/O2 및 Cl2/O2 중의 하나 이상을 사용할 수 있다.
도 9를 참조하면, 좁은 라인 패턴 및 넓은 라인 패턴(60, 62)이 하나 이상의 에칭을 이용하여 재료(18, 20, 22, 24, 26)에 전사된다. 재료(26)를 통한 에칭은 재료(28) 위로부터 재료(30, 32, 도 8)를 또한 제거하는 조건을 사용할 수 있다. 재료(18, 20, 22, 24, 26)는 웨이퍼(10) 부분(12) 내에 다수의 좁은 고밀도 패킹된 플래시 게이트 구조(70)를 형성하고, 웨이퍼(10) 부분(14) 내에 넓은 플래시 게이트 구조(72)를 형성한다. 플래시 게이트 구조(70)는 재료(28)의 좁은 라인 패턴(60)의 폭과 실질적으로 동일한 폭을 가지고, 반면에 플래시 게이트 구조(72)는 재료(28)의 넓은 라인 패턴(62)의 폭과 실질적으로 동일한 폭을 가진다. 플래시 게이트 구조의 폭은 상부 마스킹 라인 패턴의 폭의 5 퍼센트 이내일 수 있고, 소정 실시예에서는 상부 마스킹 라인 패턴의 폭과 동일할 수 있다.
도 10을 참조하면, 재료(28)(도 9)가 플래시 게이트 구조(70, 72)를 남기도록 제거된다. 재료(28)는 O2에 노출시킴으로써 제거될 수 있다. 소스/드레인 영역(80, 82, 84, 86, 88, 90, 92)은 플래시 게이트 구조에 인접하게 형성된 것으로 도시된다. 소스/드레인 영역은 반도체 베이스(16)에 적절한 전도성 향상된(conductivity-enhancing) 도펀트를 주입함으로써 형성될 수 있다.
도 10의 플래시 구조는 전자시스템에 사용될 수 있고, 예를 들면 NAND 또는 NOR 셀에 사용될 수 있다.
도 11은 컴퓨터 시스템(400)의 실시예를 도시한다. 컴퓨터 시스템(400)은 모니터(401) 또는 다른 통신 출력장치, 키보드(402) 또는 다른 통신 입력장치, 및 마더보드(404)를 포함한다. 마더보드(404)는 마이크로프로세서(406) 또는 다른 데이터 처리유닛, 및 적어도 하나의 메모리 장치(408)를 지닐 수 있다. 메모리 장치(408)는 메모리 셀 어레이를 포함할 수 있고, 이러한 어레이는 어레이에서 개별 메모리 셀을 액세스하기 위한 어드레싱 회로와 연결될 수 있다. 또한 메모리 셀 어레이는 메모리 셀로부터 데이터를 판독하기 위한 판독 회로에 연결될 수 있다, 어드레싱 및 판독 회로는 메모리 장치(408)와 프로세서(406) 간에 정보를 운송하기 위해 사용될 수 있다. 이것은 도 12에 도시된 마더보드(404)의 블록도에 도시된다. 이러한 블록도에서, 어드레싱 회로는 참조번호(410)로 도시되고, 판독 회로는 참조번호(412)로 도시된다.
프로세서 장치(406)는 프로세서 모듈에 대응할 수 있고, 모듈과 함께 사용되는 관련 메모리는 플래시 구조를 포함할 수 있다.
메모리 장치(408)는 메모리 모듈에 대응할 수 있고, 플래시 메모리를 포함할 수 있다.
도 13은 전자 시스템(700)의 하이레벨 구성의 간단한 블록도를 도시한다. 시스템(700)은 예를 들면 컴퓨터 시스템, 프로세스 제어시스템, 또는 프로세서 및 관련 메모리를 사용하는 임의의 다른 시스템에 대응할 수 있다. 전자 시스템(700)은 프로세서(702), 제어유닛(704), 메모리 장치유닛(706) 및 입력/출력(I/O)장치(708)를 포함하는 기능 소자를 가진다(시스템이 다양한 실시예에서 복수의 프로세서, 제어유닛, 메모리 장치 유닛 및/또는 I/O 장치를 가질 수 있다는 것이 이해될 것이다). 통상, 전자 시스템(700)은 프로세서(702)에 의해 데이터 상에 수행할 연산, 그리고 프로세서(702), 메모리 장치유닛(706) 및 I/O 장치(708) 간의 다른 상호작용을 명시하는 내재된 인스트럭션 집합을 가질 것이다. 제어유닛(704)은 메모리 장치(706)로부터 인스럭션이 인출 및 실행되게 하는 연산 집합을 통해 계속 순환함으로써 프로세서(702), 메모리 장치(706) 및 I/O 장치(708)의 모든 연산을 조정한다. 메모리 장치(706)는 플래시 카드와 같은 플래시 메모리를 포함할 수 있다.
도 14는 전자 시스템(800)의 간단한 블록도이다. 시스템(800)은 메모리 셀 어레이(804), 어드레스 디코더(806), 로우 액세스 회로(808), 컬럼 액세스 회로(810), 연산을 제어하기 위한 판독/기록 제어회로(812), 그리고 입력/출력회로(814)를 가진 메모리 장치(802)를 포함한다. 메모리 장치(802)는 전력회로(816), 그리고 메모리 셀이 저임계 도전 상태인지 또는 고임계 비도전 상태 인지를 결정하기 위한 전류 센서와 같은 센서(820)를 더 포함한다. 도시된 전력회로(816)는 전력공급회로(880), 기준 전압 공급 회로(882), 제1 워드선에 펄스를 공급하기 위한 회로(884), 제2 워드선에 펄스를 공급하기 위한 회로(886), 및 비트선에 펄스를 공급하기 위한 회로(888)를 포함한다. 또한 시스템(800)은 프로세서(822), 또는 메모리 액세스를 위한 메모리 제어기를 포함한다.
메모리 장치(802)는 기록 또는 금속화 라인을 통해 프로세서(822)로부터 제어 신호를 수신한다. 메모리 장치(802)는 I/O 라인을 통해 액세스되는 데이터를 저장하는데 사용된다. 적어도 하나의 프로세서(822) 또는 메모리 장치(802)가 플래시 메모리를 포함할 수 있다.
다양한 전자 시스템이 프로세서와 메모리 장치(들) 간의 통신 시간을 감소시키기 위하여 단일 패키지 처리유닛 또는 심지어 단일 반도체칩 상에 제조될 수 있다.
전자 시스템은 메모리 모듈, 장치 구동기, 전력 모듈, 통신 모뎀, 프로세서 모듈, 그리고 애플리케이션-특정 모듈에 사용될 수 있고, 멀티층, 멀티칩 모듈을 포함할 수 있다.
전자 시스템은 시계, 텔레비젼, 셀폰, 퍼스널 컴퓨터, 자동차, 산업 제어 시스템, 항공기 등과 같은 넓은 범위의 임의의 시스템일 수 있다.

Claims (11)

  1. 반도체 구조물로서,
    반도체 기판; 및
    상기 기판 위의 복수의 마스킹 구조들
    을 포함하고,
    상기 마스킹 구조들은 상기 기판 위에 형성된 갭들에 의해 서로 이격되고, 상기 마스킹 구조들 중 적어도 두 개의 마스킹 구조는 제1 조성물의 제1 마스킹 구조들이고, 상기 마스킹 구조들 중 적어도 하나의 마스킹 구조는 제2 조성물의 제2 조성물 코어 및 상기 제2 조성물 코어 주위의 제1 조성물 쉘(shell)을 포함한 제2 마스킹 구조이고, 상기 제2 조성물은 상기 제1 조성물과 상이하고, 적어도 하나의 단면에서, 상기 제1 마스킹 구조들은 제1 폭이고, 상기 제2 마스킹 구조는 상기 제1 폭보다 적어도 두 배 큰 제2 폭인, 반도체 구조물.
  2. 제1항에 있어서, 상기 제1 조성물은 중합체(polymer)를 포함하고 상기 제2 조성물은 포토레지스트를 포함하는, 반도체 구조물.
  3. 제1항에 있어서, 상기 제1 조성물은 중합체를 포함하고 상기 제2 조성물은 실리콘으로 구성되는, 반도체 구조물.
  4. 제1항에 있어서, 상기 제1 조성물은 중합체를 포함하고 상기 제2 조성물은 탄소(carbon)로 구성되는, 반도체 구조물.
  5. 제1항에 있어서, 상기 기판은 단결정 베이스를 포함하고, 상기 기판은 상기 베이스부터 상승하는 순서로 게이트 유전체, 부동 게이트 물질, 게이트간 유전체, 제어 게이트 물질, 탄소함유 물질 및 질소함유 물질을 더 포함하는, 반도체 구조물.
  6. 제5항에 있어서, 상기 반도체 구조물은
    상기 탄소함유 물질과 상기 질소함유 물질 사이의 장벽
    을 더 포함하고, 상기 장벽은 본질적으로 실리콘 또는 이산화실리콘으로 구성되는, 반도체 구조물.
  7. 제5항에 있어서, 상기 탄소함유 물질은 투명 탄소로 구성되는, 반도체 구조물.
  8. 제5항에 있어서, 상기 질소함유 물질은 산질화실리콘(silicon oxynitride)을 포함하는, 반도체 구조물.
  9. 제5항에 있어서, 상기 질소함유 물질은 질화실리콘을 포함하는, 반도체 구조물.
  10. 제1항에 있어서, 상기 기판은 단결정 베이스를 포함하고, 상기 기판은 상기 베이스부터 상승하는 순서로 게이트 유전체, 부동 게이트 물질, 게이트간 유전체, 제어 게이트 물질, 탄소함유 물질 및 이산화실리콘을 더 포함하는, 반도체 구조물.
  11. 제10항에 있어서, 상기 반도체 구조물은
    상기 탄소함유 물질과 상기 이산화 실리콘 사이의 장벽
    을 더 포함하고, 상기 장벽은 본질적으로 실리콘으로 구성되는, 반도체 구조물.
KR1020127002487A 2007-03-05 2008-02-15 반도체 구조물, 다중 라인 형성 방법, 및 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 형성하는 방법 KR101170289B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/714,378 US7790360B2 (en) 2007-03-05 2007-03-05 Methods of forming multiple lines
US11/714,378 2007-03-05
PCT/US2008/002012 WO2008108921A1 (en) 2007-03-05 2008-02-15 Semiconductor constructions, methods of forming multiple lines, and methods of forming high density structures and low density structures with a single photomask

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020097020715A Division KR101149632B1 (ko) 2007-03-05 2008-02-15 반도체 구조물, 다중 라인 형성 방법, 및 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 형성하는 방법

Publications (2)

Publication Number Publication Date
KR20120020210A true KR20120020210A (ko) 2012-03-07
KR101170289B1 KR101170289B1 (ko) 2012-07-31

Family

ID=39511044

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020097020715A KR101149632B1 (ko) 2007-03-05 2008-02-15 반도체 구조물, 다중 라인 형성 방법, 및 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 형성하는 방법
KR1020127002487A KR101170289B1 (ko) 2007-03-05 2008-02-15 반도체 구조물, 다중 라인 형성 방법, 및 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 형성하는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020097020715A KR101149632B1 (ko) 2007-03-05 2008-02-15 반도체 구조물, 다중 라인 형성 방법, 및 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 형성하는 방법

Country Status (8)

Country Link
US (3) US7790360B2 (ko)
EP (1) EP2118928B1 (ko)
JP (1) JP5093623B2 (ko)
KR (2) KR101149632B1 (ko)
CN (1) CN101647112B (ko)
AT (1) ATE528795T1 (ko)
TW (1) TWI386975B (ko)
WO (1) WO2008108921A1 (ko)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7790360B2 (en) 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
KR100966976B1 (ko) * 2007-12-28 2010-06-30 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US8304174B2 (en) 2007-12-28 2012-11-06 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) * 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009295785A (ja) * 2008-06-05 2009-12-17 Toshiba Corp 半導体装置の製造方法
KR101468028B1 (ko) * 2008-06-17 2014-12-02 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR101435520B1 (ko) 2008-08-11 2014-09-01 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
JP5511168B2 (ja) * 2008-09-19 2014-06-04 スパンション エルエルシー 半導体装置の製造方法
US8039399B2 (en) * 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
KR101540083B1 (ko) 2008-10-22 2015-07-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
KR101532012B1 (ko) * 2008-12-24 2015-06-30 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8293656B2 (en) * 2009-05-22 2012-10-23 Applied Materials, Inc. Selective self-aligned double patterning of regions in an integrated circuit device
KR20110001292A (ko) 2009-06-30 2011-01-06 삼성전자주식회사 패턴 구조물 및 이의 형성 방법
US7972926B2 (en) * 2009-07-02 2011-07-05 Micron Technology, Inc. Methods of forming memory cells; and methods of forming vertical structures
JP2011066164A (ja) * 2009-09-16 2011-03-31 Tokyo Electron Ltd マスクパターンの形成方法及び半導体装置の製造方法
US8815747B2 (en) 2010-06-03 2014-08-26 Micron Technology, Inc. Methods of forming patterns on substrates
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
KR101083918B1 (ko) * 2010-12-15 2011-11-15 주식회사 하이닉스반도체 반도체 메모리 소자의 제조 방법
JP5289479B2 (ja) 2011-02-14 2013-09-11 株式会社東芝 半導体装置の製造方法
US8389383B1 (en) 2011-04-05 2013-03-05 Micron Technology, Inc. Patterned semiconductor bases, and patterning methods
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
KR20120131879A (ko) 2011-05-26 2012-12-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8697557B2 (en) * 2011-06-07 2014-04-15 Globalfoundries Inc. Method of removing gate cap materials while protecting active area
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
JP5962022B2 (ja) * 2012-01-18 2016-08-03 住友電気工業株式会社 半導体光素子の製造方法
US20130188296A1 (en) * 2012-01-19 2013-07-25 Ford Global Technologies, Llc Material And Coating For Interconnector Busbars
US8551690B2 (en) 2012-01-20 2013-10-08 Micron Technology, Inc. Methods of forming patterns
US8697537B2 (en) * 2012-02-01 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning for a semiconductor device
US8741781B2 (en) 2012-06-21 2014-06-03 Micron Technology, Inc. Methods of forming semiconductor constructions
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9349595B2 (en) * 2012-07-11 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices
US9178077B2 (en) * 2012-11-13 2015-11-03 Micron Technology, Inc. Semiconductor constructions
KR20140064458A (ko) 2012-11-20 2014-05-28 삼성전자주식회사 반도체 장치의 제조 방법 및 이에 의해 제조된 반도체 장치
US9378979B2 (en) 2012-11-20 2016-06-28 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices and devices fabricated thereby
US8889559B2 (en) 2012-12-12 2014-11-18 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8889558B2 (en) 2012-12-12 2014-11-18 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8999852B2 (en) 2012-12-12 2015-04-07 Micron Technology, Inc. Substrate mask patterns, methods of forming a structure on a substrate, methods of forming a square lattice pattern from an oblique lattice pattern, and methods of forming a pattern on a substrate
US9105737B2 (en) 2013-01-07 2015-08-11 Micron Technology, Inc. Semiconductor constructions
US8853769B2 (en) 2013-01-10 2014-10-07 Micron Technology, Inc. Transistors and semiconductor constructions
US8937018B2 (en) 2013-03-06 2015-01-20 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9159845B2 (en) 2013-05-15 2015-10-13 Micron Technology, Inc. Charge-retaining transistor, array of memory cells, and methods of forming a charge-retaining transistor
JP6026375B2 (ja) * 2013-09-02 2016-11-16 株式会社東芝 半導体装置の製造方法
US9330914B2 (en) 2013-10-08 2016-05-03 Micron Technology, Inc. Methods of forming line patterns in substrates
CN104952782B (zh) * 2014-03-25 2018-12-21 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9502285B1 (en) * 2015-06-08 2016-11-22 United Microelectronics Corp. Method of forming trenches
US10217633B2 (en) * 2017-03-13 2019-02-26 Globalfoundries Inc. Substantially defect-free polysilicon gate arrays
US10755969B2 (en) 2018-01-01 2020-08-25 International Business Machines Corporation Multi-patterning techniques for fabricating an array of metal lines with different widths
US10276434B1 (en) 2018-01-02 2019-04-30 International Business Machines Corporation Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration
CN110323129A (zh) * 2018-03-29 2019-10-11 联华电子股份有限公司 半导体掩模层的制作方法
US10964592B2 (en) * 2019-03-12 2021-03-30 Micron Technology, Inc. Methods of forming conductive vias and methods of forming memory circuitry
JP2021048329A (ja) * 2019-09-19 2021-03-25 キオクシア株式会社 パターン形成方法及びテンプレートの製造方法
CN110854019A (zh) * 2019-11-26 2020-02-28 上海华力集成电路制造有限公司 半导体制造方法
CN111293039A (zh) * 2020-04-01 2020-06-16 上海华虹宏力半导体制造有限公司 自对准双重图形化半导体器件的形成方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3240684A (en) * 1962-02-21 1966-03-15 Burroughs Corp Method of etching rhodium plated metal layers and of making rhodium plated printed circuit boards
US5665644A (en) * 1995-11-03 1997-09-09 Micron Technology, Inc. Semiconductor processing method of forming electrically conductive interconnect lines and integrated circuitry
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
JP3895069B2 (ja) * 1999-02-22 2007-03-22 株式会社東芝 半導体装置とその製造方法
US6677640B1 (en) * 2000-03-01 2004-01-13 Micron Technology, Inc. Memory cell with tight coupling
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
KR100354440B1 (ko) * 2000-12-04 2002-09-28 삼성전자 주식회사 반도체 장치의 패턴 형성 방법
JP2003124339A (ja) * 2001-10-11 2003-04-25 Toshiba Corp 半導体装置およびその製造方法
JP4016009B2 (ja) * 2004-03-24 2007-12-05 株式会社東芝 パターン形成方法及び半導体装置の製造方法
TWI246166B (en) * 2004-07-08 2005-12-21 Powerchip Semiconductor Corp Flash memory cell and fabricating method thereof
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
JP4921723B2 (ja) * 2005-04-18 2012-04-25 株式会社東芝 半導体装置の製造方法
KR100640640B1 (ko) * 2005-04-19 2006-10-31 삼성전자주식회사 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
KR100674970B1 (ko) * 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
JP2006351861A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
KR100810417B1 (ko) * 2005-11-28 2008-03-04 주식회사 하이닉스반도체 플래시 메모리 소자의 게이트 형성 방법
KR100672123B1 (ko) 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
JP4271243B2 (ja) * 2006-04-11 2009-06-03 株式会社東芝 集積回路パターンの形成方法
US8158333B2 (en) * 2006-04-11 2012-04-17 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR100843055B1 (ko) * 2006-08-17 2008-07-01 주식회사 하이닉스반도체 플래쉬 메모리 소자 및 그의 제조방법
US7384874B2 (en) * 2006-09-29 2008-06-10 Hynix Semiconductor Method of forming hardmask pattern of semiconductor device
US7476588B2 (en) * 2007-01-12 2009-01-13 Micron Technology, Inc. Methods of forming NAND cell units with string gates of various widths
US7790360B2 (en) 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
KR100880323B1 (ko) * 2007-05-11 2009-01-28 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
KR100919342B1 (ko) * 2007-09-06 2009-09-25 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR100885777B1 (ko) * 2007-10-10 2009-02-26 주식회사 하이닉스반도체 비휘발성 메모리 소자의 제조 방법
KR100914289B1 (ko) * 2007-10-26 2009-08-27 주식회사 하이닉스반도체 스페이서를 이용한 반도체 메모리소자의 패턴 형성방법
KR100953049B1 (ko) * 2007-12-28 2010-04-14 주식회사 하이닉스반도체 플래시 메모리 소자 및 그의 제조 방법
US8329385B2 (en) * 2008-06-10 2012-12-11 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device
US20110104901A1 (en) * 2008-06-13 2011-05-05 Tokyo Electron Limited Semiconductor device manufacturing method
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
KR101077453B1 (ko) * 2009-03-31 2011-10-26 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법

Also Published As

Publication number Publication date
CN101647112A (zh) 2010-02-10
JP2010520639A (ja) 2010-06-10
JP5093623B2 (ja) 2012-12-12
TW200845125A (en) 2008-11-16
US20100295114A1 (en) 2010-11-25
US7790360B2 (en) 2010-09-07
US20080220600A1 (en) 2008-09-11
EP2118928A1 (en) 2009-11-18
CN101647112B (zh) 2011-07-06
US8207570B2 (en) 2012-06-26
TWI386975B (zh) 2013-02-21
US20120238077A1 (en) 2012-09-20
ATE528795T1 (de) 2011-10-15
KR101170289B1 (ko) 2012-07-31
KR20090127338A (ko) 2009-12-10
US8431456B2 (en) 2013-04-30
KR101149632B1 (ko) 2012-05-25
EP2118928B1 (en) 2011-10-12
WO2008108921A1 (en) 2008-09-12

Similar Documents

Publication Publication Date Title
KR101170289B1 (ko) 반도체 구조물, 다중 라인 형성 방법, 및 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 형성하는 방법
JP5719911B2 (ja) ピッチマルチプリケーションされた材料のループの一部分を分離するための方法およびその関連構造
US7604926B2 (en) Method of manufacturing a semiconductor device
US9330934B2 (en) Methods of forming patterns on substrates
TWI442516B (zh) 圖案化材料之方法及形成記憶體單元之方法
US8030217B2 (en) Simplified pitch doubling process flow
KR100641779B1 (ko) 반도체 장치 및 그 제조 방법
US8546258B2 (en) Method of fabricating metal contact using double patterning technology and device formed thereby
JP2008066689A (ja) 半導体素子の製造方法
KR20090049379A (ko) 플래시 메모리 소자의 제조 방법
KR20090048544A (ko) 간이화한 피치 더블링 프로세스 플로우
KR100632639B1 (ko) 플래쉬 메모리 소자의 제조 방법
CN116171041A (zh) 半导体结构的制造方法
KR100664789B1 (ko) 플래시 메모리의 부유 게이트 형성 방법
KR20060066961A (ko) 낸드형 비휘발성 메모리 소자 및 그 형성 방법
KR19980026302A (ko) 반도체 메모리 장치의 제조 방법
KR20100129544A (ko) 네가티브 스페이서 패터닝 공정을 위한 패턴 형성 방법
KR20100074624A (ko) 플래시 메모리 소자 및 그 제조 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150626

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160630

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170704

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180717

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190722

Year of fee payment: 8