KR20080064457A - 반도체 소자의 미세 패턴 형성 방법 - Google Patents

반도체 소자의 미세 패턴 형성 방법 Download PDF

Info

Publication number
KR20080064457A
KR20080064457A KR1020070001407A KR20070001407A KR20080064457A KR 20080064457 A KR20080064457 A KR 20080064457A KR 1020070001407 A KR1020070001407 A KR 1020070001407A KR 20070001407 A KR20070001407 A KR 20070001407A KR 20080064457 A KR20080064457 A KR 20080064457A
Authority
KR
South Korea
Prior art keywords
pattern
photoresist
forming
film
semiconductor device
Prior art date
Application number
KR1020070001407A
Other languages
English (en)
Other versions
KR100876783B1 (ko
Inventor
정재창
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020070001407A priority Critical patent/KR100876783B1/ko
Priority to US11/759,667 priority patent/US8067146B2/en
Priority to JP2007310727A priority patent/JP5075598B2/ja
Publication of KR20080064457A publication Critical patent/KR20080064457A/ko
Application granted granted Critical
Publication of KR100876783B1 publication Critical patent/KR100876783B1/ko
Priority to US13/187,699 priority patent/US20110275746A1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/162Protective or antiabrasion layer

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 반도체 소자의 미세 패턴 형성 방법에 관한 것으로, 피식각층이 형성된 반도체 기판 상에 제 1 포토레지스트 패턴을 형성하는 단계와, 상기 제 1 포토레지스트 패턴 상에 패턴 하드닝 코팅제를 코팅하여 패턴 하드닝막을 형성하는 단계와, 상기 결과물 상에 제 2 포토레지스트 막을 형성하는 단계와, 상기 제 2 포토레지스트 막에 노광 및 현상 공정을 수행하여 상기 제 1 포토레지스트 패턴 사이에 제 2 포토레지스트 패턴을 형성하는 단계를 포함한다.

Description

반도체 소자의 미세 패턴 형성 방법{Method for Forming Fine Pattern of Semiconductor Device}
도 1a 내지 도 1d 는 본 발명에 따른 반도체 소자의 미세 패턴 형성 방법을 도시한 단면도.
도 2 는 실시예 1 에 의해 제조되는 제 1 포토레지스트 중합체의 NMR 스펙트럼.
도 3 은 실시예 3 에 의해 제조되는 미세 패턴의 SEM 사진.
< 도면의 주요부분에 대한 부호의 설명 >
11 : 반도체 기판 13 : 하드마스크층
15 : 반사방지막 17 : 제 1 포토레지스트 패턴
19 : 패턴 하드닝막 21 : 제 2 포토레지스트 막
23 : 제 2 포토레지스트 패턴
본 발명은 반도체 소자의 미세 패턴 형성 방법에 관한 것이다.
점차 미세화되는 반도체 소자를 제조하기 위하여 패턴의 크기 또한 점차 작 아지는 추세이다. 그동안 미세한 패턴을 얻기 위해서 노광 장비와 그에 대응하는 레지스트를 개발하는 방향으로 연구가 진행되어 왔다.
노광 장비에 있어서, 노광 광원은 주로 248㎚ 파장의 KrF 또는 193㎚ 파장의 ArF 광원이 생산 공정에 적용되었으나, 점차 F2 (157㎚) 또는 EUV (13nm) 등과 같은 단파장화 광원과 렌즈 개구수 (numerical aperture)를 증대시키기 위한 노력이 시도되고 있다.
하지만, F2 또는 EUV 등 새로운 광원을 채용하는 경우에는 새로운 노광 장치가 필요하게 되므로 제조 비용 면에서 효율적이지 못하고, 개구수를 증대시키는 방안 또한 초점 심도 폭이 저하되는 문제점이 있다.
최근, 이러한 문제를 해결하기 위하여 고굴절률을 갖는 이머젼 용액을 사용하는 이머젼 리소그라피 공정이 개발되었으나 아직까지 양산에 적용하기에는 많은 문제점이 있다.
한편, 이중 노광 방법을 이용하여 리소그라피 한계 이상의 해상도를 갖는 미세 패턴을 형성하였으나, 중첩도 및 정렬도 마진 확보가 용이하지 않으며 비용 및 공정 시간 등이 과다하게 소비되는 문제점이 있다.
본 발명은 상기와 종래 기술의 문제점을 해결하기 위하여, 이미 형성된 제 1 포토레지스트 패턴 상에 패턴 하드닝막을 형성하는 방법으로 제 1 포토레지스트 패턴을 하드닝한 다음, 제 2 포토레지스트 막을 형성하고 노광 및 현상 공정을 수행 하여 제 2 포토레지스트 패턴을 형성함으로써, 리소그라피 한계 이상의 피치를 갖는 미세 패턴을 형성할 수 있는 방법을 제공하는데 그 목적이 있다.
상기 목적을 달성하기 위하여, 본 발명에서는 하기의 단계를 포함하는 반도체 소자의 미세 패턴 형성 방법을 제공한다:
(a) 피식각층이 형성된 반도체 기판 상에 제 1 포토레지스트 패턴을 형성하는 단계;
(b) 상기 제 1 포토레지스트 패턴 상에 패턴 하드닝 코팅제를 코팅하여 패턴 하드닝막을 형성하는 단계;
(c) 상기 결과물 상에 제 2 포토레지스트 막을 형성하는 단계; 및
(d) 상기 제 2 포토레지스트 막에 노광 및 현상 공정을 수행하여 상기 제 1 포토레지스트 패턴 사이에 제 2 포토레지스트 패턴을 형성하는 단계.
상기 패턴 하드닝 코팅제는 불소 함유 알킬기를 가지는 (메타)아크릴산 에스테르로부터 유도되는 반복단위 및 글리시딜기를 가지는 (메타)아크릴산 에스테르로부터 유도되는 반복단위를 포함하는 부가 공중합체, 바람직하게는 2,2,3,4,4,4-헥사플루오로 메타크릴레이트 반복단위 및 글리시딜 메타크릴레이트 반복단위를 포함하는 부가 공중합체; 및 탄소수 5 이상의 고급 알코올계 유기 용매를 포함하고, 2-히드록시시클로헥실 p-톨루엔설포네이트를 더 포함할 수 있고,
상기 패턴 하드닝 코팅제는, 코팅제 100 중량부에 대하여 1 내지 5 중량부의 부가 공중합체; 및 잔량의 유기 용매를 포함하며,
상기 (b) 단계는 상기 패턴 하드닝 코팅제를 150 내지 300 ℃ 의 온도에서 30 내지 180 초간 베이크하여 패턴 하드닝막을 형성하는 단계를 포함하고,
상기 (a) 단계는 피식각층이 형성된 반도체 기판 상에 제 1 포토레지스트 조성물을 도포하여 제 1 포토레지스트 막을 형성하는 단계; A 피치의 라인 패턴을 갖는 제 1 노광 마스크를 이용하여 10 내지 200 mJ/cm2의 노광 에너지로 제 1 포토레지스트 막을 노광하는 단계; 상기 결과물을 90 내지 150 ℃ 의 온도에서 30 내지 180 초간 포스트 베이크하는 단계; 및 상기 결과물을 현상하는 단계를 포함하며,
상기 (d) 단계는 A 피치의 라인 패턴을 갖는 제 2 노광 마스크를 이용하여 10 내지 200 mJ/cm2의 노광 에너지로 제 1 포토레지스트 막을 노광하는 단계; 상기 결과물을 90 내지 150 ℃ 의 온도에서 30 내지 180 초간 포스트 베이크하는 단계; 및 상기 결과물을 현상하는 단계를 포함하고,
상기 제 2 노광 마스크는 상기 제 1 노광 마스크를 소정 거리 이동시켜 사용하거나, 별도의 노광 마스크를 사용하는 것이며,
상기 (a) 단계 및 (d) 단계의 노광 공정은 이머젼 리소그라피용 장비를 이용하고,
상기 제 1 포토레지스트 패턴간 피치는 A 이고, 제 1 포토레지스트 패턴과 제 2 포토레지스트 패턴간의 피치는 A/2 인 것을 특징으로 한다.
이하, 첨부된 도면을 참고로 하여 본 발명의 바람직한 실시 형태를 설명하면 다음과 같다.
도 1a 내지 도 1d 는 본 발명에 따른 반도체 소자의 미세 패턴 형성 방법을 도시한 단면도이다.
도 1a 를 참조하면, 소정의 하부 구조물을 포함하는 피식각층이 구비된 반도체 기판(11) 상에 하드마스크층(13)을 형성하고, 그 상부에 반사방지막(15)을 형성한다.
다음, 반사방지막(15) 상부에 제 1 포토레지스트 조성물을 도포한 후 90 내지 150 ℃ 의 온도에서 30 내지 180 초간 베이크하여 제 1 포토레지스트 막(미도시)을 형성한다.
상기 제 1 포토레지스트 조성물로는 이머젼 리소그라피 공정에 통상적으로 사용될 수 있는 모든 화학증폭형 포토레지스트 조성물을 사용할 수 있다.
다음, 이머젼 리소그라피 장비를 이용하고, A 피치의 라인 패턴을 갖는 제 1 노광 마스크를 이용하며, 10 내지 200 mJ/cm2의 노광 에너지로 상기 제 1 포토레지스트 막을 노광한다. 또한, 노광 공정의 광원으로는 G-라인 (436㎚), i-라인 (365㎚), KrF (248㎚), ArF (193㎚), F2 (157㎚) 또는 EUV (13㎚) 을 사용한다.
다음, 상기 결과물을 90 내지 150 ℃ 의 온도에서 30 내지 180 초간 포스트 베이크한 후, TMAH 2.38 wt% 수용액을 현상액으로 현상하여 제 1 포토레지스트 패턴(17)을 형성한다.
도 1b 를 참조하면, 제 1 포토레지스트 패턴(17) 상에 패턴 하드닝 코팅제를 코팅하고, 150 내지 300 ℃ 의 온도에서 30 내지 180 초간 베이크하여 패턴 하드닝 막(19)을 형성한다.
상기 패턴 하드닝 코팅제는 불소 함유 알킬기를 가지는 (메타)아크릴산 에스테르로부터 유도되는 반복단위 및 글리시딜기를 가지는 (메타)아크릴산 에스테르로부터 유도되는 반복단위를 포함하는 부가 공중합체; 및 유기 용매를 포함한다.
상기 부가 공중합체는 2,2,3,4,4,4-헥사플루오로 메타크릴레이트 반복단위 및 글리시딜 메타크릴레이트 반복단위를 포함하는 부가 공중합체인 것이 바람직하다.
상기 불소 함유 알킬기를 가지는 (메타)아크릴산 에스테르로부터 유도되는 반복단위는 상기 부가 공중합체를 고급 알코올계 용매에 용해시키는 역할을 하고, 상기 글리시딜기를 가지는 (메타)아크릴산 에스테르로부터 유도되는 반복단위는 가교 역할을 한다.
상기 부가 공중합체는 상기 패턴 하드닝 코팅제 100 중량부에 대하여 1 내지 5 중량부 사용하는 것이 바람직한데, 1 중량부 보다 적게 사용하면 충분한 가교 반응이 일어나지 못하는 점에서 바람직하지 않고, 5 중량부를 초과하여 사용하면 포토레지스트 패턴의 CD 가 커지기 때문에 바람직하지 않다.
또, 상기 유기 용매로는 노말 펜탄올, 4-메틸-2-펜탄올 등의 탄소수 5 이상의 고급 알코올계 용매로 이루어진 군으로부터 선택된 1 이상의 화합물을 사용함으로써, 상기 패턴 하드닝 코팅제가 제 1 포토레지스트 패턴(17) 상에 코팅되어도 제 1 포토레지스트 패턴(17)을 용해시키지 않는다.
또한, 상기 패턴 하드닝 코팅제는 가교시 촉매 작용을 하는 2-히드록시시클 로헥실 p-톨루엔설포네이트를 더 포함할 수 있다.
도 1c 를 참조하면, 상기 결과물 상에 제 2 포토레지스트 조성물을 도포한 후, 90 내지 150 ℃ 의 온도에서 30 내지 180 초간 베이크하여 제 2 포토레지스트 막(21)을 형성한다.
상기 제 2 포토레지스트 조성물로는 이머젼 리소그라피 공정에 통상적으로 사용될 수 있는 모든 화학증폭형 포토레지스트 조성물을 사용할 수 있다.
이때, 제 2 포토레지스트 조성물을 도포하더라도, 제 1 포토레지스트 패턴(17)이 패턴 하드닝막(19)에 의해 하드닝되어 있어서, 제 2 포토레지스트 조성물에 의해 변화되지 않는다.
도 1d 를 참조하면, 이머젼 리소그라피 장비를 이용하고, A 피치의 라인 패턴을 갖는 제 2 노광 마스크를 이용하며, 10 내지 200 mJ/cm2의 노광 에너지로 제 2 포토레지스트 막(21)을 노광한다.
상기 제 2 노광 마스크는 상기 제 1 노광 마스크를 소정 거리 이동시켜 사용하거나, 별도의 노광 마스크를 사용한다.
다음, 상기 결과물을 90 내지 150 ℃ 의 온도에서 30 내지 180 초간 포스트 베이크한 후, TMAH 2.38 wt% 수용액을 현상액으로 현상하여 제 2 포토레지스트 패턴(23)을 제 1 포토레지스트 패턴(17)들의 사이에 형성한다. 즉, 제 2 포토레지스트 패턴(23)은 리소그라피 공정의 한계인 최소 피치 크기의 패턴 사이에 또 하나의 패턴이 형성된 것으로, 최소 피치 크기보다 작은 피치 크기 (A/2)의 패턴으로 형성 된 것이다.
본 발명에서는 하드닝된 제 1 포토레지스트 패턴(17)이 후속 공정에서 노광 및 현상 공정을 거치더라도 원래 패턴 형상을 유지하기 때문에, 제 1 포토레지스트 패턴(17)들의 사이에 제 2 포토레지스트 패턴(23)을 형성할 수 있다.
본 발명의 다른 실시 형태에 따른 반도체 소자의 미세 패턴 형성 방법은 도 1a 내지 도 1d 의 공정을 적어도 2 회 이상 반복 실시함으로써, 더욱 미세한 크기의 패턴을 형성할 수 있다.
이하, 본 발명을 실시예에 의해 상세히 설명한다. 단, 하기 실시예는 예시의 목적을 위한 것으로, 당업자라면 첨부된 특허청구범위의 기술적 사상과 범위를 통해 다양한 수정, 변경, 대체 및 부가가 가능할 것이며, 이러한 수정 변경 등은 이하의 특허청구범위에 속하는 것으로 보아야 할 것이다.
실시예 1 : 본 발명의 패턴 하드닝 코팅제 중합체 제조
2,2,3,4,4,4-헥사플루오로 메타크릴레이트 13.2g, 글리시딜 메타크릴레이트 8g, 중합 개시제인 아조비스이소부티로니트릴 (AIBN) 0.4g 및 중합 용매인 프로필렌글리콜 메틸 에테르 아세테이트 (PGMEA) 100g을 250mL 라운드 플라스크 용기에 넣은 후, 질소 분위기에서 8시간 반응시켰다. 반응 완료 후, 1000mL 의 노말 헥산에서 침전을 잡은 후 진공 건조하여, 본 발명의 패턴 하드닝 코팅제 중합체를 91% 의 수율로 얻었다 (도 2 참조).
실시예 2 : 본 발명의 패턴 하드닝 코팅제 제조
상기 실시예 1 에서 제조된 패턴 하드닝 코팅제 중합체 10g, 2-히드록시시클 로헥실 p-톨루엔설포네이트 0.3g 을 노말 펜탄올 500g 에 용해하여 본 발명의 패턴 하드닝 코팅제를 제조하였다.
실시예 3 : 본 발명의 미세 패턴 제조
제 1 포토레지스트 패턴 형성
웨이퍼 상에 동진의 이머젼용 포토레지스트 조성물 (상품명: DHAI102)을 도포한 후, 100℃ 에서 60초간 프리 베이크하였다. 베이크 후 80㎚ 하프 피치를 갖는 마스크를 이용하고 이머젼 리소그라피 장비를 이용하여 37 mJ/cm2의 노광 에너지로 노광시킨 후에, 100℃ 에서 60초간 포스트 베이크하고 나서 TMAH 2.38 wt% 수용액으로 현상하여 30㎚ 크기의 제 1 포토레지스트 패턴을 얻었다.
패턴 하드닝막 형성
다음, 상기 제 1 포토레지스트 패턴 상에 실시예 2 에서 제조된 패턴 하드닝 코팅제를 도포한 후 170℃ 에서 90초간 베이크하여 패턴 하드닝막을 형성한 결과, 제 1 포토레지스트 패턴의 크기가 40㎚ 로 증가하였다.
제 2 포토레지스트 패턴 형성
그런 다음, JSR사의 AIM5076 포토레지스트 조성물을 상기 결과물 상에 도포한 후, 100℃ 에서 60초간 프리 베이크하였다. 베이크 후 80㎚ 하프 피치를 갖는 마스크를 이용하고, 이머젼 리소그라피 장비를 이용하여 38 mJ/cm2의 노광 에너지로 노광시킨 후에, 100℃ 에서 60초간 포스트 베이크하고 나서 TMAH 2.38 wt% 수용액으로 현상하여 40㎚ 크기의 제 2 포토레지스트 패턴을 얻었다.
결과적으로 제 2 포토레지스트 패턴이 상기 제 1 포토레지스트 패턴들의 사이에 들어가도록 형성하였기 때문에 80㎚ 하프 피치를 갖는 마스크를 이용하여 40㎚ 하프 피치를 갖는 패턴을 얻었다 (도 3 참조). 이때, 노광 공정시 상기 마스크는 제 1 포토레지스트 패턴 형성시 사용한 마스크를 소정 거리 이동시켜 사용하였다.
이상에서 설명한 바와 같이 본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에 따르면, 이미 형성된 제 1 포토레지스트 패턴 상에 패턴 하드닝막을 형성하는 방법으로 제 1 포토레지스트 패턴을 하드닝한 다음, 제 2 포토레지스트 막을 형성하고 노광 및 현상 공정을 수행하여 제 2 포토레지스트 패턴을 형성함으로써, 리소그라피 한계 이상의 피치를 갖는 미세 패턴을 형성할 수 있다. 아울러, 상기한 방법을 여러 번 반복 수행함으로써 더욱 미세한 패턴도 해상이 가능한다.

Claims (15)

  1. 피식각층이 형성된 반도체 기판 상에 제 1 포토레지스트 패턴을 형성하는 단계;
    상기 제 1 포토레지스트 패턴 상에 패턴 하드닝 코팅제를 코팅하여 패턴 하드닝막을 형성하는 단계;
    상기 결과물 상에 제 2 포토레지스트 막을 형성하는 단계; 및
    상기 제 2 포토레지스트 막에 노광 및 현상 공정을 수행하여 상기 제 1 포토레지스트 패턴 사이에 제 2 포토레지스트 패턴을 형성하는 단계를 포함하는 반도체 소자의 미세 패턴 형성 방법.
  2. 제 1 항에 있어서,
    상기 패턴 하드닝 코팅제는 불소 함유 알킬기를 가지는 (메타)아크릴산 에스테르로부터 유도되는 반복단위 및 글리시딜기를 가지는 (메타)아크릴산 에스테르로부터 유도되는 반복단위를 포함하는 부가 공중합체; 및 유기 용매를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  3. 제 2 항에 있어서,
    상기 부가 공중합체는 2,2,3,4,4,4-헥사플루오로 메타크릴레이트 반복단위 및 글리시딜 메타크릴레이트 반복단위를 포함하는 것을 특징으로 하는 반도체 소자 의 미세 패턴 형성 방법.
  4. 제 2 항에 있어서,
    상기 유기 용매는 탄소수 5 이상의 고급 알코올계 용매인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  5. 제 2 항에 있어서,
    상기 패턴 하드닝 코팅제는, 코팅제 100 중량부에 대하여 1 내지 5 중량부의 부가 중합체; 및 잔량의 유기 용매를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  6. 제 1 항에 있어서,
    상기 패턴 하드닝막을 형성하는 단계는 상기 패턴 하드닝 코팅제를 150 내지 300 ℃ 의 온도에서 30 내지 180 초간 베이크하여 패턴 하드닝막을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  7. 제 1 항에 있어서, 상기 제 1 포토레지스트 패턴을 형성하는 단계는
    피식각층이 형성된 반도체 기판 상에 제 1 포토레지스트 조성물을 도포하여 제 1 포토레지스트 막을 형성하는 단계;
    A 피치의 라인 패턴을 갖는 제 1 노광 마스크를 이용하여 10 내지 200 mJ/cm2의 노광 에너지로 제 1 포토레지스트 막을 노광하는 단계;
    상기 결과물을 90 내지 150 ℃ 의 온도에서 30 내지 180 초간 포스트 베이크하는 단계; 및
    상기 결과물을 현상하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  8. 제 1 항에 있어서, 상기 제 1 포토레지스트 패턴 사이에 제 2 포토레지스트 패턴을 형성하는 단계는
    A 피치의 라인 패턴을 갖는 제 2 노광 마스크를 이용하여 10 내지 200 mJ/cm2의 노광 에너지로 제 1 포토레지스트 막을 노광하는 단계;
    상기 결과물을 90 내지 150 ℃ 의 온도에서 30 내지 180 초간 포스트 베이크하는 단계; 및
    상기 결과물을 현상하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  9. 제 7 항 또는 제 8 항에 있어서,
    상기 제 2 노광 마스크는 상기 제 1 노광 마스크를 소정 거리 이동시켜 사용하거나, 별도의 노광 마스크를 사용하는 것임을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  10. 제 1 항 또는 제 7 항에 있어서,
    상기 제 1 포토레지스트 패턴을 형성하는 단계에서의 노광 공정은 이머젼 리소그라피용 장비를 이용하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  11. 제 1 항에 있어서,
    상기 제 1 포토레지스트 패턴 사이에 제 2 포토레지스트 패턴을 형성하는 단계에서의 노광 공정은 이머젼 리소그라피용 장비를 이용하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  12. 제 1 항에 있어서,
    상기 제 1 포토레지스트 패턴간 피치는 A 이고, 제 1 포토레지스트 패턴과 제 2 포토레지스트 패턴간의 피치는 A/2 인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  13. 불소 함유 알킬기를 가지는 (메타)아크릴산 에스테르로부터 유도되는 반복단위 및 글리시딜기를 가지는 (메타)아크릴산 에스테르로부터 유도되는 반복단위를 포함하는 부가 공중합체; 및 유기 용매를 포함하는 것을 특징으로 하는 패턴 하드닝 코팅제.
  14. 제 13 항에 있어서,
    상기 코팅제는 2,2,3,4,4,4-헥사플루오로 메타크릴레이트 반복단위 및 글리시딜 메타크릴레이트 반복단위를 포함하는 부가 공중합체; 및 유기 용매를 포함하는 것을 특징으로 하는 패턴 하드닝 코팅제.
  15. 제 13 항에 있어서,
    상기 코팅제는 2-히드록시시클로헥실 p-톨루엔설포네이트를 더 포함하는 것을 특징으로 하는 패턴 하드닝 코팅제.
KR1020070001407A 2007-01-05 2007-01-05 반도체 소자의 미세 패턴 형성 방법 KR100876783B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020070001407A KR100876783B1 (ko) 2007-01-05 2007-01-05 반도체 소자의 미세 패턴 형성 방법
US11/759,667 US8067146B2 (en) 2007-01-05 2007-06-07 Method for forming a fine pattern in a semicondutor device
JP2007310727A JP5075598B2 (ja) 2007-01-05 2007-11-30 半導体素子の微細パターン形成方法
US13/187,699 US20110275746A1 (en) 2007-01-05 2011-07-21 Pattern Hardening Coating Agent

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070001407A KR100876783B1 (ko) 2007-01-05 2007-01-05 반도체 소자의 미세 패턴 형성 방법

Publications (2)

Publication Number Publication Date
KR20080064457A true KR20080064457A (ko) 2008-07-09
KR100876783B1 KR100876783B1 (ko) 2009-01-09

Family

ID=39594594

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070001407A KR100876783B1 (ko) 2007-01-05 2007-01-05 반도체 소자의 미세 패턴 형성 방법

Country Status (3)

Country Link
US (2) US8067146B2 (ko)
JP (1) JP5075598B2 (ko)
KR (1) KR100876783B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010024988A2 (en) * 2008-08-29 2010-03-04 Micron Technology, Inc. Methods of forming a photoresist-comprising pattern on a substrate
KR20100131377A (ko) * 2009-06-05 2010-12-15 주식회사 동진쎄미켐 반도체 소자의 미세 패턴 형성 방법

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5144127B2 (ja) * 2007-05-23 2013-02-13 キヤノン株式会社 ナノインプリント用のモールドの製造方法
KR20140095541A (ko) * 2007-05-23 2014-08-01 제이에스알 가부시끼가이샤 패턴 형성 방법 및 그 방법에 사용하는 수지 조성물
JP4973876B2 (ja) * 2007-08-22 2012-07-11 信越化学工業株式会社 パターン形成方法及びこれに用いるパターン表面コート材
TWI452419B (zh) * 2008-01-28 2014-09-11 Az Electronic Mat Ip Japan Kk 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US20090253081A1 (en) * 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
JP2009271259A (ja) * 2008-05-02 2009-11-19 Fujifilm Corp レジストパターン用表面処理剤および該表面処理剤を用いたレジストパターン形成方法
JP5384852B2 (ja) * 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
JP5845556B2 (ja) * 2008-07-24 2016-01-20 Jsr株式会社 レジストパターン微細化組成物及びレジストパターン形成方法
US20100040838A1 (en) * 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
JP4671065B2 (ja) 2008-09-05 2011-04-13 信越化学工業株式会社 ダブルパターン形成方法
KR101523951B1 (ko) * 2008-10-09 2015-06-02 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US20100183851A1 (en) * 2009-01-21 2010-07-22 Yi Cao Photoresist Image-forming Process Using Double Patterning
US8084186B2 (en) * 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
JP5329265B2 (ja) * 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5385001B2 (ja) * 2009-05-08 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置
TWI420571B (zh) * 2009-06-26 2013-12-21 羅門哈斯電子材料有限公司 形成電子裝置的方法
TWI476816B (zh) * 2009-06-26 2015-03-11 羅門哈斯電子材料有限公司 自我對準間隔之多重圖案化方法
TW201125020A (en) * 2009-10-21 2011-07-16 Sumitomo Chemical Co Process for producing photoresist pattern
EP2336824A1 (en) * 2009-11-19 2011-06-22 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
JP2014239191A (ja) * 2013-06-10 2014-12-18 富士通セミコンダクター株式会社 半導体装置の製造方法
US10118099B2 (en) 2014-12-16 2018-11-06 Activision Publishing, Inc. System and method for transparently styling non-player characters in a multiplayer video game
US10086266B2 (en) 2015-06-15 2018-10-02 Activision Publishing, Inc. System and method for uniquely identifying physical trading cards and incorporating trading card game items in a video game

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4281075A (en) * 1980-01-04 1981-07-28 Ford Motor Company Sulfonate ester catalysts in thermosetting compositions
CA1207099A (en) * 1981-12-19 1986-07-02 Tsuneo Fujii Resist material and process for forming fine resist pattern
JPH07147219A (ja) * 1993-11-24 1995-06-06 Sony Corp パターンの形成方法
DE69628996T2 (de) 1995-12-21 2004-04-22 Wako Pure Chemical Industries, Ltd. Polymerzusammensetzung und Rezistmaterial
KR100206597B1 (ko) * 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
JPH10177947A (ja) * 1996-12-19 1998-06-30 Nikon Corp 露光方法
US6630285B2 (en) * 1998-10-15 2003-10-07 Mitsui Chemicals, Inc. Positive sensitive resin composition and a process for forming a resist pattern therewith
KR100300073B1 (ko) * 1999-06-28 2001-11-01 김영환 반도체 장치의 포토레지스트 패턴 형성방법
KR100424082B1 (ko) * 2001-05-18 2004-03-22 삼화페인트공업주식회사 광촉매 도료용 바인더 조성물의 제조방법
CN1273870C (zh) * 2001-10-10 2006-09-06 日产化学工业株式会社 形成光刻用防反射膜的组合物
KR100480235B1 (ko) * 2002-07-18 2005-04-06 주식회사 하이닉스반도체 유기 반사방지막 조성물 및 이를 이용한 포토레지스트의패턴 형성 방법
US6916594B2 (en) * 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
JP4012480B2 (ja) * 2003-03-28 2007-11-21 Azエレクトロニックマテリアルズ株式会社 微細パターン形成補助剤及びその製造法
JP4025683B2 (ja) * 2003-05-09 2007-12-26 松下電器産業株式会社 パターン形成方法及び露光装置
JP2005003840A (ja) 2003-06-11 2005-01-06 Clariant Internatl Ltd 微細パターン形成材料および微細パターン形成方法
KR20050116593A (ko) * 2004-06-08 2005-12-13 주식회사 동진쎄미켐 디사이클로헥실 펜던트기를 포함하는 포토레지스트 중합체및 이를 포함하는 포토레지스트 조성물
KR100676885B1 (ko) * 2004-12-02 2007-02-23 주식회사 하이닉스반도체 상부 반사방지막 중합체, 이의 제조방법 및 이를 함유하는상부 반사방지막 조성물
JP2006165328A (ja) * 2004-12-08 2006-06-22 Tokyo Ohka Kogyo Co Ltd レジストパターンの形成方法
US7906270B2 (en) * 2005-03-23 2011-03-15 Asml Netherlands B.V. Reduced pitch multiple exposure process
KR20060116490A (ko) * 2005-05-10 2006-11-15 삼성전자주식회사 포토 레지스트 패턴의 표면 경화방법
KR20060126213A (ko) * 2005-06-03 2006-12-07 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US7851136B2 (en) * 2006-05-31 2010-12-14 Globalfoundries Inc. Stabilization of deep ultraviolet photoresist
JP5138916B2 (ja) * 2006-09-28 2013-02-06 東京応化工業株式会社 パターン形成方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010024988A2 (en) * 2008-08-29 2010-03-04 Micron Technology, Inc. Methods of forming a photoresist-comprising pattern on a substrate
WO2010024988A3 (en) * 2008-08-29 2010-04-29 Micron Technology, Inc. Methods of forming a photoresist-comprising pattern on a substrate
US8409457B2 (en) 2008-08-29 2013-04-02 Micron Technology, Inc. Methods of forming a photoresist-comprising pattern on a substrate
KR20100131377A (ko) * 2009-06-05 2010-12-15 주식회사 동진쎄미켐 반도체 소자의 미세 패턴 형성 방법

Also Published As

Publication number Publication date
US20110275746A1 (en) 2011-11-10
JP5075598B2 (ja) 2012-11-21
KR100876783B1 (ko) 2009-01-09
US8067146B2 (en) 2011-11-29
US20080166665A1 (en) 2008-07-10
JP2008172211A (ja) 2008-07-24

Similar Documents

Publication Publication Date Title
KR100876783B1 (ko) 반도체 소자의 미세 패턴 형성 방법
JP4826846B2 (ja) パターン形成方法
JP4216705B2 (ja) フォトレジストパターン形成方法
TWI333960B (en) Polymer, resist protective coating material, and patterning process
JP6579952B2 (ja) ネガ型厚膜フォトレジスト
US8728716B2 (en) Resin pattern, method for producing the pattern, method for producing MEMS structure, method for manufacturing semiconductor device, and method for producing plated pattern
JP4826840B2 (ja) パターン形成方法
JP4826841B2 (ja) パターン形成方法
TWI411886B (zh) 圖型之形成方法
JP4694230B2 (ja) 上部反射防止膜(Top Anti−Reflective Coating;TARC)の重合体およびこの製造方法、並びにこれを含む上部反射防止膜の組成物
KR20100027995A (ko) 패턴 형성 방법
JP5206974B2 (ja) パターン形成方法
KR100574495B1 (ko) 광산발생제 중합체, 그 제조방법 및 이를 함유하는상부반사방지막 조성물
KR100574482B1 (ko) 유기 난반사 방지막용 조성물과 이의 제조방법
KR101839631B1 (ko) 상층막 형성용 조성물 및 레지스트 패턴 형성 방법
JP2008172190A (ja) 半導体素子の微細パターン形成方法
JP2006336005A (ja) イマージョンリソグラフィー用ポリマー及びこれを含むフォトレジスト組成物
CN103513514A (zh) 包含酰胺组分的光致抗蚀剂
KR100843917B1 (ko) 반도체 소자 제조 방법
JP2013041126A (ja) ポジ型レジスト組成物及びパターン形成方法。
JP5124818B2 (ja) パターン形成方法
JP6365394B2 (ja) ポジ型レジスト組成物及びパターン形成方法
KR101658066B1 (ko) 미세 패턴 형성용 코팅 조성물 및 이를 이용한 미세 패턴 형성 방법
TW201546557A (zh) 正型光阻組成物及圖案形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111121

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20121121

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee