KR20080015129A - 텅스텐막 형성방법, 성막장치, 기억매체 및 반도체 장치 - Google Patents

텅스텐막 형성방법, 성막장치, 기억매체 및 반도체 장치 Download PDF

Info

Publication number
KR20080015129A
KR20080015129A KR1020077030782A KR20077030782A KR20080015129A KR 20080015129 A KR20080015129 A KR 20080015129A KR 1020077030782 A KR1020077030782 A KR 1020077030782A KR 20077030782 A KR20077030782 A KR 20077030782A KR 20080015129 A KR20080015129 A KR 20080015129A
Authority
KR
South Korea
Prior art keywords
gas
tungsten film
tungsten
supplying
forming
Prior art date
Application number
KR1020077030782A
Other languages
English (en)
Other versions
KR100939124B1 (ko
Inventor
마사히토 스기우라
야스타카 미조구치
야스시 아이바
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20080015129A publication Critical patent/KR20080015129A/ko
Application granted granted Critical
Publication of KR100939124B1 publication Critical patent/KR100939124B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

종래보다도 저항율이 작고, 베이스의 배리어층과의 경계 부분의 불소 농도가 낮고, 배리어층과의 밀착성이 높은 텅스텐막을 형성한다. 처리용기(14)내의 웨이퍼(M)에, 실리콘 함유 가스를 공급하는 공정과, 상기 공정후에 텅스텐 함유 가스를 공급하는 텅스텐 함유 가스 공급 스텝과 실리콘을 포함하지 않는 수소 화합물 가스를 공급하는 수소 화합물 가스 공급 스텝을, 양 스텝사이에 상기 처리용기내에 불활성 가스를 공급하는 퍼지 스텝 및/또는 처리용기를 진공 흡인하는 진공 흡인 스텝을 개재시켜, 교대로 반복 실행함으로써 제 1의 텅스텐막(70)을 형성하는 공정을 갖는다.

Description

텅스텐막 형성방법, 성막장치, 기억매체 및 반도체 장치{METHOD FOR FORMING TUNGSTEN FILM, FILM-FORMING APPARATUS, STORAGE MEDIUM AND SEMICONDUCTOR DEVICE}
본 발명은, 반도체 웨이퍼 등의 피처리체의 표면에 텅스텐막을 형성하는 방법, 성막장치, 기억매체 및 반도체 장치에 관한 것이다.
일반적으로, 반도체 디바이스의 제조 공정에 있어서는, 피처리체 예컨대 반도체 웨이퍼(이하, 단지 「웨이퍼」라고도 칭한다.)의 표면에 금속계 막을 형성하는 공정이 있다. 예컨대 웨이퍼 표면에 배선 패턴을 형성하거나, 배선사이의 오목부(비어 홀)나 기판 콘택트용의 오목부(콘택트 홀)를 설치하거나 하는 경우에 금속계 막을 성막한다. 이러한 금속계 막으로서는, 예컨대 W(텅스텐), WSi(텅스텐실리사이드), WN(텅스텐나이트라이드), Ti(타이타늄), TiN(타이타늄나이트라이드), TiSi(타이타늄실리사이드) 등의 금속 또는 금속 화합물을 퇴적시킨 박막을 들 수 있다.
이와 같이, 금속계 막은 배선 등에 사용되기 때문에, 될 수 있는 한 낮은 저항의 것이 요구된다. 이러한 관점에서 예컨대 텅스텐막은 상기 금속계 막 중에서도 특히 저항율이 작고, 막 부착 온도도 작게되기 때문에, 배선사이의 오목부나 기 판 콘택트용의 오목부의 설치에 다용되어 있다.
이러한 텅스텐막을 형성하기 위해서는, 일반적으로, WF6(6불화텅스텐)을 금속계 원료 가스로서 이용하고, 이것을 수소, 실레인, 다이플루오로실레인 등의 환원성 가스에 의해 환원함으로써, 텅스텐막을 퇴적시키고 있다. 또한, 텅스텐막을 형성하는 경우에는, 밀착성의 향상, 하층의 배선 금속 또는 기판과의 반응의 억제 등의 이유로부터, 우선 웨이퍼 표면에 TiN막, 또는 Ti 막 상에 TN 막을 형성한 적층막(TiN/Ti 막) 등의 베이스막으로 되는 배리어층을 얇고 또한 균일하게 형성하고, 이 배리어층 상에 상기 텅스텐막을 퇴적시킨다.
그런데, 텅스텐막에 의해 오목부 등의 설치를 행하는 경우, 설치성을 양호하게 하기 위해, 환원성 가스로서는 실레인보다도 환원성이 약한 수소 가스가 주로 사용된다. 이러한 수소 가스를 환원성 가스로서 이용한 경우, 볼케이노(volcano)가 발생하거나, 설치 구멍(예컨대 콘택트 홀)에 보이드(void)가 발생하거나 하는 경우가 있다.
여기서, 볼케이노 발생의 메커니즘을 도 10에 나타낸다. 도 10A, 도 10B, 도 10C의 순서로 반응이 진행하는 모양을 나타내고 있다. 도 10에 의하면, 볼케이노의 발생에는 불소의 거동이 크게 영향을 주고, 배리어층에서 불소와 반응하여 생성된 TiF3가 상방으로 돌파하는 모양을 이해할 수 있다. 구체적으로는 미반응의 WF6 가스에 의해 상기 배리어층이 어택되어 배리어층과 불소가 반응하여, TiF3를 주체로 하는 타이타늄 불화물이 생성된다(도 10A, 10B 참조). 이 타이타늄 불화물은 부피적으로 팽창하기 때문에, 배리어층을 상방으로 돌파하여 볼케이노가 발생한다(도 10C 참조).
이러한 볼케이노 등의 발생을 방지하기 위해, 주된 텅스텐막을 퇴적하기 전에, 핵 부착 층으로서 초기 텅스텐막을 형성함으로써, 주된 텅스텐막의 형성시에 WF6 가스에 의한 베이스 배리어층에 대한 어택을 차폐하는 것이 행해져 있다. 상기 초기 텅스텐막의 형성시에는 상기 미반응의 WF6 가스를 빠르게 제거하는 동시에, 텅스텐막에 함유되는 불소가 베이스의 배리어층과 직접 반응하지 않도록 상기 텅스텐막 중의 불소 농도를 억제해야 한다.
상기 초기 텅스텐막의 형성방법으로서는, WF6 가스와 환원성의 B2H6(다이보레인) 가스를, 이들 사이에 퍼지 스텝을 개재시켜, 교대로 공급하는 원자층 퇴적(ALD: Atomic Layered Deposition)의 수법이 개시되어 있다(예컨대 특허문헌 1 참조). 이 수법으로 퇴적한 텅스텐막은 저저항임과 더불어, 텅스텐막 중의 불소 농도가 낮아지고, 베이스 금속과의 불소 화합물의 형성을 회피할 수 있다고 되어있다.
이러한 종래의 텅스텐막의 형성방법에 있어서의 각 가스의 공급형태를 도면을 참조하면서 설명한다. 도 11A는, 종래 방법에 의한 각 가스의 공급형태의 일례를 나타낸 것이고, 도 11B는 종래 방법에 의한 각 가스의 공급형태의 다른 예를 게시한 것이다. 도 11A는 상기 ALD법의 각 가스의 공급형태를 나타낸 것이고, 환원성 가스로서 B2H6 가스를 사용한 경우이다. 도 11B는 환원성 가스로서 SiH4 가스를 이용한 경우이다. 도 12는 도 11에 나타내는 가스의 공급형태에서 형성되는 텅스텐에 의해 설치 구멍을 설치할 때의 공정을 나타내는 그림이다.
여기서는 환원성가스로서 B2H6 가스를 이용한 경우(도 11A 참조)를 예로 들어 설명한다. 또, 캐리어 가스나 퍼지 가스로서 Ar 가스와 N2 가스를 각각 일정한 유량으로 흘리고, 프로세스 압력은 전처리 기간에 걸쳐 일정하다. 또한, 여기서 사용하는 웨이퍼(M)에는, 도 12A에 나타낸 바와 같이 예컨대 콘택트 홀과 같은 설치 구멍(2)내의 내면을 포함한 웨이퍼 표면 전체에 배리어층(4)이 형성되어 있다.
우선, 도 12A에 나타내는 바와 같은 웨이퍼(M)에 대하여, B2H6 가스와 WF6 가스를, 교대로 단시간씩 복수회 반복하여 흘려 초기 텅스텐막(8)의 형성을 행한다. 이 경우, B2H6 가스 공급 스텝과 WF6 가스 공급 스텝의 양 스텝사이에서는 용기내의 잔류 가스를 배제하는 퍼지공정을 한다. WF6 가스 공급 스텝에 의해, 웨이퍼 표면에 흡착한 WF6 가스 분자층을 다음 스텝으로 공급하는 B2H6 가스에 의해 환원하고, 1회의 교대 공급에 관하여 수원자층의 텅스텐막을 성장시킨다. 이것을 임의의 회수 반복함으로써, 도 12B에 나타낸 바와 같이 원하는 막 두께의 초기 텅스텐막(8)을 형성한다.
다음으로, WF6 가스와 H2 가스를 동시에 공급하여 주텅스텐막 형성공정을 행함으로써, 도 12C에 나타낸 바와 같이 주텅스텐막(10)을 퇴적시켜 설치 구멍(2)을 설치한다. 또한, 다른 가스 공급 형태로서는 도 11B에 나타낸 바와 같이 B2H6 대신 에 SiH4(모노실레인)를 이용하는 것도 행해지고 있다(예컨대 특허문헌 2 참조). 이 경우, 최초의 SiH4 가스 공급 스텝의 시간을, 그 이후의 다른 SiH4 가스 공급 스텝보다 연장하여 행하고, 웨이퍼 표면에 SiHx(0≤x<4) 등의 분해 중간체를 부착시키는 이른바 초기 처리를 겸하도록 하여도 좋다.
특허문헌 1: 일본 특허공개 제2002-038271호 공보
특허문헌 2: 일본 특허공개 제2003-193233호 공보
발명의 개시
발명이 해결하고자 하는 과제
그런데, 금후는, 반도체 디바이스의 더한 미세화 및 동작속도의 고속화와 함께, 콘택트(비어) 저항을 내리기 위해 텅스텐막의 더한 저저항화, 비저항이 비교적 높은 배리어층의 박막화가 요청되어 있다. 그런데, 상술한 바와 같은 종래의 텅스텐막 형성방법으로서는, 예컨대 배리어막으로서 5nm 이하의 막 두께에 박막화된 TiN 막을 이용하면, 볼케이노 발생을 억제할 수 없게 된다.
여기서, 박막화된 TiN/Ti 적층막 상에, 상술한 바와 같이 초기 텅스텐막의 형성에 있어서, WF6 가스와 B2H6 가스를 교대 공급하는 ALD법을 사용하고, 주된 텅스텐막을 실용적인 막 두께로 퇴적한 경우의 전자 현미경 사진을 도 13에 나타낸다. 도 13에 의하면, 배리어층의 박막화에 의하여 볼케이노가 용이하게 발생하고 있는 것을 알 수 있다. 이것은 종래의 방법으로 형성한 초기 텅스텐막의 막질로서는 충 분히 WF6의 어택을 차폐할 수 없기 때문이거나, 또는 초기 텅스텐막의 형성시에 이미 베이스의 배리어층의 어택이 발생하고 있었기 때문이라는 가능성도 있다.
또한, WF6 가스와 SiH4 가스를 교대로 공급하는 ALD법의 경우는, 베이스의 배리어층의 어택이 억제될 가능성도 있지만, 핵 부착층으로서의 초기 텅스텐막은 실리콘을 함유하고, 이 위에 퇴적하는 주된 텅스텐막의 저항율이 증대하여 버린다고 하는 단점이 생긴다.
그런데, 종래는 상기 텅스텐막에서 콘택트(비어) 홀의 구멍을 메운뒤에는 에칭 백에 의해 평탄화하는 것이 일반적이지만, 더한 미세화, 다층화에 의해 최근에는 콘택트 홀 설치의 후공정에서 CMP 처리에 의해 평탄화하는 수법이 다용되게 되어 있다. 한편, 상술의 WF6 가스와 B2H6 가스를 교대로 공급하는 ALD법을 이용한 종래의 초기 텅스텐막 및 주텅스텐막과의 적층막에서는 그 저항율은 충분히 낮게 되는 것이지만, 그 반면 TiN 등으로 이루어지는 배리어층과의 밀착성이 저하되어 버리는 경향이 있다. 이 때문에, 종래의 텅스텐막 형성공정후의 공정에서 상기와 같은 CMP 처리를 실시하여 텅스텐막의 불필요 부분을 제거하는 때는, CMP 장치의 패드가 웨이퍼에 가하는 응력에 기인하여, 슬러리(연마용제)가 텅스텐막과 배리어층의 경계에 침입하여, 텅스텐막이 콘택트 구멍에서 탈리하여 버린다고 하는 문제도 있었다.
본 발명은, 이러한 문제에 감안하여 이루어진 것으로, 그 목적으로 하는 것은, 저항율을 작게 유지하면서, 특히, 베이스의 배리어층과의 경계부분의 불소 농 도를 저감하고, 볼케이노의 발생을 억제할 수 있을 뿐만 아니라, 배리어층과의 밀착성을 향상시킬 수 있는 텅스텐막의 형성방법 등을 제공하는 것에 있다.
과제를 해결하기 위한 수단
상기 과제를 해결하기 위해, 본 발명의 관점에 의하면, 진공 흡인 가능하게 구성된 처리용기내에서 피처리체의 표면에 텅스텐막을 형성하는 방법으로서, 상기 피처리체에 실리콘 함유 가스를 공급하는 공정과, 상기 공정후에 텅스텐 함유 가스를 공급하는 텅스텐 함유 가스 공급 스텝과 실리콘을 포함하지 않는 수소 화합물 가스를 공급하는 수소 화합물 가스 공급 스텝을, 양 스텝사이에 상기 처리용기내에 불활성 가스를 공급하는 퍼지 스텝 및/또는 상기 처리용기를 진공 흡인하는 진공 흡인 스텝을 개재시켜, 교대로 반복 실행함으로써 제 1의 텅스텐막을 형성하는 공정을 갖는 것을 특징으로 하는 텅스텐막의 형성방법이 제공된다.
이러한 본 발명에 의하면, 텅스텐막을 형성하는 것에 있어서, 초기 텅스텐막 형성공정에 앞서 행하는 초기공정(전처리공정)에서는 실리콘 함유 가스를 이용하고, 그 후의 초기 텅스텐막 형성공정에서는, 텅스텐 함유 가스와 실리콘을 포함하지 않는 수소 화합물 가스를 교대로 반복 공급함으로써 초기 텅스텐막을 형성하도록 했기 때문에, 더욱이 그 후에 형성되는 주텅스텐막도 포함하여, 그 저항율을 작게 할 수 있다. 또한 텅스텐막의 베이스인 배리어층과의 경계 부분의 불소 농도를 저감하여, 배리어층에의 불소의 확산 및 돌발을 억제할 수 있다. 이 결과, 볼케이노의 발생을 억제할 수 있고, 베이스의 배리어층과의 밀착성을 향상시킬 수 있다.
이 경우, 더욱이 상기 제 1의 텅스텐막 상에 상기 텅스텐 함유 가스와 환원 성 가스를 동시에 공급함으로써, 제 2의 텅스텐막을 형성하는 공정을 갖도록 할 수도 있다. 또한 상기 제 1의 텅스텐막 형성공정과 상기 제 2의 텅스텐막 형성공정은 예컨대 동일 처리용기내에서 실행된다. 또한, 상기 실리콘 함유 가스는, 예컨대 모노실레인, 다이실레인, 유기실레인으로부터 선택된다.
또한, 상기 실리콘을 포함하지 않는 수소 화합물 가스는, 예컨대 다이보레인 또는 포스핀이다. 또한, 상기 실리콘을 포함하지 않는 수소 화합물 가스는, 예컨대 수소 희석 다이보레인 가스이다. 또한, 상기 환원성 가스는, 예컨대 수소이다. 또한, 상기 텅스텐 함유 가스는, 예컨대 WF6이다. 또한, 상기 피처리체의 표면에는 예컨대 TiN 막을 포함하는 배리어층이 형성되어 있다.
상기 과제를 해결하기 위해, 본 발명의 별도의 관점에 의하면, 진공 흡인 가능하게 구성된 처리용기내에서, 콘택트 홀이 형성된 피처리체에 실리콘 함유 가스를 공급하는 공정과, 상기 공정후에 텅스텐 함유 가스를 공급하는 텅스텐 함유 가스 공급 스텝과 실리콘을 포함하지 않는 수소 화합물 가스를 공급하는 수소 화합물 가스 공급 스텝을, 양 스텝사이에 상기 처리용기내에 불활성 가스를 공급하는 퍼지 스텝 및/또는 상기 처리용기를 진공 흡인하는 진공 흡인 스텝을 개재시켜, 교대로 반복 실행함으로써 제 1의 텅스텐막을 형성하는 공정과, 상기 제 1의 텅스텐막 상에 상기 텅스텐 함유 가스와 환원성 가스를 동시에 공급함으로써, 더욱이 제 2의 텅스텐막을 형성하여 상기 콘택트 홀을 설치하는 공정과, 상기 제 2의 텅스텐막 형성후에 상기 피처리체의 표면에 화학기계 연마처리(예컨대 CMP: Chemical Mechanical Polishing)를 실시함으로써 콘택트 플러그를 형성하는 공정을 갖는 것을 특징으로 하는 텅스텐막 형성방법이 제공된다.
상기 과제를 해결하기 위해, 본 발명의 별도의 관점에 의하면, 진공 흡인 가능하게 구성된 처리용기와, 상기 처리용기내에 설치되어, 피처리체를 탑재하기 위한 탑재대와, 상기 피처리체를 가열하기 위한 가열수단과, 상기 처리용기내에, 적어도 실리콘수소 함유 가스와, 텅스텐 함유 가스와, 실리콘을 포함하지 않는 수소 화합물 가스를 공급가능한 가스 공급수단과, 상기 탑재대에 탑재된 피처리체의 표면에 텅스텐막을 형성할 때에, 상기 가스 공급수단에 의해 상기 피처리체에 실리콘 함유 가스를 공급하는 공정과, 상기 공정후에 상기 가스 공급수단에 의해 텅스텐 함유 가스를 공급하는 텅스텐 함유 가스 공급 스텝과 상기 가스 공급수단에 의해 실리콘을 포함하지 않는 수소 화합물 가스를 공급하는 수소 화합물 가스 공급 스텝을, 양 스텝사이에 상기 처리용기내에 불활성 가스를 공급하는 퍼지 스텝및/또는 상기 처리용기를 진공 흡인하는 진공 흡인 스텝을 개재시켜, 교대로 반복 실행함으로써 제 1의 텅스텐막을 형성하는 공정을 실행하는 제어부를 구비한 것을 특징으로 하는 성막장치가 제공된다.
상기 과제를 해결하기 위해, 본 발명의 별도의 관점에 의하면, 진공 흡인 가능하게 구성된 처리용기와, 상기 처리용기내에 설치되어, 피처리체를 탑재하기 위한 탑재대와, 상기 피처리체를 가열하기 위한 가열수단과, 상기 처리용기내에, 적어도 실리콘수소 함유 가스와, 텅스텐 함유 가스와, 실리콘을 포함하지 않는 수소 화합물 가스를 공급하는 가스 공급수단을 구비하는 성막장치를 제어하여, 컴퓨터 에, 상기 처리용기내의 상기 피처리체에 대하여 텅스텐막 형성처리를 실행시키기 위한 프로그램을 기억한 컴퓨터 읽기 가능한 기억매체로서, 상기 텅스텐막 형성처리는, 상기 가스 공급수단에 의해 상기 피처리체에 실리콘 함유 가스를 공급하는 공정과, 상기 공정후에 상기 가스 공급수단에 의해 텅스텐 함유 가스를 공급하는 텅스텐 함유 가스 공급 스텝과 상기 가스 공급수단에 의해 실리콘을 포함하지 않는 수소 화합물 가스를 공급하는 수소 화합물 가스 공급 스텝을, 양 스텝사이에 상기 처리용기내에 불활성 가스를 공급하는 퍼지 스텝 및/또는 상기 처리용기를 진공 흡인하는 진공 흡인 스텝을 개재시켜, 교대로 반복 실행함으로써 제 1의 텅스텐막을 형성하는 공정을 갖는 것을 특징으로 하는 기억매체가 제공된다.
상기 과제를 해결하기 위해, 본 발명의 별도의 관점에 의하면, 진공 흡인 가능하게 구성된 처리용기내에서, 콘택트 홀이 형성된 피처리체에 실리콘 함유 가스를 공급하는 공정과, 상기 공정후에 텅스텐 함유 가스를 공급하는 텅스텐 함유 가스 공급 스텝과 실리콘을 포함하지 않는 수소 화합물 가스를 공급하는 수소 화합물 가스 공급 스텝을, 양 스텝사이에 상기 처리용기내에 불활성 가스를 공급하는 퍼지 스텝 및/또는 상기 처리용기를 진공 흡인하는 진공 흡인 스텝을 개재시켜, 교대로 반복 실행함으로써 제 1의 텅스텐막을 형성하는 공정과, 상기 제 1의 텅스텐막 상에 상기 텅스텐 함유 가스와 환원성 가스를 동시에 공급함으로써, 더욱이 제 2의 텅스텐막을 형성하여 상기 콘택트 홀을 설치하는 공정과, 상기 제 2의 텅스텐막 형성후에 상기 피처리체의 표면에 화학기계 연마처리를 실시하는 것에 따라 콘택트 플러그를 형성하는 공정을 갖는 방법에 의해 형성된 콘택트 플러그를 구비하는 것 을 특징으로 하는 반도체 장치가 제공된다.
발명의 효과
본 발명에 의하면, 텅스텐막을 형성할 때에, 초기 텅스텐막 형성공정에 앞서, 실리콘 함유 가스를 공급하는 공정을 행하고, 그 후의 초기 텅스텐막 형성공정에서 텅스텐 함유 가스와 실리콘을 포함하지 않는 수소 화합물 가스를 교대로 반복 공급함으로써 초기 텅스텐막을 형성하도록 했기 때문에, 이 후에 형성되는 주텅스텐막도 포함시켜, 그 저항율을 작게 할 수 있다. 또한 텅스텐막의 베이스인 배리어층과의 경계 부분의 불소 농도를 저감하여, 배리어층에 불소의 확산 및 돌발을 억제할 수 있다. 이것에 의해, 볼케이노의 발생을 억제할 수 있고, 베이스의 배리어층과의 밀착성을 보다 향상시킬 수 있다.
도 1은 본 발명의 실시 형태에 따른 텅스텐막 형성방법을 실시하는 성막장치의 일예를 나타내는 단면구성도이다.
도 2는 동실시 형태에 있어서 텅스텐막을 형성할 때의 각 가스의 공급태양을 나타내는 그림이다.
도 3A는 웨이퍼 표면에 텅스텐막을 형성하는 공정을 설명하기 위한 모식도이다.
도 3B는 웨이퍼 표면에 텅스텐막을 형성하는 공정을 설명하기 위한 모식도이다.
도 3C는 웨이퍼 표면에 텅스텐막을 형성하는 공정을 설명하기 위한 모식도이 다.
도 3D는 웨이퍼 표면에 텅스텐막을 형성하는 공정을 설명하기 위한 모식도이다.
도 3E는 웨이퍼 표면에 텅스텐막을 형성하는 공정을 설명하기 위한 모식도이다.
도 4는 초기 텅스텐막과 주텅스텐막을 포함하는 텅스텐막 전체의 저항율을 나타내는 그래프이다.
도 5A는 종래 방법에 의한 초기 텅스텐막과 주텅스텐막을 포함하는 텅스텐막 전체의 저항율을 나타내는 그래프이다.
도 5B는 본 발명 방법에 의한 초기 텅스텐막과 주텅스텐막을 포함하는 텅스텐막 전체의 저항율을 나타내는 그래프이다.
도 6은 텅스텐막이 형성된 웨이퍼의 깊이 방향에서의 불소 농도의 분포를 나타내는 그래프이다.
도 7은 텅스텐막이 형성된 웨이퍼의 깊이 방향에서의 불소 농도의 분포를 나타내는 제 2 그래프이다.
도 8A는 종래 방법에 의한 경우의 볼케이노의 발생의 유무를 나타내는 도면대용 사진이다.
도 8B는 본 발명 방법에 의한 경우의 볼케이노의 발생의 유무를 나타내는 도면대용 사진이다.
도 9A는 종래 방법에 의해 배리어층상에 형성된 초기 텅스텐막과 주텅스텐막 의 적층막의 밀착성을 평가했을 때의 평가 결과를 나타내는 그림이다.
도 9B는 본 발명 방법에 의해 배리어층상에 형성된 초기 텅스텐막과 주텅스텐막의 적층막의 밀착성을 평가했을 때의 평가 결과를 나타내는 그림이다.
도 10A는 볼케이노 발생의 메커니즘을 설명하기 위한 모식도이다.
도 10B는 볼케이노 발생의 메커니즘을 설명하기 위한 모식도이다.
도 10C는 볼케이노 발생의 메커니즘을 설명하기 위한 모식도이다.
도 11A는 종래의 텅스텐막의 형성방법에 있어서의 각 가스의 공급형태의 일례를 나타내는 그림이다.
도 11B는 종래의 텅스텐막의 형성방법에 있어서의 각 가스의 공급형태의 다른 예를 나타내는 그림이다.
도 12A는 도 11A에 나타내는 가스의 공급형태에서 형성되는 텅스텐에 의해 설치 구멍을 설치한 때의 공정을 설명하기 위한 모식도이다.
도 12B는 도 11A에 나타내는 가스의 공급형태에서 형성되는 텅스텐에 의해 설치 구멍을 설치한 때의 공정을 설명하기 위한 모식도이다.
도 12C는 도 11A에 나타내는 가스의 공급형태에서 형성되는 텅스텐에 의해 설치 구멍을 설치한 때의 공정을 설명하기 위한 모식도이다.
도 13은 종래 기술에 의해 텅스텐막을 퇴적한 때의 볼케이노의 발생상황을 나타내는 전자 현미경 사진이다.
부호의 설명
13 성막장치
14 처리용기
16 샤워 헤드부(가스 공급수단)
18 시일부재
20 가스분사구
22 리플렉터
24 유지부재
26 탑재대
28 리프터 핀
30 링부재
32 상승 막대
34 리프터 핀 구멍
36 벨로우즈
38 액취에이터
40 배기구
42 압력제어변
46 진공 배기계
48 게이트 밸브
50 시일부재
51 투과창
52 가열실
54 가열램프(가열수단)
56 회전대
58 회전 모터
60 제어부
62 기억매체
72 설치 구멍
74 배리어층
76 분해중간체
80 초기 텅스텐막(제 1의 텅스텐막)
82 주텅스텐막(제 2의 텅스텐막)
83 콘택트 플러그
M 웨이퍼(피처리체)
발명을 실시하기 위한 최선의 형태
이하에 첨부도면을 참조하면서, 본 발명의 바람직한 실시의 형태에 관하여 구체적으로 설명한다. 또, 본 명세서 및 도면에 있어서, 실질적으로 동일한 기능 구성을 갖는 구성 요소에 관해서는, 동일한 부호를 붙임으로써 중복 설명을 생략한다.
(성막장치의 구성예)
도 1은 본 발명의 실시 형태에 따른 텅스텐막 형성방법을 실시가능한 성막장치의 구성예를 나타내는 단면구성도이다. 도 1에 나타낸 바와 같이, 성막장치(13)는, 예컨대 단면이 대략 원통형상의 알루미늄제의 처리용기(14)를 갖고 있다. 처리용기(14)내의 천장부에는 유량제어된 처리가스로서 예컨대 각종 성막 가스나 불활성 가스등을 동시에, 또는 선택적으로 도입하기 위한 가스 공급수단으로서의 샤워헤드부(16)가 O링 등의 시일부재(18)를 통해서 설치되어 있고, 이 하면에 설치한 다수의 가스분사구(20)로부터 처리공간(S)을 향해 성막 가스를 분사하도록 되어 있다.
이 샤워 헤드부(16)내에는, 복수의 확산 구멍을 갖는 1장, 또는 복수장의 확산판을 설치하고, 여기에 도입된 가스의 확산을 촉진하도록 한 구조의 것도 있고, 또는 내부를 복수의 구획실로 분할하고, 각각 별도로 도입한 가스를 별도로 처리공간(S)로 분사하도록 한 구조의 것도 있고, 어느 것이라도 사용하는 가스종에 따라 적절한 구조의 샤워 헤드부를 이용한다. 또한, 여기서는 일례로서 B2H6(다이보레인) 가스, WF6 가스, SiH4(모노실레인) 가스, H2 가스, N2 가스, Ar 가스 등이 사용되지만, 각 가스는 각각 매스 플로우 컨트롤과 같은 유량제어기(도시하지 않음)로 유량이 개별로 제어되고, 또한 그 공급의 개시 및 정지도 제어되도록 되어 있다. 또한, 상기 B2H6 가스로서는, 예컨대 H2를 희석 가스(베이스 가스)로서 5%로 희석한 B2H6 가스가 사용된다.
이 처리용기(14)내에는, 처리용기 저부로부터 기립시킨 원통상의 리플렉터(22) 상에, 예컨대 L자상의 3개의 유지부재(24)(도 1에서는 2개만 기록한다)를 통해서 피처리체로서의 웨이퍼(M)를 탑재하기 위한 탑재대(26)가 설치되어 있다.
이 탑재대(26)의 아래쪽에는, 복수개, 예컨대 3개의 L자상의 리프터 핀(28)(도시예에서는 2개만 기록한다)이 상방으로 기립되어 설치되어 있고, 이 리프터 핀(28)의 기부는, 상기 리플렉터(22)에 형성한 세로 길이 삽통 구멍(도시하지 않음)을 삽통하고, 링부재(30)에 공통으로 접속되어 있다. 그리고, 이 링부재(30)를 처리용기 저부에 관통하여 설치된 밀어 올림 막대(32)에 의해 상하 움직임으로써, 상기 리프터 핀(28)을 탑재대(26)에 관통시켜 설치한 리프터 핀 구멍(34)에 삽통시켜 웨이퍼(M)를 들어 올릴 수 있도록 되어 있다.
상기 밀어 올림 막대(32)의 용기 저부의 관통부에는, 처리용기(14)에 있어서 내부의 기밀상태를 유지하기 위해 신축가능한 벨로우즈(36)가 설치되고, 이 밀어 올림 막대(32)의 하단은 액취에이터(38)에 접속되어 있다.
또한, 처리용기(14)의 저부의 주연부에는, 배기구(40)가 설치되고, 이 배기구(40)에는 압력 제어변(42) 및 진공펌프(44)를 순서대로 설치한 진공 배기계(46)가 접속되어 있고, 처리용기(14)내를 소정의 진공도까지 진공 흡인할 수 있게 되어 있다. 또한, 처리용기(14)의 측벽에는, 웨이퍼(M)를 반출입할 때에 개폐되는 게이트 벨브(48)가 설치된다.
또한, 탑재대(26)의 직하의 용기 저부에는, 석영 등의 열선 투과 재료로 이루어지는 투과창(51)이 O링 등의 시일부재(50)를 통해서 기밀하게 설치되어 있고, 이 아래쪽으로는, 투과창(51)을 둘러싸도록 상자상의 가열실(52)이 설치되어 있다. 이 가열실(52)내에는 가열수단으로서 예컨대 복수의 가열램프(54)가 반사경도 겸하는 회전대(56)에 부착되어 있고, 이 회전대(56)는, 회전축을 통해서 가열실(52)의 저부에 설치한 회전 모터(58)에 의해 회전된다. 따라서, 이 가열램프(54)로부터 방출된 열선은, 투과창(51)을 투과하여 얇은 탑재대(26)의 하면을 조사하여 이것을 가열하고, 또한 이 탑재대(26)상의 웨이퍼(M)를 간접적으로 가열할 수 있게 되어 있다. 또, 가열수단으로서는 상기 가열램프 대신에, 탑재대(26)에 저항 가열 히터를 설치하여 웨이퍼(M)를 가열하도록 할 수도 있다.
그리고, 이 성막장치(13)의 전체의 동작을 제어하기 위해 예컨대 마이크로컴퓨터 등으로 이루어지는 제어부(60)가 설치되어 있다. 이 제어부(60)에 의해, 각종 가스의 공급개시, 그 정지, 유량제어, 웨이퍼의 온도제어 및 압력제어 등의 성막처리에 필요한 일련의 제어가 행해진다. 또한, 이 제어부(60)는, 상기한 장치 전체의 동작을 제어하여 후술하는 텅스텐막 형성처리 등을 실행하기 위한 프로그램을 기억하기 위한 예컨대 플로피(등록상표) 디스크나 플래쉬 메모리 등으로 이루어지는 기억매체(62)를 갖고 있다.
(성막장치의 동작예)
다음으로, 상기한 바와 같이 구성된 성막장치의 동작예에 대하여 설명한다. 성막장치(13)의 각 동작은, 상술한 바와 같이 기억매체(62)에 기억된 프로그램에 따라서 행해진다. 우선, 처리용기(14)의 측벽에 설치한 게이트 밸브(48)를 열어 도시하지 않은 반송아암에 의해 처리용기(14)내에 웨이퍼(M)를 반입하고, 리프터 핀(28)을 밀어 올림으로써 웨이퍼(M)를 리프터 핀(28)측에 주게 된다. 그리고, 리프터 핀(28)을, 밀어 올림 막대(32)를 내림으로써 강하시켜, 웨이퍼(M)을 탑재대(26) 상에 탑재한다. 이 웨이퍼(M)의 표면에는, 예컨대 도 3A에 나타낸 바와 같이 설치 구멍(72)의 내면도 포함시켜 전공정에서 이미 베이스층으로서 TiN/Ti 막과 같은 배리어층(74)이 형성되어 있다. 이 배리어층(74)은, 상기 TiN/Ti 막과 같은 적층구조의 것에 한정되는 것은 아니고, 예컨대 TiN 막의 단층구조일 수도 있다.
이어서, 도시하지 않은 처리가스원으로부터 처리가스로서 소정의 성막 가스나 불활성 가스 등을, 후술하는 바와 같은 가스 공급 태양에서 가스 공급수단으로서의 샤워 헤드부(16)로 소정량씩 공급하고, 이것을 하면의 가스 분사구(20)로부터 처리용기(14)내로 대략 균등하게 공급한다. 이와 동시에, 배기구(40)로부터 내부 분위기를 흡인 배기함으로써 처리용기(14)내를 소망하는 압력으로 진공 흡인하면서, 또한 탑재대(26)의 아래쪽에 위치하는 가열수단의 각 가열램프(54)를 회전시키면서 구동하여, 열에너지를 방사한다.
방사된 열선은, 투과창(51)을 투과한 후, 탑재대(26)의 이면을 조사하여 이것을 가열한다. 이 탑재대(26)는, 전술하는 바와 같이 예컨대 1mm 정도로 매우 얇기 때문에 신속히 가열되고, 따라서, 이 위에 탑재하여 놓은 웨이퍼(M)를 신속히 소정의 온도까지 가열할 수 있다. 공급된 성막 가스는 소정의 화학반응을 일으키고, 텅스텐막의 박막이 웨이퍼 표면의 전면에 퇴적되어 형성된다.
(텅스텐막 형성방법의 구체예)
다음으로, 본 실시 형태에 따른 텅스텐막 형성방법(텅스텐막 형성처리) 및 각 가스의 공급태양의 구체예를 도면을 참조하면서 설명한다. 도 2는 각 가스의 공급태양을 나타내는 그림이며, 도 3은 웨이퍼(M)의 표면에 텅스텐막이 형성되는 과정을 나타내는 모식도이다. 도 2에 나타내는 가스 공급태양에 있어서는, 일련의 성막 스텝의 사이, 처리용기(14)내를 연속적으로 진공 흡인하는 동시에, 불활성 가스로서 예컨대 Ar, N2 가스를 일정한 유량으로(또는 필요에 따라 유량을 바꿔) 연속적으로 공급하고, 또한 N2 가스를 용기내에 잔류하는 성막 가스의 퍼지가스로서 필요에 따라 공급한다.
본 실시 형태에 따른 텅스텐막 형성방법(텅스텐막 형성처리)은, 초기 텅스텐막을 형성하기에 앞서 전처리 공정으로서 행하는 초기 공정과, 제 1의 텅스텐막인 초기 텅스텐막을 형성하는 초기 텅스텐막 형성공정과, 이 초기 텅스텐막 형성 공정후에, 제 2의 텅스텐막인 주텅스텐막을 형성하는 주텅스텐막 형성공정을, 이 순서로 순차적으로 행하게 되어 있다.
구체적으로는, 도 2에 나타내는 가스 공급 태양과 같이, 예컨대 초기 공정에서 웨이퍼 상에 실리콘 함유 가스를 공급한다. 이 초기 공정후에, 초기 텅스텐막 형성공정에서 텅스텐 함유 가스를 공급하는 텅스텐 함유 가스 공급 스텝과 실리콘을 포함하지 않는 수소 화합물 가스를 공급하는 수소 화합물 가스 공급 스텝을, 양 스텝사이에 상기 처리용기내의 분위기(잔류 가스)를 배기 또는 치환하는 퍼지 스텝을 개재시켜 교대로 반복함으로써, 초기 텅스텐막을 형성한다. 계속해서, 주텅스텐막 형성공정에서 상기 텅스텐 함유 가스와 환원성 가스를 동시에 공급함으로써 주텅스텐막을 형성한다.
또, 상기 퍼지 스텝은, 각 공정을 이행하는 때에도 행하고, 용기내에 잔류하는 성막 가스를 배기하도록 되어 있다. 여기서는, 텅스텐 함유 가스로서는 WF6 가스를 이용하고, 실리콘 함유 가스로서는 SiH4 가스를 이용하고, 실리콘을 포함하지 않는 수소 화합물 가스로서는 B2H6 가스를 이용하고 있다. 또한 일련의 공정사이, 용기내는 연속적으로 진공 흡인되는 동시에, N2 가스나 Ar 가스를 캐리어 가스 또는 퍼지 가스로서 흘리고, 이들의 가스 공급은, 퍼지 스텝에 있어서의 대략 중간기간 T4에 있어서 완전히 정지하고, 이 때는 진공 흡인만이 계속적으로 행해져 용기내의 잔류 가스가 대략 완전히 배제된다. 따라서, 이 기간 T4에서는 용기내 압력이 가장 저하된다. 이하, 초기 공정, 초기 텅스텐막 형성공정, 주텅스텐막 형성공정에 관하여 구체적으로 설명한다.
(초기 공정)
우선, 도 3A에 나타내는 바와 같은 웨이퍼(M)에 대하여 전처리 공정으로서 초기 공정(초기 처리)을 실행한다. 초기 공정에서는, 상술한 바와 같이 실리콘 함유 가스로서 SiH4 가스를 임의의 정도의 시간만 연속적으로 공급하여 흘린다. 이것에 의해, 도 3B에 나타낸 바와 같이 웨이퍼(M)의 배리어층(74)의 표면에, Si-, SiH-, SiHx(0≤x<4) 등의 분해 중간체(76)를 부착시킨다. 이 초기 공정에 의해, TiN 막 등으로 이루어지는 배리어층(74)의 표면의 전기음성도가 개선되어, 후속하는 성막 가스 등의 흡착성이 개선된다.
초기 공정에 있어서의 처리조건으로서는, 예컨대 아래와 같이 설정한다. 즉, 프로세스 압력(처리실내 압력)은, 초기 공정에 후속하는 초기 텅스텐막 형성공정에서의 프로세스 압력보다도 높게 설정하고, 예컨대 10666Pa(80Torr) 정도로 설정한다. 또한, SiH4 가스의 유량은 300mm 웨이퍼의 경우는 예컨대 700sccm 정도로 설정한다. 또한, 초기 공정의 처리시간은 SiH4 가스의 유량과 분압에 의존한다. 예컨대 15sec 정도로 설정된다. 또한 프로세스 온도는, 300℃ 내지 400℃의 범위내에서 설정된다. 예컨대 350℃로 설정된다. 프로세스 온도는, 최후의 주텅스텐막 형성공정까지, 예컨대 바꾸는 일없이 동일하게 설정할 수 있다.
(초기 텅스텐막 형성공정)
이어서, 초기 텅스텐막 형성공정을 실행한다. 초기 텅스텐막 형성공정에서는, 상술한 바와 같이 WF6 가스와 B2H6 가스를 이 순서로 교대로 단시간씩 반복 공급하고, 또한 양 가스의 공급 스텝 사이에서는 직전에 공급한 가스를 용기내에서 배제하는 퍼지 스텝을 행한다. 이 퍼지 스텝시에는, 퍼지 가스로서 예컨대 불활성 가스인 N2 가스를 공급함으로써, 잔류 가스의 배제를 촉진하는 것이 바람직하다.
WF6 가스 공급 스텝에서 웨이퍼 표면에 흡착한 WF6 가스 분자층을 다음 스텝으로 공급하는 B2H6 가스에 의해 환원하여, 1회의 교대 공급에 관하여 수원자층의 텅스텐막을 성장시킨다. 이것을 임의의 회수 반복하여, 도 3C에 나타낸 바와 같이 원하는 막 두께의 초기 텅스텐막(80)을 형성한다.
이 때, WF6 가스의 유량은 300mm 웨이퍼의 경우는 예컨대 160sccm 정도로 설정한다. 또, WF6 가스를 흘리는 때에는, N2 가스나 Ar 가스를 캐리어 가스로서 이용하는 것이 바람직하다. 또한 B2H6 가스의 유량은 예컨대 1000sccm 정도로 설정한다. 이 때, Ar을 캐리어 가스로서 이용하도록 하여도 좋다.
또, 여기서는 H2를 베이스 가스로서 5%로 희석한 B2H6 가스를 사용하고 있다. 그 이유는, 이하와 같이 생각된다. 즉, B2H6 가스는 불안정한 가스이며, 용이하게 중합하여 안정한 데카보레인으로 된다. 그리고, 이 생성된 데카보레인 미립자가 공급라인의 경로로 응집하여, 안정 공급를 할 수 없게 되거나, 또한, 미립자가 발생하거나 하는 경우도 있다. 따라서, B2H6 가스는 중합을 억제하는 H2를 베이스 가스로서 희석하여 봄베에 충전한 뒤에, 가스 공급에 사용하는 것이 바람직하다. 그리고, 프로세스 압력은, 상기 초기 공정의 경우보다도 아득히 낮은 예컨대 1000Pa 이하가 바람직하다. 또한, 어떤 WF6 가스 공급 스텝으로부터 다음 WF6 가스 공급 스텝까지의 기간을 1사이클로 하고, 필요에 따라 수사이클로부터 수십사이클 정도의 처리를 행한다.
여기서, WF6 가스 공급 스텝(텅스텐 함유 가스 공급 스텝)의 시간 T1은 1.5sec 정도이며, B2H6 가스 공급 스텝(수소 화합물 가스 공급 스텝)의 시간 T2는 3sec 정도이다. 또한, 퍼지 스텝의 시간 T3은 1.5sec 정도이다. 또, 이들 시간은 한정되는 것은 아니다. 또한, 이 때의 1사이클당 성막 속도는 프로세스 조건에 의해서도 다르지만, 예컨대 0.7 내지 1.2nm 정도이며, 통상은 초기 텅스텐막의 막 두께는 6 내지 7nm로 설정한다. 이렇게 해서, 초기 텅스텐막 형성공정이 종료했으면, 다음으로 주텅스텐막 형성공정으로 이행한다.
(주텅스텐막 형성공정)
다음으로, 주텅스텐막 형성공정을 실행한다. 주텅스텐막 형성공정은, 예컨대 WF6 가스와 환원성 가스인 H2 가스를 동시에 공급하여, 높은 성막 속도로 CVD법에 의해 주텅스텐막(82)을 퇴적시켜, 도 3D에 나타낸 바와 같이 설치 구멍(72)을 완전히 설치한다. 이 때의 WF6 가스의 유량은, 300mm 웨이퍼의 경우는, 예컨대 200 내지 350sccm 정도이며, 또한 H2 가스의 유량은 예컨대 2200sccm 정도이다. 또한 프로세스 압력은 10666Pa 정도이다. 또한 이 때의 성막 속도는, 프로세스 조건에도 의하지만, 예컨대 170 내지 240nm/min 정도이다.
이상과 같이, 주텅스텐막 형성공정이 종료하면, 웨이퍼(M)를 성막장치로부터 취득하고, 이것에 CMP(화학기계연마) 처리를 함으로써, 도 3E에 나타낸 바와 같이 평면을 평탄화하여 여분인 텅스텐막이나 배리어층을 제거하고, 콘택트 플러그(83)를 형성한다. 그리고, 이것 이후는, 소정의 처리가 행해져 반도체 디바이스(반도체 장치)가 제조된다.
(텅스텐막의 저항율의 평가)
다음으로, 상기와 같은 본 발명 방법에 의해 형성한 초기 텅스텐막과 주텅스텐막을 포함하는 텅스텐막 전체의 저항율에 대하여 평가를 행한 결과에 관하여, 종래 방법에 의해 형성한 텅스텐막 전체의 저항율과 비교하면서 설명한다. 도 4는 본 발명 방법에 의해 형성한 텅스텐막 전체의 저항율을 나타내는 그래프 y1을 나타내고 있다. 또한 도 4에는 종래 방법에 의해 형성한 텅스텐막 전체의 저항율을 나타내는 그래프 y2도 더불어 기재하고 있다. 여기서는, 본 발명 방법으로서는, 그 일예로서 상술한 바와 같이 SiH4 가스를 공급하여 행하는 초기 공정, WF6 가스와 B2H6 가스를 교대로 공급하여 행하는 ALD에 의한 초기 텅스텐막 형성공정, 주텅스텐막 형성공정을 연속하여 행하는 방법을 실행했다. 또한, 종래 방법으로서는, 상술한 바와 같이, WF6 가스와 B2H6 가스를 교대로 공급하여 행하는 ALD에 의한 초기 텅스텐막 형성공정, 주텅스텐막 형성공정을 연속하여 행하는 방법을 실행했다. 또, 상술한 바와 같이 초기 텅스텐막의 두께는 6 내지 7nm 정도이기 때문에, 도 4에 나타내는 가로축의 두께는 거의 주텅스텐막의 두께로 되어있다.
이 그래프 y1, y2로부터도 분명한 바와 같이, 본 발명 방법 및 종래 방법은, 공히 두께가 증가할수록, 저항율이 저하되어 있지만, 동일 두께의 부분에서는 종래 방법보다도 본 발명 방법쪽이 항상 1 내지 3μΩcm 정도 낮게 되어 있고, 이 결과, 본 발명 방법에서 초기 텅스텐막을 형성한 경우에는, 이 위에 퇴적하는 주텅스텐막의 저항율을 저하시킬 수 있는 것을 확인할 수 있었다. 이 이유는, 예컨대 이하와 같이 생각된다. 즉, 주텅스텐막(82)의 핵 부착층으로 되는 초기 텅스텐막(80)이 SiH4 가스를 공급하여 행하는 초기 공정에 의해 비정질로 되고, 이 상태가 주텅스텐막(82)의 성장에도 영향을 준다. 이 주텅스텐막(82)의 그레인 사이즈(결정입경)가, 종래 방법에서 형성한 주텅스텐막(10)보다도 높게 유지되어 저항율이 내려가는 것으로 추론할 수 있다.
이것을 검증하기 위해, 결정성을 변경한 초기 텅스텐막에 주텅스텐막을 퇴적시켜, 주텅스텐막의 단면을 SEM(전자 현미경)으로 관찰했다. 도 5A, 도 5B는 각각 본 발명 방법과 종래 방법으로 형성한 초기 텅스텐막의 X선 회절분석(XRD) 결과와, 각각의 주텅스텐막의 단면 SEM 사진이다. 또, 도 5에서는 이해를 쉽게 하기 위해 부분적인 모식도를 각 단면 SEM 사진의 하측에 병기하고 있다. 도 5A는 종래 방법에 의한 표준 프로세스를 나타내고, 도 5B는 본 발명 방법에 의한 개선 프로세스를 나타내고 있다.
또한, 도 5A, 도 5B의 MRD 결과에 있어서, Si(200), Si(400)는 기판의 결정성을 나타내는 피크이며, α(110), α(200)가 초기 텅스텐막의 결정성을 나타내는 피크이다. 이것에 의하면, 본 발명 방법에 의한 프로세스를 이용하면 초기 텅스텐막은, α(200)가 소실하고, α(110)가 넓게 되어, 비정질 상태에 가까이 가고 있는 것을 이해할 수 있다. 그리고, ALD에 의해 형성한 초기 텅스텐막의 XRD 결과로부터 초기 텅스텐막이 비정질로 될 수록, 주텅스텐막의 그레인(결정입자)이 커지는 것을 알 수 있다. 일반적으로 텅스텐막은 그레인 사이즈가 커질수록 저항율이 내려가는 것으로 알려져 있기 때문에, 본 발명 방법에 의한 개선 프로세스를 이용한 쪽이 종래 방법에 의한 표준 프로세스를 이용한 경우에 비해 텅스텐막 전체의 저항율이 저하되어 있는 것을 알 수 있다.
(불소 농도의 평가)
다음으로, 텅스텐막과 배리어층의 경계 부분에 있어서의 불소 농도에 대하여 평가를 행한 결과에 대하여 설명한다. 도 6은 텅스텐막이 형성된 웨이퍼의 깊이 방향에서의 불소 농도의 분포를 SIMS(2차 이온 질량 분석법)에 의해 측정한 결과이다. 여기서는 경계 부분의 불소 농도의 차이를 명료히 하기 위해 실제보다도 약 5배 정도 초기 텅스텐막을 두껍게 형성하여 그 평가를 행하고 있다.
또, 본 평가에 있어서의 초기 텅스텐막 형성공정에서는 WF6 가스와 B2H6 가스의 교대 공급 스텝사이의 퍼지 스텝에서는 퍼지 가스 유량 및 압력을 일정하게 하고 있다. 이 도 6으로부터 분명한 바와 같이, 텅스텐막과 배리어층의 경계 부분에 있어서, 본 발명 방법의 불소 농도는 종래 방법의 경우보다도 1자리수 정도 낮고, 양호한 결과를 나타내고 있는 것을 확인할 수 있었다.
여기서, 기판 전면의 볼케이노 발생의 상태를 광학 현미경에 의해 관찰한 도면대용 사진을 도 8A, 도 8B에 나타낸다. 도 8A는 종래 방법에 의해 처리한 웨이퍼의 경우이며, 도 8B는 본 발명 방법에 의해 처리한 웨이퍼의 경우이다. 도 8A, 도 8B에 있어서는, 본 발명의 효과의 이해를 쉽게 하기위해 모식도를 병기하고 있다. 도 8A, 도 8B에서, 흑점은 볼케이노의 발생을 나타내고 있고, 이것에 의하면, 종래 방법의 경우(도 8A)에는 볼케이노가 다수 발생하고 있지만, 본 발명 방법(도 8B)의 경우에는 볼케이노가 거의 발생하고 있지 않고, 본 발명 방법의 유효성을 확인할 수 있었다.
이와 같이, SiH4 초기 처리에 의해, 텅스텐막과 배리어층의 경계 부분의 불소 농도를 저감할 수 있기 때문에, 배리어층측에의 불소의 확산이나, 돌발이 발생하는 것을 억제하여 볼케이노 등의 발생도 저지할 수 있다.
다음으로, 초기 텅스텐막 형성공정에서의 퍼지 가스 공급 형태에 의한, 초기 텅스텐막 중의 불소 농도 변화에 대하여 평가를 행했다. 상술한 평가에서는 초기 텅스텐막 형성공정에서의 WF6 가스와 B2H6 가스의 교대 공급 스텝 사이의 퍼지 스텝으로써 Ar 가스 및 N2 가스의 유량을 일정하게 했지만, 이들 가스 공급을 퍼지 스텝 대략 중간 T4(도 2 참조)에 있어서 완전히 정지하고, 진공 흡인만으로 하여, 처리용기내의 압력을 급격히 내린다. 이 방법에 의해 잔류 가스의 배제 효율이 크게 향상하고, WF6 가스와 B2H6 가스의 기상 반응을 억제하고, 웨이퍼 표면에서보다 완전한 WF6 가스 흡착과 B2H6 가스에 의한 환원반응을 계속시킨다. 도 7은 종래의 퍼지 방법과 비교하여 상술한 방법으로 형성한 초기 텅스텐막 중의 불소 농도 분포를 나타낸다. 이 도 7로부터 종래의 방법과 비교하여 초기 텅스텐막 중의 불소 농도는 2자리수 이상 내려가 매우 큰 효과가 있음을 알 수 있다.
상술한 바와 같이 초기 텅스텐막 형성공정전에 SiH4 가스를 공급하는 초기 공정을 가함으로써 텅스텐막과 배리어층 경계 부분의 불소 농도를 저감할 수 있지만, 또한 초기 텅스텐막 형성공정에서의 퍼지 가스 공급 형태를 변경함으로써 초기 텅스텐막 중의 불소 농도도 저감할 수 있는 것을 확인할 수 있었다. 본 발명 방법에 의하면 또한 볼케이노 발생을 억제할 수 있을 거라고 예상된다.
(밀착성의 평가)
다음으로, 텅스텐막과 배리어층의 밀착성에 대하여, JIS 크로스 컷(cross cut)법(JIS k5400)에 따라서 평가를 행한 결과에 대하여 설명한다. 도 9A, 도 9B는, 배리어층 상에 ALD에 의한 초기 텅스텐막과 주텅스텐막의 적층막을 퇴적하고, 밀착성을 평가한 결과를 나타내는 그림이다. 도 9A는 JIS에 의해 박리의 정도를 분류한 등급마다의 기준을 나타내고, 도 9B는 본원 발명 방법(개선)과 종래 방법의 텅스텐막의 박리결과를 나타낸다. 밀착성의 평가방법은, 상기한 JIS에 따라서, 텅스텐막을 퇴적후에 다이아몬드 펜으로 종횡으로 바둑판 형상으로 소정의 상처를 내고, 그 위에 규정된 스카치테이프를 붙여 단숨에 벗긴다. 그 박리의 비율을 JIS 기준값과 비교하여, 밀착성의 등급을 결정한다.
이 결과에 의하면, SiH4 초기 처리를 이용함으로써, 초기 텅스텐막 및 주텅스텐막 공히 밀착성은 크게 향상되는 것이 밝혀졌다. 즉, 종래 방법에 의한 경우에는, 박리 비율은 초기 텅스텐막만일 때는 35 내지 65%(1B), 주텅스텐막을 포함하면 65 내지 100%(0B)이며, 무척 밀착성이 뒤떨어져 있었다.
이것에 대하여, 본 발명 방법에 의한 경우에는, 박리 비율은 초기 텅스텐막만일 때는 5%(1B) 이하, 주텅스텐막을 포함하면 35 내지 65%(4B)이며, 본 발명에 의하면 무척 밀착성을 개선할 수 있는 것을 확인할 수 있었다. 이 밀착성의 개선의 이유는, 아마도 초기 텅스텐막과 배리어층의 계면에 존재하는 불소 원자 또는 이 불소 원자가 배리어층과 반응하여 생성된 불소 화합물이 배리어층인 TiN 막과 텅스텐막의 밀착을 저해하는 원인이며, 상기 계면 불소의 저감에 의해, 원래의 TiN-W(텅스텐)의 밀착성을 회복했다고 예상된다. 또한 이와 같이, 밀착성을 개선할 수 있는 결과, 예컨대 도 3E에 나타낸 바와 같이 CMP 처리를 행하더라도, 사용하는 용제가 내부의 경계 부분에 침입하는 것을 방지할 수 있고, 이 결과, 텅스텐막이 콘택트 홀로부터 탈리하여 버리는 등의 문제가 발생하는 것을 회피할 수 있다.
이상, 첨부도면을 참조하면서 본 발명의 바람직한 실시 형태에 관하여 설명했지만, 본 발명은 이러한 예에 한정되지 않는 것은 말할 필요도 없다. 당업자이면, 특허청구의 범위에 기재된 범주내에 있어서, 각종 변경예 또는 수정예로 도출할 수 있는 것은 분명하고, 그들에 관해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다.
예컨대, 상기 실시 형태에서는 실리콘수소 함유 가스로서 모노실레인을 이용했지만, 이것에 한정되는 것은 아니고, 다이실레인 등의 실리콘수소 화합물이나 트라이메틸실레인((CH3)3SiH) 등의 유기실레인을 이용할 수 있다.
또한, 상기 실시 형태에서는, 실리콘을 포함하지 않는 수소 화합물 가스로서 다이보레인을 이용했지만, 이것에 한정되지 않고, 포스핀 등의 강력한 환원성 가스를 이용할 수 있다. 또한, 텅스텐 가스 함유 가스로서는 WF6에 한정되지 않고, 유기텅스텐 함유 가스도 이용할 수 있다.
본 발명은, 반도체 웨이퍼 등의 피처리체의 표면에 텅스텐막을 형성하는 방 법, 성막장치, 기억매체 및 반도체 장치에 적용가능하다.

Claims (13)

  1. 진공 흡인 가능하게 구성된 처리용기내에서 피처리체의 표면에 텅스텐막을 형성하는 방법으로서,
    상기 피처리체에 실리콘 함유 가스를 공급하는 공정과,
    상기 공정후에 텅스텐 함유 가스를 공급하는 텅스텐 함유 가스 공급 스텝과 실리콘을 포함하지 않는 수소 화합물 가스를 공급하는 수소 화합물 가스 공급 스텝을, 양 스텝사이에 상기 처리용기내에 불활성 가스를 공급하는 퍼지 스텝 및/또는 상기 처리용기를 진공 흡인하는 진공 흡인 스텝을 개재시켜, 교대로 반복 실행함으로써 제 1의 텅스텐막을 형성하는 공정,
    을 갖는 것을 특징으로 하는 텅스텐막의 형성방법.
  2. 제 1 항에 있어서,
    상기 제 1의 텅스텐막 상에 상기 텅스텐 함유 가스와 환원성 가스를 동시에 공급함으로써, 제 2의 텅스텐막을 형성하는 공정을 더 갖는 것을 특징으로 하는 텅스텐막의 형성방법.
  3. 제 2 항에 있어서,
    상기 제 1의 텅스텐막 형성공정과 상기 제 2의 텅스텐막 형성공정은, 동일 처리용기내에서 실행되는 것을 특징으로 하는 텅스텐막의 형성방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 실리콘 함유 가스는, 모노실레인, 다이실레인, 유기실레인으로부터 선택되는 것을 특징으로 하는 텅스텐막의 형성방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 실리콘을 포함하지 않는 수소 화합물 가스는, 다이보레인 또는 포스핀인 것을 특징으로 하는 텅스텐막의 형성방법.
  6. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 실리콘을 포함하지 않는 수소 화합물 가스는, 수소 희석 다이보레인 가스인 것을 특징으로 하는 텅스텐막의 형성방법.
  7. 제 2 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 환원성 가스는, 수소인 것을 특징으로 하는 텅스텐막의 형성방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 텅스텐 함유 가스는, WF6인 것을 특징으로 하는 텅스텐막의 형성방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 피처리체의 표면에는 TiN 막을 포함하는 배리어층이 형성되어 있는 것을 특징으로 하는 텅스텐막의 형성방법.
  10. 진공 흡인 가능하게 구성된 처리용기내에서, 콘택트 홀이 형성된 피처리체에 실리콘 함유 가스를 공급하는 공정과,
    상기 공정후에 텅스텐 함유 가스를 공급하는 텅스텐 함유 가스 공급 스텝과 실리콘을 포함하지 않는 수소 화합물 가스를 공급하는 수소 화합물 가스 공급 스텝을, 양 스텝사이에 상기 처리용기내에 불활성 가스를 공급하는 퍼지 스텝 및/또는 상기 처리용기를 진공 흡인하는 진공 흡인 스텝을 개재시켜, 교대로 반복 실행함으로써 제 1의 텅스텐막을 형성하는 공정과,
    상기 제 1의 텅스텐막 상에 상기 텅스텐 함유 가스와 환원성 가스를 동시에 공급함으로써, 더욱이 제 2의 텅스텐막을 형성하여 상기 콘택트 홀을 설치하는 공정과,
    상기 제 2의 텅스텐막 형성후에 상기 피처리체의 표면에 화학기계 연마처리를 실시함으로써 콘택트 플러그를 형성하는 공정,
    을 갖는 것을 특징으로 하는 텅스텐막의 형성방법.
  11. 진공 흡인 가능하게 구성된 처리용기와,
    상기 처리용기내에 설치되어, 피처리체를 탑재하기 위한 탑재대와,
    상기 피처리체를 가열하기 위한 가열수단과,
    상기 처리용기내에, 적어도 실리콘수소 함유 가스와, 텅스텐 함유 가스와, 실리콘을 포함하지 않는 수소 화합물 가스를 공급가능한 가스 공급수단과,
    상기 탑재대에 탑재된 피처리체의 표면에 텅스텐막을 형성할 때에, 상기 가스 공급수단에 의해 상기 피처리체에 실리콘 함유 가스를 공급하는 공정과, 상기 공정후에 상기 가스 공급수단에 의해 텅스텐 함유 가스를 공급하는 텅스텐 함유 가스 공급 스텝과 상기 가스 공급수단에 의해 실리콘을 포함하지 않는 수소 화합물 가스를 공급하는 수소 화합물 가스 공급 스텝을, 양 스텝사이에 상기 처리용기내에 불활성 가스를 공급하는 퍼지 스텝 및/또는 상기 처리용기를 진공 흡인하는 진공 흡인 스텝을 개재시켜, 교대로 반복 실행함으로써 제 1의 텅스텐막을 형성하는 공정을 실행하는 제어부,
    를 구비한 것을 특징으로 하는 성막장치.
  12. 진공 흡인 가능하게 구성된 처리용기와, 상기 처리용기내에 설치되어, 피처리체를 탑재하기 위한 탑재대와, 상기 피처리체를 가열하기 위한 가열수단과, 상기 처리용기내에, 적어도 실리콘수소 함유 가스와, 텅스텐 함유 가스와, 실리콘을 포함하지 않는 수소 화합물 가스를 공급가능한 가스 공급수단을 구비하는 성막장치를 이용하여, 컴퓨터에, 상기 처리용기내의 상기 피처리체에 대하여 텅스텐막 형성처리를 실행시키기 위한 프로그램을 기억한 컴퓨터 읽기 가능한 기억매체로서,
    상기 텅스텐막 형성처리는,
    상기 가스 공급수단에 의해 상기 피처리체에 실리콘 함유 가스를 공급하는 공정과,
    상기 공정후에 상기 가스 공급수단에 의해 텅스텐 함유 가스를 공급하는 텅스텐 함유 가스 공급 스텝과 상기 가스 공급수단에 의해 실리콘을 포함하지 않는 수소 화합물 가스를 공급하는 수소 화합물 가스 공급 스텝을, 양 스텝사이에 상기 처리용기내에 불활성 가스를 공급하는 퍼지 스텝 및/또는 상기 처리용기를 진공 흡인하는 진공 흡인 스텝을 개재시켜, 교대로 반복 실행함으로써 제 1의 텅스텐막을 형성하는 공정,
    을 갖는 것을 특징으로 하는 기억매체.
  13. 진공 흡인 가능하게 구성된 처리용기내에서, 콘택트 홀이 형성된 피처리체에 실리콘 함유 가스를 공급하는 공정과,
    상기 공정후에 텅스텐 함유 가스를 공급하는 텅스텐 함유 가스 공급 스텝과 실리콘을 포함하지 않는 수소 화합물 가스를 공급하는 수소 화합물 가스 공급 스텝을, 양 스텝사이에 상기 처리용기내에 불활성 가스를 공급하는 퍼지 스텝 및/또는 상기 처리용기를 진공 흡인하는 진공 흡인 스텝을 개재시켜, 교대로 반복 실행함으로써 제 1의 텅스텐막을 형성하는 공정과,
    상기 제 1의 텅스텐막 상에 상기 텅스텐 함유 가스와 환원성 가스를 동시에 공급함으로써, 더욱이 제 2의 텅스텐막을 형성하여 상기 콘택트 홀을 설치하는 공 정과,
    상기 제 2의 텅스텐막 형성후에 상기 피처리체의 표면에 화학기계 연마처리를 실시함으로써 콘택트 플러그를 형성하는 공정,
    을 갖는 방법에 의해 형성된 콘택트 플러그를 구비하는 것을 특징으로 하는 반도체 장치.
KR1020077030782A 2005-07-01 2006-06-23 텅스텐막 형성방법, 성막장치, 기억매체 및 반도체 장치 KR100939124B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005194170A JP4945937B2 (ja) 2005-07-01 2005-07-01 タングステン膜の形成方法、成膜装置及び記憶媒体
JPJP-P-2005-00194170 2005-07-01

Publications (2)

Publication Number Publication Date
KR20080015129A true KR20080015129A (ko) 2008-02-18
KR100939124B1 KR100939124B1 (ko) 2010-01-28

Family

ID=37604313

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077030782A KR100939124B1 (ko) 2005-07-01 2006-06-23 텅스텐막 형성방법, 성막장치, 기억매체 및 반도체 장치

Country Status (6)

Country Link
US (1) US8168539B2 (ko)
JP (1) JP4945937B2 (ko)
KR (1) KR100939124B1 (ko)
CN (2) CN101213320A (ko)
TW (1) TWI390612B (ko)
WO (1) WO2007004443A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150145648A (ko) * 2014-06-20 2015-12-30 (주)알파코 엘엠 가이드의 텅스텐 코팅방법
KR20160140458A (ko) * 2015-05-27 2016-12-07 램 리써치 코포레이션 저 불소 함량을 가진 텅스텐 막들
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
JP2008192835A (ja) * 2007-02-05 2008-08-21 Tokyo Electron Ltd 成膜方法,基板処理装置,および半導体装置
US8156022B2 (en) 2007-02-12 2012-04-10 Pricelock, Inc. Method and system for providing price protection for commodity purchasing through price protection contracts
US8019694B2 (en) 2007-02-12 2011-09-13 Pricelock, Inc. System and method for estimating forward retail commodity price within a geographic boundary
WO2008124712A1 (en) 2007-04-09 2008-10-16 Pricelock, Inc. System and method for constraining depletion amount in a defined time frame
JP5064119B2 (ja) 2007-06-07 2012-10-31 東京エレクトロン株式会社 真空引き方法及び記憶媒体
KR100890047B1 (ko) * 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
KR100881716B1 (ko) * 2007-07-02 2009-02-06 주식회사 하이닉스반도체 낮은 시트저항의 텅스텐막을 갖는 텅스텐배선 제조 방법 및그를 이용한 반도체소자의 게이트 제조 방법
JP5428151B2 (ja) * 2007-11-26 2014-02-26 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5547380B2 (ja) 2008-04-30 2014-07-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP5646190B2 (ja) * 2010-03-12 2014-12-24 東京エレクトロン株式会社 洗浄方法及び処理装置
US8865594B2 (en) * 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
JP5959991B2 (ja) * 2011-11-25 2016-08-02 東京エレクトロン株式会社 タングステン膜の成膜方法
JP5925476B2 (ja) * 2011-12-09 2016-05-25 株式会社アルバック タングステン化合物膜の形成方法
JP2013182961A (ja) * 2012-02-29 2013-09-12 Toshiba Corp 半導体製造装置及び半導体装置の製造方法
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102100520B1 (ko) * 2012-03-27 2020-04-14 노벨러스 시스템즈, 인코포레이티드 핵생성 억제를 사용하는 텅스텐 피처 충진
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
JP6147913B2 (ja) * 2014-03-28 2017-06-14 株式会社日立国際電気 半導体デバイスの製造方法、基板処理装置およびプログラム
US10643925B2 (en) * 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
CN105097474B (zh) * 2014-05-09 2018-03-06 中国科学院微电子研究所 一种半导体器件的制造方法
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
JP6222880B2 (ja) * 2014-09-24 2017-11-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10002834B2 (en) * 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
JP6416679B2 (ja) * 2015-03-27 2018-10-31 東京エレクトロン株式会社 タングステン膜の成膜方法
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
JP6346595B2 (ja) 2015-08-25 2018-06-20 東芝メモリ株式会社 半導体装置及びその製造方法
JP2017069313A (ja) * 2015-09-29 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
US10214807B2 (en) * 2016-06-02 2019-02-26 Lam Research Corporation Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack
JP6998873B2 (ja) * 2016-07-26 2022-01-18 東京エレクトロン株式会社 タングステン膜の成膜方法
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
RU2712681C1 (ru) * 2016-10-27 2020-01-30 Общество с ограниченной ответственностью научно-производственное предприятие "ЭФОМ" Способ нанесения тонких металлических покрытий
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
JP6788545B2 (ja) * 2017-04-26 2020-11-25 東京エレクトロン株式会社 タングステン膜を形成する方法
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
CN109750274B (zh) * 2017-11-01 2021-10-22 长鑫存储技术有限公司 半导体生产设备及半导体工艺方法
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US20210115560A1 (en) * 2018-06-28 2021-04-22 Tokyo Electron Limited Film forming method, film forming system, and film forming apparatus
KR102513403B1 (ko) * 2018-07-30 2023-03-24 주식회사 원익아이피에스 텅스텐 증착 방법
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1097848C (zh) * 1997-11-21 2003-01-01 台湾茂矽电子股份有限公司 制造集成电路的化学机械研磨方法及其装置
US6982226B1 (en) * 1998-06-05 2006-01-03 Agere Systems Inc. Method of fabricating a contact with a post contact plug anneal
JP2000114369A (ja) * 1998-10-05 2000-04-21 Sony Corp 金属膜の形成方法および電子装置の製造方法
JP3331334B2 (ja) * 1999-05-14 2002-10-07 株式会社東芝 半導体装置の製造方法
US6214714B1 (en) * 1999-06-25 2001-04-10 Applied Materials, Inc. Method of titanium/titanium nitride integration
US6303480B1 (en) * 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100783844B1 (ko) * 2001-08-14 2007-12-10 동경 엘렉트론 주식회사 텅스텐막의 형성 방법
JP4032872B2 (ja) * 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6787466B2 (en) * 2002-02-15 2004-09-07 Applied Materials, Inc. High throughout process for the formation of a refractory metal nucleation layer
US7427426B2 (en) * 2002-11-06 2008-09-23 Tokyo Electron Limited CVD method for forming metal film by using metal carbonyl gas
JP3956049B2 (ja) * 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
JP2004277864A (ja) * 2003-03-18 2004-10-07 Toshiba Corp 成膜方法及び成膜装置
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
JP3759525B2 (ja) * 2003-10-27 2006-03-29 松下電器産業株式会社 半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150145648A (ko) * 2014-06-20 2015-12-30 (주)알파코 엘엠 가이드의 텅스텐 코팅방법
KR20160140458A (ko) * 2015-05-27 2016-12-07 램 리써치 코포레이션 저 불소 함량을 가진 텅스텐 막들
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Also Published As

Publication number Publication date
TWI390612B (zh) 2013-03-21
CN101899649A (zh) 2010-12-01
WO2007004443A1 (ja) 2007-01-11
US20090045517A1 (en) 2009-02-19
TW200710968A (en) 2007-03-16
JP4945937B2 (ja) 2012-06-06
US8168539B2 (en) 2012-05-01
JP2007009298A (ja) 2007-01-18
KR100939124B1 (ko) 2010-01-28
CN101213320A (zh) 2008-07-02
CN101899649B (zh) 2012-11-21

Similar Documents

Publication Publication Date Title
KR100939124B1 (ko) 텅스텐막 형성방법, 성막장치, 기억매체 및 반도체 장치
KR102510612B1 (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
JP7485736B2 (ja) 3d nandデバイス用の誘電体材料上におけるモリブデンを含有する低抵抗膜の成膜
KR102403860B1 (ko) 불소 프리 텅스텐을 사용하여 고 애스팩트 비 피처들을 충진하는 방법
TWI529806B (zh) 形成鎢接觸點及小臨界尺寸互連線之方法
JP5376361B2 (ja) タングステン膜の製造方法および装置
US9548228B2 (en) Void free tungsten fill in different sized features
KR101013231B1 (ko) 환원펄스를 이용한 원자층증착에 의한 질화금속증착
TWI627676B (zh) 於不同尺寸特徵部內之無空穴鎢塡充物
JP4032872B2 (ja) タングステン膜の形成方法
JP2021511672A (ja) 窒化ケイ素の薄膜のための処理方法
KR100991566B1 (ko) 금속계막 형성 방법 및 프로그램을 기록한 기록 매체
TW201942960A (zh) 在基板上形成電極之方法及包括電極之半導體裝置結構
KR20220082023A (ko) 몰리브덴 충진
TW201526090A (zh) 允許低電阻率鎢特徵物填充之鎢成核程序
CN110622283A (zh) 减少或消除钨膜中缺陷的方法
JP5925476B2 (ja) タングステン化合物膜の形成方法
US20200144056A1 (en) Method of forming a cobalt layer on a substrate
WO2024054441A1 (en) Integrated pvd tungsten liner and seamless cvd tungsten fill

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130111

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140107

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150105

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20161221

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180104

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20200106

Year of fee payment: 11