CN101213320A - 钨膜的形成方法、成膜装置、存储介质和半导体装置 - Google Patents

钨膜的形成方法、成膜装置、存储介质和半导体装置 Download PDF

Info

Publication number
CN101213320A
CN101213320A CNA2006800241124A CN200680024112A CN101213320A CN 101213320 A CN101213320 A CN 101213320A CN A2006800241124 A CNA2006800241124 A CN A2006800241124A CN 200680024112 A CN200680024112 A CN 200680024112A CN 101213320 A CN101213320 A CN 101213320A
Authority
CN
China
Prior art keywords
gas
tungsten film
supplying
processing vessel
supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800241124A
Other languages
English (en)
Inventor
杉浦正仁
沟口泰隆
饗场康
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101213320A publication Critical patent/CN101213320A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供形成比现有技术中电阻率更小,在与基底的阻挡层的临界部分氟浓度更低,与阻挡层的密接性更高的钨膜的方法。具有向处理容器14内的晶片M供给含硅气体的工序、在该工序之后,通过交替重复进行供给含钨气体的含钨气体供给步骤与供给不含硅的氢化物气体的氢化物气体供给步骤,并在两步骤之间插入向所述处理容器内供给惰性气体的清扫步骤和/或将所述处理容器抽真空的抽真空步骤,形成第一钨膜的工序。

Description

钨膜的形成方法、成膜装置、存储介质和半导体装置
技术领域
本发明涉及在半导体晶片等被处理体的表面上形成钨膜的方法、成膜装置、存储介质和半导体装置。
背景技术
一般地,在半导体器件的制造过程中,具有在被处理体例如半导体晶片(以下简称为“晶片”)的表面上形成金属类膜的工序。例如在晶片表面形成配线图形,或者埋入配线间的凹部(通孔)、基板连接用凹部(接触孔)的情况下进行金属类膜的成膜。作为这样的金属类膜,例如是将W(钨)、WSi(硅化钨)、WN(氮化钨)、Ti(钛)、TiN(氮化钛)、TiSi(硅化钛)等金属或者金属化合物堆积而成的薄膜。
像这样,由于金属类膜使用于配线等,所以优选使用尽量低电阻的膜。从这一观点出发由于钨膜是上述金属类膜中电阻率特别小的,镀膜温度也不高,所以,多用于配线间的凹部或者基板连接用凹部的埋入。
在形成这样的钨膜时,一般地,将WF6(六氟化钨)作为金属类原料气体使用,通过使用氢、硅烷、二氟硅烷等还原性气体进行还原,堆积成钨膜。并且,在形成钨膜的情况下,为了提高密接性,抑制与下层配线金属或者基板之间的反应,首先在晶片表面薄且均匀地形成阻挡层,该阻挡层是在TiN膜或者在Ti膜上形成有TiN膜的叠层膜(TiN/Ti膜)等的作为基底膜的阻挡层,在该阻挡层上堆积上述钨膜。
因此,在通过钨膜进行凹部等的埋入的情况下,为了使埋入性能良好,使用以还原性比硅烷更弱的氢气为主的气体作为还原性气体。在如此使用氢气作为还原性气体的情况下,有时会出现产生“火山口(volcano)”,或者在埋入孔(例如接触孔)中产生空隙(void)的情况。
在此,产生火山口的机制如在图10中所示。图10A、图10B和图10C依次表示反应进展的状态。根据图10,对于火山口的产生,氟元素的举动有很大的影响,可以理解为在阻挡层与氟元素反应生成的TiF3向上方突破。具体地说,由于未反应的WF6气体使上述阻挡层受到侵蚀,使阻挡层与氟元素发生反应,生成了以TiF3为主体的氟钛化合物(参照图10A和图10B)。由于该氟钛化合物的体积膨胀,向上方突破了阻挡层从而产生了火山口(参照图10C)。
为了防止产生这样的火山口等,在堆积主体钨膜之前,作为种核层通过形成初期钨膜,在形成主体钨膜时遮蔽住由WF6气体对基底阻挡层的侵蚀。在形成上述初期钨膜时,有必要迅速地除去上述未反应WF6气体,同时还要抑制上述钨膜中的氟元素浓度,使得在钨膜中含有的氟元素不能与基底的阻挡层直接反应。
作为形成上述初期钨膜的方法,公开了在交替地供给WF6气体和还原性的B2H6(乙硼烷)气体,并在它们之间插入清扫步骤的原子层堆积的方法(ALD:Atomic Layered Deposition原子层沉积法)(例如参照专利文献1)。用此方法堆积的钨膜是低电阻,同时降低了钨膜中氟元素的浓度,能够避免基底金属和氟化物的形成。
参照附图说明在这样的现有的形成钨膜的方法中各种气体的供给方式。图11A表示基于现有方法的各种气体的供给方式的一个例子,图11B表示基于现有方法的各种气体的供给方式的另一个例子。图11A表示上述ALD法的各种气体的供给方式,是使用B2H6作为还原性气体的情况,图11B是使用SiH4气体作为还原性气体的情况。图12表示通过在图11中所示的气体供给方式下形成的钨膜埋入埋入孔时的工序。
在此是以使用B2H6作为还原性气体的情况为例(参照图11A)进行说明的。并且分别以一定的流量流通Ar气和N2气作为载气或者清扫气体,在整个处理期间处理压力是恒定的。对于在此使用的晶片M,如在图12A中所示,在包括例如接触孔之类的埋入孔2内的内面的晶片整个表面上形成阻挡层4。
首先,如在图12A中所示,对晶片M每间隔很短时间交替地使B2H6气体和WF6气体流通并重复多次而形成初期钨膜8。在此情况下,在供给B2H6气体步骤和供给WF6气体步骤的两步骤之间进行排除容器内的残留气体的清扫工序。通过供给WF6气体的步骤,使在晶片表面上吸附的WF6气体分子层被在下一个步骤中供给的B2H6气体还原,由于一次地交替供给就成长出多原子层的钨膜。通过将此过程重复任意次,就形成如在图12B中所示的希望厚度的初期钨膜8。
然后,同时供给WF6气体和H2气体进行主体钨膜的形成工序,由此,如在图12C中所示堆积主体钨膜10埋入埋入孔2。另外,作为另一种气体供给方式,如在图11B中所示,也可以使用SiH4(甲硅烷)代替B2H6(例如参照专利文献2)。在此情况下,将最初供给SiH4气体步骤的时间延长得比在此之后供给其他SiH4气体的时间更长,也可以兼作使在晶片表面上附着SiHx(0≤x<4)等分解中间体的所谓初始化处理。
专利文献1:特开2002-038271号公报
专利文献2:特开2003-193233号公报
发明内容
但是,今后,伴随半导体器件更加微细化和动作速度的高速化,为了降低连接(Via:通孔)电阻,要求钨膜更加低电阻化,电阻率比较高的阻挡层的薄膜化。然而,在如上所述的现有钨膜形成方法中,例如当使用膜厚在5nm以下的薄膜化的TiN膜作为隔离膜时,不能抑制火山口的产生。
在此,在薄膜化后的TiN/Ti叠层膜上形成如上所述的初期钨膜时,使用交替供给WF6气体和B2H6气体的ALD法,图13表示出在以实用的膜厚堆积主体钨膜的情况下的电子显微镜照片。由图1 3可以看出,由于阻挡层的薄膜化很容易产生火山口。这是由于用现有方法形成的初期钨膜的膜质还不能充分遮挡住WF6的侵蚀,或者也有可能是由于在形成初期钨膜时,在基底的阻挡层已经发生了侵蚀。
在使用交替地供给WF6气体和SiH4气体的ALD法的情况下,也有可能抑制对基底的阻挡层的侵蚀,但是作为种核层的初期钨膜含有硅,在其上面堆积的主体钨膜的电阻率就会增大而会产生不便。
可是,在现有技术中在上述钨膜上埋入连接(通孔)孔之后一般要通过回蚀进行平坦化,而由于更加微细化和多层化,使得最近在埋入接触孔之后的工序中,多使用通过CMP处理进行平坦化的方法。另外,在使用交替供给上述WF6气体和B2H6气体的ALD法得到的现有的初期钨膜和主体钨膜的叠层膜中,其电阻率会充分降低,但是与此相反由TiN等构成的阻挡层的密接性则有降低的倾向。因此在现有的钨膜形成工序之后的工序中,在实施如上所述的CMP处理除去钨膜的不需要部分时,由于CMP装置的衬垫在晶片上施加的应力,使得浆液(研磨溶剂)侵入到钨膜和阻挡层的界面中,产生钨膜与接触孔脱离的问题。
本发明是鉴于上述问题而完成,本发明的目的是提供一种钨膜的形成方法,在保持比较小的电阻率的同时,特别降低与基底的阻挡层的临界部分的氟元素浓度,不仅能够抑制火山口的发生,还能够提高与阻挡层的密接性。
为了解决上述课题,按照本发明的某观点,提供一种钨膜的形成方法,用于在构成为可抽真空的处理容器内在被处理体的表面上形成钨膜,其特征在于,包括:向所述被处理体供给含硅气体的工序;和在该工序之后,通过交替重复进行供给含钨气体的含钨气体供给步骤与供给不含硅的氢化物气体的氢化物气体供给步骤,并在两步骤之间插入向所述处理容器内供给惰性气体的清扫步骤和/或将所述处理容器抽真空的抽真空步骤,形成第一钨膜的工序。
依据这样的本发明,在形成钨膜时,由于在初期钨膜形成工序之前进行的初始化工序(前处理工序)中使用含硅气体,在此后的初期钨膜形成工序中,通过交替重复供给含钨气体和不含硅的氢化物气体形成初期钨膜,因此进一步包括在此后形成的主体钨膜在内,也能够减小其电阻率。并且降低与作为钨膜的基底的阻挡层的临界部分的氟元素浓度,就能够抑制氟元素向阻挡层的扩散和穿透。其结果是能够抑制火山口的发生,提高与基底的阻挡层的密接性。
在此情况下,进一步,也可以具有通过向上述第一钨膜上同时供给含钨气体和还原性气体,形成第二钨膜的工序。并且上述第一钨膜形成工序和上述第二钨膜形成工序例如在同一处理容器内进行。并且,上述含硅气体例如从甲硅烷、乙硅烷、有机硅烷中选择。
并且,上述不含硅的氢化物气体例如是乙硼烷或者磷化氢。并且,上述不含硅的氢化物气体例如是用氢稀释的乙硼烷气体。并且,上述还原性气体例如是氢气。并且上述含钨气体例如是WF6。并且,在上述被处理体的表面上形成有例如含有TiN膜的阻挡层。
为了解决上述课题,依据本发明的另一个观点,提供一种钨膜形成方法,其特征在于,包括:在构成为可抽真空的处理容器内,向形成有接触孔的被处理体供给含硅气体的工序;在该工序之后,通过交替重复进行供给含钨气体的含钨气体供给步骤与供给不含硅的氢化物气体的氢化物气体供给步骤,并在两步骤之间插入向所述处理容器内供给惰性气体的清扫步骤和/或将所述处理容器抽真空的抽真空步骤,形成第一钨膜的工序;通过向所述第一钨膜上同时供给所述含钨气体和还原性气体,进一步形成第二钨膜并埋入所述接触孔的工序;和在所述第二钨膜形成之后,通过对所述被处理体表面实施化学机械研磨处理(例如CMP:Chemical Mechanical Polishing)形成接触插头的工序。
为了解决上述课题,根据本发明的另一个观点,提供一种成膜装置,其特征在于,包括:构成为可抽真空的处理容器;设置在所述处理容器内用于装载被处理体的装载台;用于加热所述被处理体的加热装置;至少能够向所述处理容器内供给含硅氢气体、含钨气体、不含硅的氢化物气体的气体供给装置;以及控制部,该控制部在被装载于装载台上的被处理体表面上形成钨膜时,实施通过所述气体供给装置向所述被处理体供给含硅气体的工序,和在该工序之后,通过交替重复进行通过所述气体供给装置供给含钨气体的含钨气体供给步骤与通过所述气体供给装置供给不含硅的氢化物气体的氢化物气体供给步骤,并在两步骤之间插入向所述处理容器内供给惰性气体的清扫步骤和/或将所述处理容器抽真空的抽真空步骤,形成第一钨膜的工序。
为了解决上述课题,根据本发明的另一个观点,提供一种存储介质,其为存储有程序的计算机可读存储介质,该程序用于使计算机控制成膜装置对处理容器内的被处理体实施钨膜形成处理,其特征在于,该成膜装置包括:构成为可抽真空的处理容器;设置在所述处理容器内用于装载被处理体的装载台;用于加热所述被处理体的加热装置;至少能够向所述处理容器内供给含硅氢气体、含钨气体、不含硅的氢化物气体的气体供给装置,其中所述钨膜形成处理包括:通过所述气体供给装置向所述被处理体供给含硅气体的工序;和在该工序之后,通过交替重复进行供给含钨气体的含钨气体供给步骤与供给不含硅的氢化物气体的氢化物气体供给步骤,并在两步骤之间插入向所述处理容器内供给惰性气体的清扫步骤和/或将所述处理容器抽真空的抽真空步骤,形成第一钨膜的工序。
为了解决上述课题,根据本发明的另一个观点,提供一种半导体装置,包括接触插头,其特征在于,所述接触插头由包括如下工序的方法形成:在构成为可抽真空的处理容器内,向形成有接触插头的被处理体供给含硅气体的工序;在该工序之后,通过交替重复进行供给含钨气体的含钨气体供给步骤与供给不含硅的氢化物气体的氢化物气体供给步骤,并在两步骤之间插入向所述处理容器内供给惰性气体的清扫步骤和/或将所述处理容器抽真空的抽真空步骤,形成第一钨膜的工序;通过向所述第一钨膜同时供给所述含钨气体和还原性气体,进一步形成第二钨膜并埋入所述接触孔的工序;和在形成所述第二钨膜之后对所述被处理体的表面实施化学机械研磨处理由此形成接触插头的工序。
发明的效果
按照本发明,由于在形成钨膜时,在形成初期钨膜的工序之前,进行供给含硅气体的工序,在其后的形成初期钨膜的工序中,通过交替重复供给含钨气体和不含硅的氢化物气体形成初期钨膜,所以包括在此后形成的主体钨膜在内,能够减小其电阻率。并且可以降低与作为钨膜的基底的阻挡层的临界部分的氟元素浓度,抑制氟元素向阻挡层的扩散和穿透。由此就能够抑制产生火山口,提高与基底的阻挡层的密接性。
附图说明
图1是表示实施本发明的实施方式涉及的钨膜形成方法的成膜装置一个例子的截面结构图。
图2是表示在同一个实施方式中形成钨膜时各种气体供给状态的图。
图3A是用来说明在晶片表面上形成钨膜的工序的模式图。
图3B是用来说明在晶片表面上形成钨膜的工序的模式图。
图3C是用来说明在晶片表面上形成钨膜的工序的模式图。
图3D是用来说明在晶片表面上形成钨膜的工序的模式图。
图3E是用来说明在晶片表面上形成钨膜的工序的模式图。
图4是表示包括初期钨膜和主体钨膜的钨膜整体的电阻率的图。
图5A是表示基于现有方法得到的包括初期钨膜和主体钨膜的钨膜整体的电阻率的图。
图5B是表示基于本发明方法得到的包括初期钨膜和主体钨膜的钨膜整体的电阻率的图。
图6是表示在形成有钨膜的晶片上在其深度方向上氟浓度分布的图。
图7是表示在形成有钨膜的晶片上在其深度方向上氟浓度分布的第二个图。
图8A是表示用现有方法的情况下是否有火山口发生的画面代用照片。
图8B是表示用本发明方法的情况下是否有火山口发生的画面代用照片。
图9A是表示对由现有方法在阻挡层上形成的初期钨膜和主体钨膜的叠层膜进行密接性评价时的评价结果的图。
图9B是表示对由本发明方法在阻挡层上形成的初期钨膜和主体钨膜的叠层膜进行密接性评价时的评价结果的图。
图10A是用来说明火山口产生机理的模式图。
图10B是用来说明火山口产生机理的模式图。
图10C是用来说明火山口产生机理的模式图。
图11A是表示在现有的钨膜形成方法中各种气体供给方式一个例子的图。
图11B是表示在现有的钨膜形成方法中各种气体供给方式的另一个例子的图。
图12A是用来说明由在图11A中所示的气体供给方式下形成的钨埋入埋入孔时的工序的模式图。
图12B是用来说明由在图11A中所示的气体供给状态下形成的钨埋入埋入孔时的工序的模式图。
图12C是用来说明由在图11A中所示的气体供给状态下形成的钨埋入埋入孔时的工序的模式图。
图13是表示由现有技术堆积钨膜时发生火山口状状况的电子显微镜照片。
符号的说明
13成膜装置
14处理容器
16喷淋头部(气体供给手段)
18密封部件
20气体喷射口
22反射器
24保持部件
26装载台
28升降销
30环形部件
32提升棒
34升降销孔
36波纹管
38调节器
40排气口
42压力控制阀
46真空排气系统
48闸阀
50密封部件
51透过窗
52加热室
54加热灯(加热装置)
56旋转台
58旋转电机
60控制部
62存储介质
72埋入孔
74阻挡层
76分解中间体
80初期钨膜(第一钨膜)
82主体钨膜(第二钨膜)
83接触插头
M晶片(被处理体)
具体实施方式
下面参照附图详细说明本发明合当的实施方式。并且,在本说明书和附图中,对于实质上具有同样结构功能的构成要素标注同样的符号,省略对其重复的说明。
(成膜装置的结构例)
图1是表示能够实施本发明实施方式涉及的钨膜形成方法的成膜装置的结构例的截面结构图。如图1中所示,成膜装置13具有例如截面大致呈圆筒状的铝制处理容器14。在处理容器14内的顶部,经由O形环等密封部件18设置有作为气体供给手段的喷淋头部16,用来同时或选择性地导入作为流量受到控制的处理气体例如各种成膜气体或惰性气体等,从在其下面设置的多个喷射口20向处理空间S喷射成膜气体。
在该喷淋头部16内,设置有具有多个扩散孔的一片或多片扩散板,在此具有其结构是为了促进被导入气体的扩散的部件,或者具有将内部分隔为多个分隔室,其结构能够分别将不同地被导入的气体分别地喷射到处理空间S中的部件,不论怎样都根据使用气体种类使用适当结构的喷淋头部。并且,在此作为一个例子,使用B2H6(乙硼烷)气体、WF6气体、SiH4(甲硅烷)气体、H2气体、N2气体、Ar气体等,而各种气体分别由质量流量控制器之类的流量控制器(图中未显示)对流量进行个别地控制,并且控制供给的开始和停止。此外,作为上述B2H6气体,例如使用以H2作为稀释气体(基气体(base gas))稀释到5%的B2H6气体。
在该处理容器14内,在从处理容器底部立起的圆筒状反射器22上例如通过L字形的3根保持部件24(在图1中仅表示了两根)设置用于装载作为被处理体的晶片M的装载台26,。
在该装载台26的下方,设置有多根(例如3根)向上方立起的L字形的升降销28(在图中仅表示出两根),该升降销28的根部插入到在上述反射器22上形成有的纵长穿通孔(图中未显示),共同连接着环形部件30。并且,通过贯通于处理容器的底部而设置的提升棒32使该环形部件30上下运动,由此使上述升降销28能够插入到贯通于装载台26而设置的升降销孔34,从而能够支持住晶片M。
在上述提升棒32的容器底部的贯通部,设置有能够伸缩的波纹管36,用于保持处理容器14内部的气密状态,该提升棒32的下端连接在调节器(actuator)38。
并且,在处理容器14的底部的周边部设置有排气口40,在该排气口40上连接着依次经由压力控制阀42和真空泵44的真空排气系统46,能够将处理容器14内抽真空到规定的真空度。并且,在处理容器14的侧壁上设置有在搬入或搬出晶片M时开启或闭合的闸阀48。
并且,在装载台26的正下方的容器底部,经由O形环等密封部件50设置有石英等热光线透过材料构成的透过窗51,在其下方设置有围绕着透过窗51的箱状的加热室52。在该加热室52内,在兼作反射镜的旋转台56上安装有作为加热装置的例如多个加热灯54,该旋转台56经由旋转轴通过设置在加热室52底部的旋转电机58带动旋转。从而,由该加热灯泡54放出的热光线透过透过窗51照射到薄的装载台26的下面将其加热,再间接地加热在该装载台26上的晶片M。此外,作为加热装置也可以在装载台26上设置电阻加热器来代替上述加热灯加热晶片M。
并且,为了对该成膜装置13整体的动作进行控制,设置有例如由微型计算机等构成的控制部60。由该控制部60进行各种气体的开始供给、停止供给、流量控制、晶片的温度控制和压力控制等成膜处理必要的一系列控制。并且,该控制部60,具有例如由软盘或闪存存储器等构成的存储介质62,用于存储用来控制上述装置整体动作实施后述的钨膜形成处理等的程序。
(成膜装置的动作例)
下面说明如上所述结构的成膜装置的动作的例子。成膜装置13的各种动作是基于如上所述在存储介质62中存储的程序进行的。首先,开启设置在处理容器14侧壁上的闸阀48,由图中未显示的搬送臂将晶片M搬送到处理容器14内,通过提起升降销28将晶片M转移到升降销28一侧。然后通过降下提升棒32使升降销28下降,把晶片M放置在装载台26上。在该晶片M的表面上,如在图3A中所示包括埋入孔72的内面在前工序中已经形成有作为基底膜如TiN/Ti膜那样的阻挡层74。该阻挡层74并不限于上述TiN/Ti膜那样的叠层结构,比如TiN膜的单层结构也是可以的。
接下来,按照如下所述的气体供给方式,从图中未显示的处理气体源,以规定量向作为气体供给单元的喷淋头部16供给作为处理气体的规定的成膜气体或惰性气体,将其从下面的气体喷射口20大致均匀地供给到处理容器14内。与此同时,通过从排气口40对内部环境进行吸引排气将处理容器14内持续抽真空达到所需的压力,并且驱动位于装载台26下面的加热装置的各个加热灯泡54使其旋转,放射出热能。
放射出的热光线透过透过窗51之后,照射到装载台26的背面将其加热。由于该装载台26如上所述很薄只有1mm左右,所以迅速地被加热,从而能够将放置在其上面的晶片M迅速地加热到规定温度。被供给的成膜气体发生预定的化学反应,在晶片的整个表面上堆积形成钨膜薄膜。
(钨膜形成方法的具体例子)
下面参照附图说明本实施方式涉及的钨膜形成方法(钨膜形成处理)和各种气体供给方式的具体例子。图2是表示各种气体供给方式的示意图,图3是表示在晶片M的表面形成钨膜的过程的模式图。在图2中所示的气体供给方式中,在一连串的成膜步骤之间,对处理容器14内连续地抽真空,同时以一定的流量(或者根据需要改变流量)连续地供给作为惰性气体的例如Ar气体和N2气体,根据需要向容器内供给N2气作为残留的成膜气体的清扫气体。
本实施方式涉及的钨膜形成方法(钨膜形成处理),依次进行如下工序:在形成初期钨膜之前进行作为前处理工序的初始化工序、形成作为第一钨膜的初期钨膜的初期钨膜形成工序;和在该初期钨膜形成工序之后,形成作为第二钨膜的主体钨膜的主体钨膜形成工序。
具体地说,如在图2中所示的气体供给方式,在例如初始化工序中,向晶片上供给含硅气体。在该初始化工序之后,在初期钨膜形成工序中,在供给含钨气体的含钨气体供给步骤与供给不含有硅的氢化物气体的氢化物气体供给步骤之间,插入对上述处理容器内的环境(残留气体)进行排气或者置换的清扫步骤,通过交替地重复进行形成初期钨膜的工序。接着在主体钨膜形成工序中,通过同时供给上述含钨气体和还原性气体形成主体钨膜。
此外,上述清扫步骤,也在各个工序过渡时实施,对容器内残留的成膜气体进行排气。在此,使用WF6气体作为含钨气体,使用SiH4气体作为含硅气体,使用B2H6气体作为不含硅的氢化物气体。并且在一连串的工序之间,对容器内连续地抽真空,同时使N2气或者Ar气作为载气或者清扫气体流通,这些气体的供给,在清扫步骤中的大致中间期间T4完全停止,此时只是继续抽真空大致完全排除在容器内残留的气体。因此在该期间T4内,容器内的压力最低。下面对于初始化工序、初期钨膜形成工序和主体钨膜形成工序进行更加具体的说明。
(初始化工序)
首先,如在图3A中所示,对晶片M实施作为前处理工序的初始化工序(初始化处理)。在初始化工序中,仅在一定时间内连续供给如上所述的作为含硅气体的SiH4气体。由此,如在图3B中所示,使在晶片M的阻挡层74的表面,吸附Si-、SiH-、SiHx(0≤x<4)等分解中间体76。通过该初始化工序,改善了由TiN膜等构成的阻挡层74的表面的阴电性,改善了对后续的成膜气体等的吸附性能。
作为在初始化工序中的处理条件,例如可以按如下设定。即,处理压力(处理室内压力)设定为比在初始化工序中之后的初期钨膜形成工序中的处理压力高,例如设定在10666Pa(80Torr)左右。此外,在300mm晶片的情况下,SiH4气体的流量例如设定在700sccm左右。此外,初始化工序的处理时间,依存于SiH4气体的流量和分压。例如设定在15sec左右。此外,处理温度设定在300℃~400℃的范围内。例如设定在350℃。直到最后的主体钨膜形成工序之前,处理温度可以设定为不变的同一温度。
(初期钨膜形成工序)
接下来,实行初期钨膜形成工序。在初期钨膜形成工序中,如上所述依照WF6气体和B2H6气体的顺序,在短时间内交替重复供给这两种气体,并且在供给两种气体的步骤之间,进行从容器内排出刚刚供给完的气体的清扫步骤。在进行该清扫步骤时,优选通过供给作为清扫气体例如惰性气体的N2气体,促进残留气体的排除。
在WF6气体供给步骤中,吸附在晶片表面上的WF6气体分子层,通过在下一步骤中被供给的B2H6气体还原,每一次交替供给就成长出多原子层的钨膜。将其重复任意次,就如在图3C中所示,形成所需厚度的初期钨膜80。
此时,WF6气体的流量,在300mm晶片的情况下例如设定为160sccm。并且,在流通WF6气体时,优选使用N2气体或者Ar气体作为载气。并且B2H6的流量例如设定为1000sccm左右。此时也可以使用Ar作为载气。
并且,在此使用以H2气体作为基气体的稀释为5%的B2H6气体。其理由可按如下考虑。即,B2H6气体是一种不稳定的气体,容易聚合成为稳定的十硼烷。并且,这样生成的十硼烷微粒在供给管线的通道中凝聚,使供给变得不稳定,有时还会产生微粒。因此优选将抑制聚合的H2作为基气体稀释B2H6气体并充填到储气瓶中,用于气体供给。并且,处理压力优选为,远低于上述初始化工序时的情况,例如是1000Pa以下。此外,以从某个WF6气体供给步骤开始到下一个WF6气体供给步骤的期间作为一次循环,根据需要进行几次循环至几十次循环的程度的处理。
在此,WF6气体供给步骤(含钨气体供给步骤)的时间T1大约为1.5sec,B2H6体供给步骤(氢化物气体供给步骤)的时间T2大约为3sec。并且,清扫步骤的时间T3大约为1.5sec。此外,这些时间都不是限定性的。并且,此时的每一次循环的成膜速率根据处理条件不同而异,例如0.7~1.2nm左右,通常初期钨膜的膜厚设定为6~7nm。如此,如果初期钨膜的形成工序结束,随后就转到主体钨膜形成工序。
(主体钨膜形成工序)
接下来,实行主体钨膜形成工序。主体钨膜形成工序,例如同时供给WF6气体和作为还原性气体的H2气体,以高的成膜速率由CVD法堆积出主体钨膜82,如图3D所示将埋入孔72完全埋入。此时的WF6气体流量,在300mm晶片的情况下大约为例如200~350sccm,并且H2气体的流量大约为例如2200sccm。并且处理压力大约为10666Pa。并且此时的成膜速率也依赖于处理条件,例如大约为170~240nm/min。
如上所述,当主体钨膜形成工序结束时,将晶片M从成膜装置中取出,通过对其进行CMP(化学机械研磨)处理,如在图3E中所示使平面平坦化除去多余部分的钨膜或者阻挡层,形成接触插头83。并且,在此之后,进行规定的处理制造出半导体器件(半导体装置)。
(钨膜电阻率的评价)
下面说明对于通过如上所述的本发明方法形成的包括初期钨膜和主体钨膜的钨膜整体的电阻率进行评价的结果,并与基于现有方法形成的钨膜整体的电阻率进行比较说明。图4表示基于本发明方法形成的钨膜整体的电阻率的示意图y1。在图4中同时还表示有基于现有方法形成的钨膜整体的电阻率的示意图y2。在此,作为本发明的方法,作为其一个例子如上所述,实行连续进行以下三个工序的方法:供给SiH4气体进行的初始化工序、交替地供给WF6气体和B2H6气体进行的基于ALD的初期钨膜形成工序和主体钨膜形成工序。而作为现有方法,如上所述是实行连续进行以下两个工序的方法:交替供给WF6气体和B2H6气体进行的基于ALD的初期钨膜形成工序和主体钨膜形成工序。并且,如上所述初期钨膜的厚度为6~7nm左右,所以图4中所示的横轴的厚度几乎变成主体钨膜的厚度。
如由图y1和y2所明示的,本发明方法和现有方法,都是随着厚度增加电阻率下降,但在同样厚度的部分,本发明的方法通常要比现有方法的电阻率低大约1~3μΩcm,其结果是,在用本发明方法形成初期钨膜的情况下,可以确认能够使在其上面堆积的主体钨膜的电阻率降低。其原因可认为如下。即,可以推论为,作为主体钨膜82的种核层的初期钨膜80由于供给SiH4进行的初始化工序形成为无定形体(非晶体),该状态对主体钨膜82的成长产生影响。该主体钨膜82的颗粒尺寸(结晶粒径)被维持在比用现有方法形成的主体钨膜10中的高,而使电阻率下降。
为了对此进行验证,在改变了结晶性的初期钨膜上堆积主体钨膜,用电子显微镜(SEM)观察主体钨膜的截面。图5A和图5B分别是对用本发明方法和现有方法形成的初期钨膜进行X射线衍射分析(XED)的结果,和各自的主体钨膜的截面SEM照片。并且,在图5中为了便于理解,部分的模式图一起表示在各截面SEM照片的下面。图5A表示基于现有方法的标准处理,图5B表示基于本发明方法的改良方法。
并且,在图5A和图5B的XRD结果中,Si(200)、Si(400)是表示基板的结晶性的顶点,α(110)、α(200)是表示初期钨膜结晶性的顶点。据此,当使用基于本发明的方法时,初期钨膜的α(200)消失,α(110)变宽,可以理解为接近无定形的状态。并且,由ALD形成的初期钨膜,由其XRD结果可以看出,初期钨膜越变成无定形的,其主体钨膜的颗粒(结晶粒子)就变得越大。一般说来,由于已经知道钨膜的颗粒尺寸越大电阻率就越低,所以使用基于本发明方法的改良方法比使用基于现有方法的标准处理的钨膜整体的电阻率更低。
(氟浓度的评价)
下面,对钨膜和阻挡层的临界部分的氟浓度进行评价的结果作出说明。图6是由SIMS(二次离子质量分析法)测定形成有钨膜的晶片的在其深度方向上氟浓度分布的结果。在此,为了使临界部分氟浓度的差异更加明了,对形成的厚度大约为实际厚度5倍的初期钨膜进行评价。
并且,在本评价中,在初期钨膜形成工序中,在交替供给WF6气体和B2H6气体的步骤之间的清扫步骤中,清扫气体的流量和压力是一定的。如从图6中可以看到,能够确认在钨膜和阻挡层的临界部分,本发明方法的氟浓度比现有方法的情况下低一个数量级,显示出良好的结果。
在此,在图8A和图8B中表示出通过光学显微镜观察在整个基板上发生火山口状态的画面代用照片。图8A是基于现有方法处理的晶片的情况,图B是基于本发明方法处理的晶片的情况。在图8A和图8B中,为了更加容易地理解本发明的效果将模式图一并表示。在图8A和图8B中,黑点表示发生火山口,据此,在现有方法的情况下(图8A),发生了许多火山口,而在本发明方法(图8B)的情况下,几乎没有火山口发生,可以确认本发明方法的有效性。
如此,由于通过SiH4初始化处理,能够降低在钨膜和阻挡层的临界部分的氟元素浓度,因此就能够抑制氟向阻挡层扩散或穿透的发生,从而阻止发生火山口等。
下面,对在初期钨膜形成工序中由于清扫气体的供给方式引起的初期钨膜中氟元素浓度的变化进行评价。在上述评价中,在初期钨膜形成工序中的交替供给WF6气体和B2H6气体的步骤之间的清扫步骤中,设定Ar气体和N2气体的流量为一定,但是在清扫步骤大致中间T4(参照图2)时完全停止这些气体的供给,仅继续抽真空使处理容器内的压力急剧下降。通过该方法大大提高残留气体的排除效率,抑制了WF6气体和B2H6气体的气相反应,使在晶片表面上更加完全地吸附WF6气体和使基于B2H6气体的还原反应继续进行。图7表示用现有的清扫方法和进行比较用上述方法形成的初期钨膜中氟元素浓度的分布。从该图7可以看出,与现有方法相比较,初期钨膜中的氟元素浓度降低了两个以上数量级,具有很大效果。
如上所述可以确认,通过在初期钨膜形成工序之前增加供给SiH4气体的初始化工序,能够降低钨膜和阻挡层临界部分的氟元素浓度,进一步在初期钨膜形成工序中通过改变清扫气体的供给方式也能够降低初期钨膜中的氟浓度。预想根据本发明的方法能够更加抑制火山口的产生。
(密接性评价)
下面,说明对钨膜与阻挡层的密接性基于JIS交叉切割法(JISk5400)进行评价的结果。图9A和图9B是表示在阻挡层上通过ALD法堆积初期钨膜和主体钨膜的叠层膜,对密接性进行评价的结果的示意图。图9A表示基于JIS将剥离程度分类后的每一等级的基准,图9B表示本申请发明方法(改良的方法)和现有方法的钨膜的剥离结果。密接性的评价方法,是基于上述的JIS,在堆积钨膜以后用金刚石笔横竖地棋盘状地划出规定的伤痕,在其上面贴上规定的透明胶带,然后一下进行剥离。将其剥离的比例与JIS基准值比较,决定密接性的等级。
根据该结果判明,通过使用SiH4进行初始化处理,明显较大提高了初期钨膜和主体钨膜的密接性。即,在基于现有方法的情况下,剥离比率在只有初期钨膜时为35~65%(1B),包括主体钨膜时为65~100%(0B),密接性是相当差的。
与此相反,在基于本发明方法的情况下,其剥离率在只有初期钨膜时在5%(1B)以下,在包括主体钨膜时为35~65%(4B),可以确认通过本发明能够在相当程度上改善密接性。该密接性改善的原因,可以设想是,恐怕在初期钨膜和阻挡层的界面上存在的氟原子或该氟原子与阻挡层反应生成的氟合物是阻碍作为阻挡层的TiN膜与钨膜密接性的原因,通过降低上述界面的氟浓度,就恢复了本来的TiN-W的密接性。并且,像这样,能够改善密接性的结果,即使如在图3E中所示进行CMP处理,也能够防止使用的溶剂侵入内部临界部分,结果就能够避免发生钨膜从接触插头脱离等问题。
上面参照附图说明了本发明优选的实施方式,当然不用说本发明并不限于所涉及的这些例子。只要是本领域的专业人员,在权利要求所规定的范围内,可以进行各式各样的变更,这些当然都属于本发明的技术范围内。
比如,在上述实施方式中使用甲硅烷作为含有硅氢的气体,但并不限定于此,使用乙硅烷等硅氢化合物或三甲基硅烷((CH3)3SiH)等有机硅烷也是可以的。
在上述实施形态中,使用乙硼烷作为不含硅的氢化物气体,但并不限于此,可以使用磷化氢等强还原性的气体。再者,作为含钨气体并不限于WF6,也可以使用有机钨原料气体。
本发明可以适用于在半导体晶片等被处理体表面上形成钨膜的方法、成膜装置、存储介质和半导体装置。

Claims (13)

1.一种钨膜的形成方法,用于在构成为可抽真空的处理容器内在被处理体的表面上形成钨膜,其特征在于,包括:
向所述被处理体供给含硅气体的工序;和
在该工序之后,通过交替重复进行供给含钨气体的含钨气体供给步骤与供给不含硅的氢化物气体的氢化物气体供给步骤,并在两步骤之间插入向所述处理容器内供给惰性气体的清扫步骤和/或将所述处理容器抽真空的抽真空步骤,形成第一钨膜的工序。
2.根据权利要求1中所述的钨膜形成方法,其特征在于,还包括:
通过向所述第一钨膜上同时供给所述含钨气体和还原性气体,形成第二钨膜的工序。
3.根据权利要求2中所述的钨膜形成方法,其特征在于:
所述第一钨膜形成工序和所述第二钨膜形成工序在同一个处理容器内进行。
4.根据权利要求1~3中任一项所述的钨膜形成方法,其特征在于:
所述含硅气体选自甲硅烷、乙硅烷、有机硅烷。
5.根据权利要求1~4中任一项所述的钨膜形成方法,其特征在于:
所述不含硅的氢化物气体是乙硼烷或磷化氢。
6.根据权利要求1~4中任一项所述的钨膜形成方法,其特征在于:
所述不含有硅的氢化物气体是用氢稀释的乙硼烷气体。
7.根据权利要求2~6中任一项所述的钨膜形成方法,其特征在于:
所述还原性气体是氢气。
8.根据权利要求1~7中任一项所述的钨膜形成方法,其特征在于:
所述含钨气体是WF6
9.根据权利要求1~8中任一项所述的钨膜形成方法,其特征在于:
在所述被处理体的表面形成含有TiN膜的阻挡层。
10.一种钨膜形成方法,其特征在于,包括:
在构成为可抽真空的处理容器内,向形成有接触孔的被处理体供给含硅气体的工序;
在该工序之后,通过交替重复进行供给含钨气体的含钨气体供给步骤与供给不含硅的氢化物气体的氢化物气体供给步骤,并在两步骤之间插入向所述处理容器内供给惰性气体的清扫步骤和/或将所述处理容器抽真空的抽真空步骤,形成第一钨膜的工序;
通过向所述第一钨膜上同时供给所述含钨气体和还原性气体,进一步形成第二钨膜并埋入所述接触孔的工序;和
在所述第二钨膜形成之后,通过对所述被处理体表面实施化学机械研磨处理形成接触插头的工序。
11.一种成膜装置,其特征在于,包括:
构成为可抽真空的处理容器;
设置在所述处理容器内用于装载被处理体的装载台;
用于加热所述被处理体的加热装置;
至少能够向所述处理容器内供给含硅氢气体、含钨气体、不含硅的氢化物气体的气体供给装置;以及
控制部,该控制部在被装载于装载台上的被处理体表面上形成钨膜时,实施通过所述气体供给装置向所述被处理体供给含硅气体的工序,和在该工序之后,通过交替重复进行通过所述气体供给装置供给含钨气体的含钨气体供给步骤与通过所述气体供给装置供给不含硅的氢化物气体的氢化物气体供给步骤,并在两步骤之间插入向所述处理容器内供给惰性气体的清扫步骤和/或将所述处理容器抽真空的抽真空步骤,形成第一钨膜的工序。
12.一种存储介质,其为存储有程序的计算机可读存储介质,该程序用于使计算机通过成膜装置对处理容器内的被处理体实施钨膜形成处理,其特征在于,该成膜装置包括:
构成为可抽真空的处理容器;
设置在所述处理容器内用于装载被处理体的装载台;
用于加热所述被处理体的加热装置;
至少能够向所述处理容器内供给含硅氢气体、含钨气体、不含硅的氢化物气体的气体供给装置,其中
所述钨膜形成处理包括:
通过所述气体供给装置向所述被处理体供给含硅气体的工序;和
在该工序之后,通过交替重复进行供给含钨气体的含钨气体供给步骤与供给不含硅的氢化物气体的氢化物气体供给步骤,并在两步骤之间插入向所述处理容器内供给惰性气体的清扫步骤和/或将所述处理容器抽真空的抽真空步骤,形成第一钨膜的工序。
13.一种半导体装置,包括接触插头,其特征在于,所述接触插头由包括如下工序的方法形成:
在构成为可抽真空的处理容器内,向形成有接触插头的被处理体供给含硅气体的工序;
在该工序之后,通过交替重复进行供给含钨气体的含钨气体供给步骤与供给不含硅的氢化物气体的氢化物气体供给步骤,并在两步骤之间插入向所述处理容器内供给惰性气体的清扫步骤和/或将所述处理容器抽真空的抽真空步骤,形成第一钨膜的工序;
通过向所述第一钨膜同时供给所述含钨气体和还原性气体,进一步形成第二钨膜并埋入所述接触孔的工序;和
在形成所述第二钨膜之后对所述被处理体的表面实施化学机械研磨处理由此形成接触插头的工序。
CNA2006800241124A 2005-07-01 2006-06-23 钨膜的形成方法、成膜装置、存储介质和半导体装置 Pending CN101213320A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005194170A JP4945937B2 (ja) 2005-07-01 2005-07-01 タングステン膜の形成方法、成膜装置及び記憶媒体
JP194170/2005 2005-07-01

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN200910253445XA Division CN101899649B (zh) 2005-07-01 2006-06-23 钨膜的形成方法

Publications (1)

Publication Number Publication Date
CN101213320A true CN101213320A (zh) 2008-07-02

Family

ID=37604313

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA2006800241124A Pending CN101213320A (zh) 2005-07-01 2006-06-23 钨膜的形成方法、成膜装置、存储介质和半导体装置
CN200910253445XA Active CN101899649B (zh) 2005-07-01 2006-06-23 钨膜的形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN200910253445XA Active CN101899649B (zh) 2005-07-01 2006-06-23 钨膜的形成方法

Country Status (6)

Country Link
US (1) US8168539B2 (zh)
JP (1) JP4945937B2 (zh)
KR (1) KR100939124B1 (zh)
CN (2) CN101213320A (zh)
TW (1) TWI390612B (zh)
WO (1) WO2007004443A1 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103132046A (zh) * 2011-11-25 2013-06-05 东京毅力科创株式会社 钨膜的成膜方法
CN107460449A (zh) * 2016-06-02 2017-12-12 朗姆研究公司 用于增强填充物和减少衬底撞击的原子层沉积
CN109563619A (zh) * 2016-07-26 2019-04-02 东京毅力科创株式会社 钨膜的成膜方法
CN110629187A (zh) * 2015-05-18 2019-12-31 朗姆研究公司 用多阶段核化抑制填充特征
CN110777351A (zh) * 2018-07-30 2020-02-11 圆益Ips股份有限公司 钨沉积方法
CN111095488A (zh) * 2017-08-14 2020-05-01 朗姆研究公司 三维竖直nand字线的金属填充过程
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
US11410883B2 (en) 2009-08-04 2022-08-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11901227B2 (en) 2014-09-30 2024-02-13 Lam Research Corporation Feature fill with nucleation inhibition
US11978666B2 (en) 2018-12-05 2024-05-07 Lam Research Corporation Void free low stress fill
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
JP2008192835A (ja) * 2007-02-05 2008-08-21 Tokyo Electron Ltd 成膜方法,基板処理装置,および半導体装置
US8156022B2 (en) 2007-02-12 2012-04-10 Pricelock, Inc. Method and system for providing price protection for commodity purchasing through price protection contracts
US8019694B2 (en) 2007-02-12 2011-09-13 Pricelock, Inc. System and method for estimating forward retail commodity price within a geographic boundary
WO2008124712A1 (en) 2007-04-09 2008-10-16 Pricelock, Inc. System and method for constraining depletion amount in a defined time frame
JP5064119B2 (ja) 2007-06-07 2012-10-31 東京エレクトロン株式会社 真空引き方法及び記憶媒体
KR100890047B1 (ko) * 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
KR100881716B1 (ko) * 2007-07-02 2009-02-06 주식회사 하이닉스반도체 낮은 시트저항의 텅스텐막을 갖는 텅스텐배선 제조 방법 및그를 이용한 반도체소자의 게이트 제조 방법
JP5428151B2 (ja) * 2007-11-26 2014-02-26 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5547380B2 (ja) 2008-04-30 2014-07-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
JP5646190B2 (ja) * 2010-03-12 2014-12-24 東京エレクトロン株式会社 洗浄方法及び処理装置
US8865594B2 (en) * 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
JP5925476B2 (ja) * 2011-12-09 2016-05-25 株式会社アルバック タングステン化合物膜の形成方法
JP2013182961A (ja) * 2012-02-29 2013-09-12 Toshiba Corp 半導体製造装置及び半導体装置の製造方法
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102100520B1 (ko) * 2012-03-27 2020-04-14 노벨러스 시스템즈, 인코포레이티드 핵생성 억제를 사용하는 텅스텐 피처 충진
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
JP6147913B2 (ja) * 2014-03-28 2017-06-14 株式会社日立国際電気 半導体デバイスの製造方法、基板処理装置およびプログラム
US10643925B2 (en) * 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
CN105097474B (zh) * 2014-05-09 2018-03-06 中国科学院微电子研究所 一种半导体器件的制造方法
KR101593671B1 (ko) * 2014-06-20 2016-02-12 (주)알파코 엘엠 가이드의 텅스텐 코팅방법
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
JP6222880B2 (ja) * 2014-09-24 2017-11-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10002834B2 (en) * 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
JP6416679B2 (ja) * 2015-03-27 2018-10-31 東京エレクトロン株式会社 タングステン膜の成膜方法
US9754824B2 (en) * 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
JP6346595B2 (ja) 2015-08-25 2018-06-20 東芝メモリ株式会社 半導体装置及びその製造方法
JP2017069313A (ja) * 2015-09-29 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
RU2712681C1 (ru) * 2016-10-27 2020-01-30 Общество с ограниченной ответственностью научно-производственное предприятие "ЭФОМ" Способ нанесения тонких металлических покрытий
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
JP6788545B2 (ja) * 2017-04-26 2020-11-25 東京エレクトロン株式会社 タングステン膜を形成する方法
CN109750274B (zh) * 2017-11-01 2021-10-22 长鑫存储技术有限公司 半导体生产设备及半导体工艺方法
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US20210115560A1 (en) * 2018-06-28 2021-04-22 Tokyo Electron Limited Film forming method, film forming system, and film forming apparatus
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1097848C (zh) * 1997-11-21 2003-01-01 台湾茂矽电子股份有限公司 制造集成电路的化学机械研磨方法及其装置
US6982226B1 (en) * 1998-06-05 2006-01-03 Agere Systems Inc. Method of fabricating a contact with a post contact plug anneal
JP2000114369A (ja) * 1998-10-05 2000-04-21 Sony Corp 金属膜の形成方法および電子装置の製造方法
JP3331334B2 (ja) * 1999-05-14 2002-10-07 株式会社東芝 半導体装置の製造方法
US6214714B1 (en) * 1999-06-25 2001-04-10 Applied Materials, Inc. Method of titanium/titanium nitride integration
US6303480B1 (en) * 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100783844B1 (ko) * 2001-08-14 2007-12-10 동경 엘렉트론 주식회사 텅스텐막의 형성 방법
JP4032872B2 (ja) * 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6787466B2 (en) * 2002-02-15 2004-09-07 Applied Materials, Inc. High throughout process for the formation of a refractory metal nucleation layer
US7427426B2 (en) * 2002-11-06 2008-09-23 Tokyo Electron Limited CVD method for forming metal film by using metal carbonyl gas
JP3956049B2 (ja) * 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
JP2004277864A (ja) * 2003-03-18 2004-10-07 Toshiba Corp 成膜方法及び成膜装置
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
JP3759525B2 (ja) * 2003-10-27 2006-03-29 松下電器産業株式会社 半導体装置の製造方法

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11410883B2 (en) 2009-08-04 2022-08-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN103132046B (zh) * 2011-11-25 2016-06-08 东京毅力科创株式会社 钨膜的成膜方法
CN103132046A (zh) * 2011-11-25 2013-06-05 东京毅力科创株式会社 钨膜的成膜方法
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11901227B2 (en) 2014-09-30 2024-02-13 Lam Research Corporation Feature fill with nucleation inhibition
CN110629187A (zh) * 2015-05-18 2019-12-31 朗姆研究公司 用多阶段核化抑制填充特征
CN107460449A (zh) * 2016-06-02 2017-12-12 朗姆研究公司 用于增强填充物和减少衬底撞击的原子层沉积
CN107460449B (zh) * 2016-06-02 2021-03-12 朗姆研究公司 用于增强填充物和减少衬底撞击的原子层沉积
CN109563619A (zh) * 2016-07-26 2019-04-02 东京毅力科创株式会社 钨膜的成膜方法
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
CN111095488A (zh) * 2017-08-14 2020-05-01 朗姆研究公司 三维竖直nand字线的金属填充过程
CN110777351B (zh) * 2018-07-30 2022-07-05 圆益Ips股份有限公司 钨沉积方法
CN110777351A (zh) * 2018-07-30 2020-02-11 圆益Ips股份有限公司 钨沉积方法
US11978666B2 (en) 2018-12-05 2024-05-07 Lam Research Corporation Void free low stress fill
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Also Published As

Publication number Publication date
TWI390612B (zh) 2013-03-21
CN101899649A (zh) 2010-12-01
WO2007004443A1 (ja) 2007-01-11
US20090045517A1 (en) 2009-02-19
TW200710968A (en) 2007-03-16
JP4945937B2 (ja) 2012-06-06
KR20080015129A (ko) 2008-02-18
US8168539B2 (en) 2012-05-01
JP2007009298A (ja) 2007-01-18
KR100939124B1 (ko) 2010-01-28
CN101899649B (zh) 2012-11-21

Similar Documents

Publication Publication Date Title
CN101899649B (zh) 钨膜的形成方法
CN101208458B (zh) 金属类膜形成方法
KR102133625B1 (ko) 텅스텐 막의 성막 방법
KR102510612B1 (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
US5963834A (en) Method for forming a CVD film
JP6554418B2 (ja) タングステン膜の成膜方法および成膜装置
US20090186467A1 (en) Substrate Processing Apparatus and Producing Method of Semiconductor Device
US20060130761A1 (en) Thin film processing system and method
JP2010287903A (ja) 基板処理方法、膜ストレス制御方法および基板処理装置
US10879081B2 (en) Methods of reducing or eliminating defects in tungsten film
JP4947922B2 (ja) 成膜方法およびコンピュータにより読み取り可能な記憶媒体
KR100989028B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
KR102361907B1 (ko) 성막 방법 및 기판 처리 시스템
JP2007077455A (ja) 半導体デバイスの製造方法
WO2006038954A1 (en) Low-pressure deposition of ruthenium and rhenium metal layers from metal-carbonyl precursors
WO2022202315A1 (ja) 埋め込み方法および処理システム
CN114746985A (zh) 蚀刻方法和蚀刻装置
KR20150060532A (ko) 금속막의 성막 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20080702