KR102403860B1 - 불소 프리 텅스텐을 사용하여 고 애스팩트 비 피처들을 충진하는 방법 - Google Patents

불소 프리 텅스텐을 사용하여 고 애스팩트 비 피처들을 충진하는 방법 Download PDF

Info

Publication number
KR102403860B1
KR102403860B1 KR1020150077167A KR20150077167A KR102403860B1 KR 102403860 B1 KR102403860 B1 KR 102403860B1 KR 1020150077167 A KR1020150077167 A KR 1020150077167A KR 20150077167 A KR20150077167 A KR 20150077167A KR 102403860 B1 KR102403860 B1 KR 102403860B1
Authority
KR
South Korea
Prior art keywords
tungsten
wcl
substrate
feature
deposition
Prior art date
Application number
KR1020150077167A
Other languages
English (en)
Other versions
KR20150138116A (ko
Inventor
한나 밤놀커
라쉬나 후마윤
미갈 다넥
조슈아 콜린스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150138116A publication Critical patent/KR20150138116A/ko
Application granted granted Critical
Publication of KR102403860B1 publication Critical patent/KR102403860B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

텅스텐 클로라이드 반응물질들을 사용하여 텅스텐을 디포지션 및 에칭하기 위한 방법들 및 장치가 본 명세서에서 제공된다. 이 방법들은 프리커서 및 에천트 양자로서 텅스텐 클로라이드들 (WClx) 을 사용하는 것을 수반한다. 일부 실시예들에서, 기판 상의 피처 내에 제 1 텅스텐 층을 디포지션하기 위해 조건들의 제 1 세트에서 WClx 프리커서 및 환원제에 기판을 노출시키는 단계; 및 제 1 텅스텐 층을 에칭하기 위해 조건들의 제 2 세트에서 WClx 프리커서 및 환원제에 기판을 노출시키는 단계를 포함한다. 다양한 실시예들에 따라, 디포지션 상황으로부터 에칭 상황으로의 전이는 WClx 플럭스를 증가시키는 단계, 온도를 감소시키는 단계, 및 WClx 프리커서를 변경하는 단계 중 하나 이상을 수반할 수 있다. 또한 관련된 장치가 제공된다.

Description

불소 프리 텅스텐을 사용하여 고 애스팩트 비 피처들을 충진하는 방법{METHODS OF FILLING HIGH ASPECT RATIO FEATURES WITH FLUORINE FREE TUNGSTEN}
CVD (chemical vapor deposition) 기법을 사용하는 텅스텐 막 디포지션은 반도체 제조 프로세스들의 필수적인 부분이다. 예를 들어, 텅스텐 막들은 수평 상호접속부들, 인접한 금속층들 간의 비아들, 및 제 1 금속층과 실리콘 기판 상의 디바이스들 사이의 컨택트들의 형태의 저저항성 전기적 접속부들로서 사용될 수도 있다. 예시적인 텅스텐 디포지션 프로세스에서, 배리어층이 유전체 기판 상에 디포지션되고, 이어서 텅스텐 막의 얇은 핵생성층이 디포지션된다. 그 후, 나머지 텅스텐 막이 벌크층으로서 핵생성층 상에 디포지션된다. 종래에, 텅스텐 벌크층은 CVD 프로세스에서 수소 (H2) 를 사용하여 텅스텐 헥사플루오라이드 (WF6) 의 환원시킴으로써 형성되었다.
본 명세서에 기술된 주제의 일 양태는 기판 상에 텅스텐을 디포지션하는 방법이다. CVD (chemical vapor deposition) 에 의해 기판 상의 피처 내에 제 1 텅스텐 층을 디포지션하도록 제 1 세트의 조건들에서 기판을 텅스텐 클로라이드 및 환원제에 노출시키는 단계 및 제 1 텅스텐 층을 에칭하기 위해 제 2 세트의 조건들에서 텅스텐 클로라이드 및 환원제에 기판을 노출시키는 단계를 포함한다.
다양한 실시예들에 따라, 디포지션 동작 및 에칭 동작에 사용된 텅스텐 클로라이드 화합물은 동일하거나 상이할 수도 있다. 텅스텐 클로라이드들 (WClx) 은 WCl2, WCl4, WCl5, WCl6, 및 이들의 혼합물들을 포함한다. 환원제들의 예들은 수소 (H2) 를 포함한다.
일부 실시예들에서, 제 1 텅스텐 층의 에칭은, 피처의 개구 근처에서의 제 1 텅스텐 층의 평균 두께의 감소가 피처 내부에서의 제 1 텅스텐 층의 평균 두께의 감소보다 크도록, 비컨포멀한 (non-conformal) 에칭을 포함한다. 일부 실시예들에서, 제 1 세트의 조건들로부터 제 2 세트의 조건들로의 전이 (transition) 는 온도를 하강시키는 것을 포함한다. 일부 실시예들에서, 제 1 세트의 조건들로부터 제 2 세트의 조건들로의 전이는 WClx 플럭스를 상승시키는 것을 포함한다. 일부 실시예들에서, 제 1 세트의 조건들로부터 제 2 세트의 조건들로의 전이는 챔버 압력을 하강시키는 것을 포함한다. 일부 실시예들에서, 제 1 세트의 조건들로부터 제 2 세트의 조건들로의 전이는 WClx 플로우레이트를 상승시키는 것을 포함한다. 일부 실시예들에서, 제 1 세트의 조건들로부터 제 2 세트의 조건들로의 전이는 WClx 농도를 상승시키는 것을 포함한다.
주제의 또 다른 양태는 텅스텐으로 부분적으로 충진된 피처를 WClx에 노출하여, 부분적으로 충진된 피처 내에서 텅스텐의 일부를 제거하는 단계를 포함하는 방법에 관한 것이다. 일부 실시예들에서, 피처는 또한 수소 (H2) 에 노출될 수도 있다. 일부 실시예들에서, 피처의 개구 근처에서의 텅스텐의 평균 두께의 감소가 피처 내부에서의 텅스텐의 평균 두께의 감소보다 크다.
본 명세서에 개시된 주제의 또 다른 양태는 기판들을 프로세싱하기 위한 장치에 관한 것이다. 장치는, (a) 기판을 홀딩하도록 (hold) 구성된 페데스탈을 포함하는 하나 이상의 프로세스 챔버들; (b) 적어도 하나의 유출부; (c) 하나 이상의 프로세스 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및 (d) 장치 내에서 동작들을 제어하기 위한 제어기를 포함할 수도 있고, 제어기는, (i) 하나 이상의 프로세스 챔버들 중 하나로 텅스텐 클로라이드 및 환원제를 도입하고; 그리고 (ii) (i) 후에, 하나 이상의 프로세스 챔버들 중 하나로 텅스텐 클로라이드 및 환원제를 도입하기 위한 머신 판독가능 인스트럭션들을 포함하고, (i) 로부터 (ii) 로의 전이는 디포지션 상황 (regime) 으로부터 에칭 상황으로 스위칭하기 (switch) 위한 인스트럭션들을 포함한다.
일부 실시예들에서, 제어기는 텅스텐 클로라이드 농도를 상승시킴으로써 (i) 로부터 (ii) 로 전이하기 위한 인스트럭션들을 포함한다. 일부 실시예들에서, 제어기는 기판의 온도를 감소시킴으로써 (i) 로부터 (ii) 로 전이하기 위한 인스트럭션들을 포함한다. 일부 실시예들에서, 제어기는 텅스텐 클로라이드를 변경함으로써 (i) 로부터 (ii) 로 전이하기 위한 인스트럭션들을 포함한다. 일부 실시예들에서, 제어기는 텅스텐 클로라이드 플로우레이트를 상승시킴으로써 (i) 로부터 (ii) 로 전이하기 위한 인스트럭션들을 포함한다.
이들 및 다른 양태들이 도면들을 참조하여 이하에 추가로 기술된다.
도 1은 특정한 실시예들에 따른 반도체 프로세싱의 상이한 스테이지들 동안 고 애스팩트 비 피처를 포함하는 반도체 기판의 예를 예시한다.
도 2는 기술된 실시예들에 따라 수행된 동작들을 도시하는 프로세스 흐름도이다.
도 3a는 충진 프로세스의 상이한 스테이지들에서 피처 단면의 일 예의 개략 대표도를 예시한다.
도 3b는 특정한 실시예들에 따른 피처의 보텀-업 (bottom-up) 충진의 예를 도시한다.
도 4는 특정한 실시예들에 따라 텅스텐 박막 디포지션 프로세스 및 에칭 프로세스를 수행하기에 적합한 프로세싱 시스템의 예의 개략도이다.
도 5는 특정한 실시예들에 따른 디포지션 스테이션의 예의 개략도이다.
도 6은 450℃ 및 550℃에서의 WCl6/H2 노출에 대한 압력의 함수로서 텅스텐 (W) 및 티타늄 나이트라이드 (TiN) 두께를 도시하는 압력 곡선이다.
도 7은 WCl5 및 WCl6에 대한 프리커서 농도의 함수로서 CVD 디포지션 레이트 및 에칭 전이를 도시하는 그래프이다.
관련 출원들에 대한 교차 참조
본 출원은 명칭이 "METHODS OF FILLING HIGH ASPECT RATIO FEATURES WITH FLUORINE FREE TUNGSTEN"인 2014년 5월 31일 출원된 미국 가 특허 출원 번호 제 62/006,117 호의 35 U.S.C.
Figure 112015063493296-pat00001
119(e) 하에서의 이익 및 명칭이 "METHODS OF FILLING HIGH ASPECT RATIO FEATURES WITH FLUORINE FREE TUNGSTEN"인, 2014년 11월 4일 출원된 미국 가 특허 출원 번호 제 62/075,092 호의 35 U.S.C.
Figure 112015063493296-pat00002
119(e) 하에서의 이익을 주장하고, 두 출원 모두 전체가 참조로서 모든 목적들을 위해 본 명세서에 인용된다.
이하의 기술에서, 다수의 구체적인 상세들이 제시된 실시예들의 전체적인 이해를 제공하기 위해 언급된다. 개시된 실시예들은 이들 구체적인 상세들의 일부 또는 전부가 없이도 실시될 수 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않는다. 개시된 실시예들이 구체적인 실시예들과 함께 기술되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
반도체 디바이스 제조는 종종, 특히 상호접속부들을 형성하기 위한 트렌치들 또는 비아들 내의 텅스텐 막들의 디포지션을 수반한다. 텅스텐 막들을 디포지션하는 종래의 방법들에서, 핵생성 텅스텐층이 먼저 비아 또는 컨택트 내로 디포지션된다. 일반적으로, 핵생성층은 그 위에 벌크 물질의 후속 형성을 촉진하도록 기능하는 얇은 컨포멀 (conformal) 층이다. 텅스텐 핵생성층은 피처의 측벽들 및 하단부를 컨포멀하게 코팅하도록 디포지션될 수도 있다. 아래에 놓인 피처 하단부 및 측벽들에 일치 (conforming) 하는 것이 고품질 디포지션을 지원하기 위해 중요할 수 있다. 핵생성층들은 종종 ALD (atomic layer deposition) 또는 PNL (pulsed nucleation layer) 방법들을 사용하여 디포지션된다.
PNL 기법에서, 반응물질의 펄스들은 순차적으로 주입되고, 통상적으로 반응물질들 간의 퍼지 가스의 펄스에 의해 반응 챔버로부터 퍼지된다. 제 1 반응물질은 기판 상에 흡착되어, 다음 반응물질과 반응할 수 있게 된다. 프로세스는 목표된 두께가 달성될 때까지 순환적인 방식으로 반복된다. PNL은 ALD 기법들과 유사하다. PNL은 일반적으로 (1 Torr보다 큰) 보다 높은 동작 압력 범위 및 (사이클 당 1 모노레이어 막 성장보다 큰) 보다 높은 성장 레이트에 의해 ALD와 구별된다. PNL 디포지션 동안 챔버 압력은 약 1 Torr 내지 약 400 Torr의 범위일 수 있다. 본 명세서에 제공된 기술의 맥락에서, PNL은 반도체 기판 상에서의 반응을 위해 순차적으로 반응물질들을 첨가하는 임의의 순환적 프로세스를 광범위하게 구현한다. 따라서, 발상은 통상적으로 ALD로 지칭되는 기법들을 구현한다.
텅스텐 핵생성층이 디포지션된 후, 벌크 텅스텐은 통상적으로 수소 (H2) 와 같은 환원제를 사용하여 텅스텐 헥사플루오라이드 (WF6) 를 환원시킴으로써 비순차적인 CVD (chemical vapor deposition) 프로세스에 의해 디포지션된다. 개시된 실시예들의 맥락에서, 비순차적인 CVD는 증기상 반응을 위해 반응물질들이 함께 반응기에 도입되는 프로세스들을 구현한다. PNL 및 ALD 프로세스들은 CVD 프로세스들과 구별되고 그 반대도 마찬가지이다.
종래의 텅스텐의 디포지션은 불소 함유 텅스텐 프리커서 WF6의 사용을 수반하였다. 그러나, WF6의 사용은 디포지션된 텅스텐 막에 일부 불소의 포함을 발생시켰다. 디바이스가 축소됨에 따라, 피처들이 보다 작아지게 되고 일렉트로마이그레이션 (electromigration) 및 이온 확산과 같은 유해한 영향들이 보다 두드러져서, 디바이스 고장을 유발한다. 불소의 존재는 인접한 컴포넌트들로의 일렉트로마이그레이션 및/또는 불소 확산을 유발할 수 있고 컨택트들을 대미지하여, 디바이스의 성능을 감소시킨다. 미량의 불소를 함유하는 텅스텐 막들은 따라서 통합 (integration) 및 신뢰성 문제들, 뿐만 아니라 아래에 놓인 막들 또는 비아들 및 게이트들과 같은 디바이스 구조체들과 관련된 디바이스 성능 문제들을 제기할 수 있다.
불소 프리 텅스텐 (FFW: fluorine-free tungsten) 프리커서들은 이러한 신뢰성 및 통합 또는 디바이스 성능 문제들을 방지하는데 유용하다. 현재 FFW 프리커서들은 금속 유기 프리커서들을 포함하지만, 탄소, 수소, 질소, 및 산소와 같은, 금속 유기 프리커서들로부터의 원치 않는 미량의 원소들이 텅스텐 막에 포함될 수도 있다. 일부 금속 유기 불소 프리 프리커서들은 또한 텅스텐 디포지션 프로세스들에서 용이하게 구현되거나 통합되지 않는다.
본 명세서에 개시된 방법들은 불소 프리 텅스텐 (FFW) 으로 피처들을 충진하는 단계를 수반한다. 일부 실시예들에서, 불소 프리 텅스텐 클로라이드 (WClx) 프리커서를 사용하여 텅스텐 막들의 우수한 단차 커버리지가 제공된다. 프로세스들은, 먼저 부분적인 디포지션, 에칭을 수행하고, 이어서 제 2 디포지션으로 충진을 완료함으로써 고 애스팩트 비 트렌치들의 충진 및 FFW 막을 달성할 수 있다. 일부 실시예들에서, 이는, 디포지션 프리커서 및 에천트 양자로서 WClx를 사용하여 디포지션 조건들로부터 에칭 조건들로 프로세스 조건들을 변경하는 것만으로, 단일 챔버 내에서 인-시츄 (in-situ) 달성될 수 있다. 일부 실시예들에서, 복수의 디포지션-에칭 사이클들이 피처를 충진하기 위해 수행될 수도 있다.
텅스텐-함유 물질들로 피처들을 충진하는 것은 충진된 피처들 내부에 심들 (seams) 의 형성을 유발할 수도 있다. 심은 피처의 측벽들 상에 디포지션되는 층이 핀치 지점 (pinch point) 을 형성함으로써 실링하는 지점까지 두꺼워질 때 형성될 수 있고, 이 지점 아래의 임의의 보이드 공간 (void space) 은 프로세싱 챔버의 환경으로부터 분리된다. 이러한 핀치는 프리커서들 및/또는 다른 반응물질들이 남아있는 보이드 공간들에 들어가는 것을 방지하고, 남아있는 반응물질들은 충진되지 않은 채로 남는다. 보이드 공간은 피처의 깊이 방향을 따라 충진된 피처의 부분에 걸쳐 연장하는 길어진 (elongated) 심일 수도 있다. 이러한 보이드 공간 또는 심은 또한 때때로 이들이 날카롭기 때문에 키홀 (keyhole) 이라고 지칭된다.
심 형성을 유발하는 다수의 가능성이 있다. 하나의 가능성은 텅스텐-함유 물질들 또는 보다 전형적으로 확산 배리어층 또는 핵생성층과 같은 다른 물질들의 디포지션 동안 피처 개구부 근처에 형성된 오버행 (overhang) 이다. 도 1은 특정한 실시예들에 따른 반도체 프로세싱의 상이한 스테이지들 동안 고 애스팩트 비 피처를 포함하는 반도체 기판의 예를 예시한다. 제 1 단면 (101) 은 사전 형성된 피처 홀 (105) 을 갖는 기판 (103) 을 도시한다. 기판은 실리콘 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 피처 홀 (105) 은 적어도 약 2:1 또는, 보다 구체적인 실시예들에서, 적어도 약 4:1의 애스팩트 비를 가질 수도 있다. 이하에 더 논의된 바와 같이, 본 명세서에 개시된 방법들은 예를 들어, 적어도 12:1, 또는 적어도 30:1의 훨씬 높은 애스팩트 비들을 갖는 피처들을 충진하기 위해 사용될 수도 있다. 피처 홀 (105) 은 또한 약 10 ㎚ 내지 500 ㎚, 예를 들어, 25 ㎚ 내지 300 ㎚의 개구 근처의 단면 치수 (예를 들어, 개구 직경, 선 폭, 등) 를 가질 수도 있다. 피처 홀은 때때로 충진되지 않은 피처 또는 단순히 피처로 지칭된다.
다음 스테이지 (단면 (111)) 에서, 피처 홀 (105) 을 라이닝하는 하부층 (113) 이 증착된 기판 (103) 이 도시되고, 하부층은 확산 배리어층, 접착층, 핵생성층, 이들의 조합, 또는 임의의 다른 적용가능한 물질일 수도 있다. 많은 디포지션 프로세스들이 양호한 단차 커버리지 특성들을 갖지 않기 때문에, 보다 많은 물질가 오버행 (115) 을 형성할 수도 있는 피처 및 하부층 (113) 내부보다 필드 영역 (field region) 및 개구 근처에 디포지션된다. 하부층 (113) 의 일부인 오버행 (115) 을 가져서, 하부층 (113) 은 피처 내부보다 개구 근처에서 보다 두꺼울 수도 있다. 이 기술의 목적을 위해, "개구 근처 (near opening)"는, 필드 영역으로부터 측정된 피처 깊이의 약 0 내지 10 %에 대응하는 (즉, 피처의 측벽을 따라) 피처 내부의 대략적인 위치 또는 구역 (area) 으로서 규정된다. 특정한 실시예들에서, 개구 근처의 구역은 개구에서의 구역 (area) 에 대응한다. 또한 "피처 내부 (inside feature)"는 피처의 상단에서 필드 영역으로부터 측정된 피처 깊이의 약 20 내지 60 %에 대응하는 피처 내부의 대략적인 위치 또는 구역으로서 규정된다. 통상적으로, 특정한 파라미터들 (예를 들어, 두께) 에 대한 값들이 "개구 근처" 또는 "피처 내부"로 특정될 때, 이들 값들은 이들 위치들/구역들 내에서 취해진 측정치 또는 복수의 측정치들의 평균을 나타낸다. 특정한 실시예들에서, 개구 근처의 하부층의 평균 두께는 피처 내부보다 적어도 약 10 % 크다. 보다 구체적인 실시예들에서, 이 차는 적어도 약 25 %, 적어도 약 50 %, 또는 적어도 약 100 %일 수도 있다. 피처 내에서 물질의 분포는 또한 단차 커버리지를 특징으로 할 수도 있다. 본 기술의 목적을 위해, "단차 커버리지 (step coverage)"는 두 두께들의 비, 즉, 피처 내부의 물질의 두께를 개구 근처의 물질의 두께로 나눔으로써 규정된다. 특정한 예들에서, 하부층의 단차 커버리지는 약 100 % 미만 또는 보다 구체적으로, 약 75 % 미만 또는 심지어 약 50 %이다.
다음 단면 (121) 은 텅스텐-함유 물질들 (123) 로 충진된 피처 홀을 예시한다. 디포지션 프로세스는 하부층 (113) 위에 구축된 물질들의 컨포멀한 층 (123) 을 발생시킬 수도 있다. 이 디포지션된 층은 오버행 (115) 을 포함하는 하부층 (113) 의 형상을 따른다. 특정한 실시예들에서, 그리고 특히, 디포지션 프로세스의 다음 스테이지들에서 (예를 들어, 피처가 폐쇄되기 직전에), 층 (123) 은 부족한 단차 커버리지 (즉, 피처 내부보다 개구 근처에 보다 많은 물질가 디포지션됨) 발생시키는 덜 컨포멀하게 될 수도 있다. 층 (123) 이 두꺼워짐에 따라, 핀치 지점 (125) 을 형성하는 피처를 폐쇄할 수도 있다. 종종 일부 추가적인 물질가 디포지션 프로세스가 정지되기 전에 핀치 지점 (125) 위에 디포지션된다. 오버행 (115) 및, 특정한 실시예들에서, 층 (123) 의 부족한 단차 커버리지 때문에, 폐쇄된 피처는 기준 지점 (125) 아래에 충진되지 않은 보이드를 가질 수도 있다. 보이드는 심 (129) 으로 지칭된다. 심 (129) 의 크기 및 필드 영역 (127) 에 대한 기준 지점 (125) 의 위치는 오버행 (115) 의 크기뿐만 아니라 피처의 크기, 애스팩트 비, 및 보잉 (bowing), 디포지션 프로세스 파라미터들 및 다른 파라미터들에 따른다.
마지막으로, 단면 (131) 은 기판 (103) 으로부터 상단층을 제거하는 CMP (chemical mechanical planarization) 후에 기판 (133) 을 도시한다. CMP는 기판 (103) 의 상단 표면 상에 존재하는 층들 (113 및 123) 의 일부와 같은, 필드 영역으로부터 오버버든 (overburden) 을 제거하기 위해 사용될 수도 있다. 통상적으로, 기판 (103) 은 또한 기판 (133) 을 형성하기 위해 CMP 동안 박막화된다. 핀치 지점 (125) 이 도 1에 도시된 바와 같이, CMP 프로세스의 평탄화 레벨 위에 있으면, 심 (129) 은 상부가 개방되고 심 개구 (135) 를 통해 환경에 노출된다.
도 1에 예시되지 않지만, 그럼에도 불구하고 심 형성 및 심 확대 및 기준 지점의 필드 영역에 보다 가깝게 이동시킬 수도 있는 또 다른 요인은 피처 홀들의 커브된 (또는 보잉된) 측벽들이고, 또한 보잉된 피처들로 지칭된다. 보잉된 피처에서 개구 근처 캐비티의 단면 치수는 피처 내부보다 작다. 보잉된 피처들 내에서 이들 보다 좁은 개구들의 영향은 상기 기술된 오버행 문제와 다소 유사하다. 또한, 보잉된 피처들은 또한 오버행들을 갖는 하부층들을 갖고 심 형성에 부정적인 영향들을 악화시키는 다른 심 형성 요인들을 맞닥뜨릴 수도 있다.
불소 프리 텅스텐 (FFW) 으로 피처들을 충진하는 방법들이 본 명세서에 제공된다. 방법들은 프리커서 및 에천트 양자로서 텅스텐 클로라이드들 (WClx) 을 사용하는 것을 수반한다. 방법들은 목표된 단차 커버리지를 제공하기 위해 피처 내부에서 텅스텐 막의 외형을 그리거나 성형하도록 사용될 수 있다. 예를 들어, 100 %보다 큰, 예를 들어, 최대 150 %의 단차 커버리지가 제공될 수도 있다. 일부 실시예들에서, 방법들은 피처를 부분적으로 충진하기 위해 WClx 를 사용하여 피처 내부에 텅스텐을 디포지션하는 단계 및 피처 내부의 특정한 위치들로부터 제거된 텅스텐에 대해 비컨포멀한 에칭을 수행하는 단계를 수반한다. 일부 실시예들에서, 추가적인 디포지션-에칭 사이클들이 수행될 수도 있다. 하나 이상의 디포지션-에칭 사이클들 후에, 피처 충진은 텅스텐 디포지션으로 완료될 수도 있다. 이 방법들은 재차 들어가는 (re-entrant) 에칭 프로파일들 또는 오버행 배리어막들을 갖는 문제가 되는 컨택트 구조들의 완전한 충진을 실현한다. 일부 실시예들에서, 충진은 보텀-업 (bottom up) 충진 방식으로 발생한다. 프리커서로서 WClx가 사용되기 때문에, 우수한 신뢰성 특성들을 가져서 개선된 디바이스 성능을 갖는, 불소 프리 W 막이 달성된다. 방법들은 현재 제작 기술 노드들 (≥2X ㎚)뿐만 아니라 진보된 개발 노드들 (≤2X ㎚) 의 필요성을 해결한다.
텅스텐 클로라이드들은 WCl2, WCl4, WCl5 및 WCl6, 뿐만 아니라 이들의 혼합물들을 포함한다. 또한, 이하의 기술은 주로 불소 프리 방법들을 기술하지만, 다른 실시예들에서, WClx는 텅스텐 플루오로-클로라이드들 (WFxCly) 및 텅스텐 클로라이드들 (WClx) 과 텅스텐 플루오라이드들 (WFy) 의 혼합물들을 포함할 수도 있다.
또한, 이하의 기술이 텅스텐 (W) 피처 충진에 집중하지만, 본 개시의 양태들은 또한 텅스텐-함유 물질들의 디포지션시 구현될 수도 있다. 본 명세서에 기술된 임의의 텅스텐 막들은, 사용된 특정한 프리커서들 및 프로세스들에 따라, 질소, 탄소, 산소, 붕소, 인, 황, 실리콘, 게르마늄 등과 같은, 일정량의 다른 화합물들, 도펀트들 및/또는 불순물들을 포함할 수도 있다는 것을 이해해야 한다. 막 내의 텅스텐 함유량은 20 % 내지 100 % (원자) 텅스텐의 범위일 수도 있다. 많은 구현예들에서, 막들은 적어도 50 % (원자) 텅스텐, 또는 심지어 적어도 약 60 %, 75 %, 90 %, 또는 99 % (원자) 텅스텐을 갖는 텅스텐-풍부 막이다. 예를 들어, 본 명세서에 기술된 하나 이상의 기법들을 사용하는 피처 충진은 텅스텐 나이트라이드 (WNx), 텅스텐 카바이드 (WCx), 및 텅스텐 카보나이트라이드 (WCxNy) 와 같은 텅스텐-함유 물질들로 피처들을 충진하기 위해 사용될 수도 있다. 일부 구현예들에서, 막들은 금속성 또는 원자성 텅스텐 (W) 및 텅스텐 카바이드 (WC), 텅스텐 나이트라이드 (WN), 등과 같은 다른 텅스텐 함유 화합물들의 혼합물일 수도 있다. 카바이드들 및 나이트라이드들은 디포지션 동안 탄소 함유 화합물 및/또는 질소 함유 화합물을 도입함으로써 또는 이미 형성된 텅스텐층을 이러한 화합물들에 노출시킴으로써 형성될 수도 있다. 또한, 본 명세서에 기술된 방법들은 피처 충진의 맥락 이외의 텅스텐 디포지션, 예를 들어, 블랭킷 층들 또는 오버버든 층들을 디포지션 및/또는 에칭하기 위해 사용될 수도 있다.
도 2는 기술된 실시예들에 따라 수행된 동작들을 도시하는 프로세스 흐름도이다. 방법 (200) 은 텅스텐으로 충진될 하나 이상의 피처들을 갖는 기판을 제공하는 단계 (블록 201) 로 시작할 수 있다. 예를 들어, 기판은 멀티-스테이션 챔버 또는 단일 스테이션 챔버 내부의 디포지션 스테이션에 제공될 수도 있다. 기판은 확산 배리어층과 같은, 하부층 라이닝 피처를 가질 수도 있다. 특정한 기판 및 하부층 상세들은 도 1의 맥락에서 상기에 제공되었다.
특정한 실시예들에서, 개구 근처의 하부층의 평균 두께는 피처 내부보다 적어도 약 25 % 크다. 보다 일반적인 방식으로, 기판은 오버행을 갖는 하부층을 가질 수도 있다. 일부 경우들에서, 이전에 디포지션된 벌크 텅스텐층이 피처 내에 존재할 수도 있다. 확산 배리어층은 기판을 둘러싸는 물질들 내로 피처들을 충진하기 위해 사용된 물질들의 확산을 방지하는 컨포멀 층을 형성하도록 기판 상에 이전에 디포지션될 수도 있다. 확산 배리어층의 물질들은 텅스텐 나이트라이드, 티타늄, 티타늄 나이트라이드, 등을 포함할 수도 있다. 예시적인 배리어층 두께들은 약 10 Å 내지 500 Å 두께, 약 25 Å 내지 200 Å 두께일 수 있다.
방법 (200) 은 WClx를 사용하여 피처 내에서 텅스텐 (W) 의 디포지션을 진행한다 (블록 203). 상기에 나타낸 바와 같이, WClx는 임의의 텅스텐 클로라이드 또는 상이한 텅스텐 클로라이드들, 예를 들어, WCl6, WCl5, 등의 혼합물을 포함할 수도 있다. 일부 실시예들에서, 블록 203은 피처를 부분적으로 충진하기 위해 WClx 및 환원제에 피처를 노출시키는 단계를 수반할 수도 있다. 다양한 실시예들에 따라, 블록 203은 (환원제 및 WClx가 순차적으로 도입되는) ALD 또는 PNL-타입 반응, CVD 반응 또는 양자를 수반할 수 있다. 예를 들어, 핵생성층이 먼저 실란 (SiH4) 및/또는 디보란 (B2H6) 및 WClx 를 순차적으로 디포지션 챔버 내로 1회 이상 도입하고, 이어서 WClx가 H2에 의해 환원되는 CVD 반응에 의해 형성될 수도 있다. 실란 및 보란과 같은 환원제들은 일반적으로 수소 (H2) 보다 강하다. 이와 같이, 실란들, 보란들 및 게르만들이 핵생성층 디포지션을 위한 환원제로서 사용될 수도 있고 벌크층 디포지션을 위해 수소가 사용될 수도 있다.
프리커서로서 WCl6를 사용하여 텅스텐을 디포지션하는 방법들은 명칭이 "Methods of Preparing Tungsten and Tungsten Nitride Thin Films Using 텅스텐 클로라이드 Precursor"인, 2015년 5월 4일 출원된 미국 특허 출원번호 제 14/703,732 호에 기술되고, 이는 본 명세서에 참조로서 인용된다. CVD는 또한 보란들, 실란들, 또는 게르만들과 같은 다른 환원제들을 사용할 수도 있다. WCl2, WCl4, WCl5, WCl6를 포함하는 임의의 텅스텐 클로라이드 (WClx), 및 이들의 혼합물들이 사용될 수도 있다.
블록 203에서 구현된 CVD 프로세스는 비순차적인 (환원제 및 WClx가 동시에 도입되는) CVD 반응, 펄싱된 CVD 프로세스, 또는 순차적인 CVD 프로세스일 수도 있다. 일부 실시예들에서, 블록 203은 이들 중 둘 이상, 예를 들어, 순차적인 CVD 프로세스 이어서 비순차적인 CVD 프로세스를 수반할 수도 있다.
일부 실시예들에서, 블록 203은 동시에 출원된 미국 특허 출원 제 ________ 호 (대리인 관리 번호 LAMRP184/3601-1US) 에 기술된 바와 같이 순차적인 CVD 프로세스를 수반한다. 순차적인 CVD 프로세스들은 디포지션 동안 반응물질들이 동시에 챔버 내로 흐르지 않도록 반응물질 각각에 대해 개별적인 노출들을 구현한다. 오히려, 반응물질 플로우 각각은 기판을 하우징하는 챔버 내로 일시적으로 분리된 펄스들로 순차적으로 도입되고, 사이클들에서 1회 이상 반복된다. 일반적으로 사이클은 표면 디포지션 반응을 한번 수행하기 위해 사용된 동작들의 최소 세트이다. 1 사이클의 결과는 기판 표면 상에 적어도 부분적인 막층의 생성이다. 이들의 순환적인 특성때문에, 순차적인 CVD 프로세스들은 ALD 프로세스들과 유사하다. 그러나, 순차적인 CVD에서, 반응물질들은 기판 상의 활성 사이트들 (sites) 에 흡착될 필요가 없고, 일부 실시예들에서, 반응은 자기-정지 (self-limitind) 되지 않을 수도 있다. 예를 들어, 순차적인 CVD에서 사용된 반응물질들은 저 흡착 레이트를 가질 수도 있다. 더구나, 기판의 표면 상의 반응물질들은 제 2 반응물질이 도입될 때 제 2 반응물질과 반응할 필요가 없다. 오히려, 순차적인 CVD의 일부 실시예들에서, 기판 상의 일부 반응물질들은 사이클 동안 반응되지 않은 채로 남고, 후속 사이클까지 반응되지 않는다. 일부 반응물질들은 화학량론적 특성들, 입체 장애 (steric hindrance) 또는 다른 효과들로 인해 반응하지 않을 수도 있다. 일부 실시예들에서, 순차적인 CVD 프로세스는 WClx 및 H2의 교번하는 펄스들을 수반한다.
순차적인 CVD 프로세스들은 비순차적인 CVD, 펄싱된 CVD, ALD 및 핵생성층 디포지션과 구별된다. 비순차적인 CVD 프로세스들은 디포지션 동안 두 반응물질들이 동시에 흐르도록, 두 반응물질들의 동시 노출을 수반한다. 예를 들어, 벌크 텅스텐은 피처들을 충진하기에 충분한 지속기간 동안 동시에 수소 및 텅스텐 펜타클로라이드에 기판을 노출시킴으로써 디포지션될 수도 있다. H2 및 WCl5는 텅스텐을 피처들 내로 디포지션하기 위해 노출 동안 반응한다. 펄싱된 CVD 프로세스들에서, 하나의 반응물질은 연속하여 흐르고, 다른 반응물질은 펄싱되지만, 기판은 펄스 각각 동안에 물질를 디포지션하기 위해 디포지션 동안 두 반응물질들에 노출된다. 예를 들어, 기판은 WCl5가 펄싱되는 동안, H2의 연속적인 플로우에 노출될 수도 있고, WCl5 및 H2는 텅스텐을 디포지션하기 위해 펄스 동안 반응한다.
도 3a는 충진 프로세스의 상이한 스테이지들에서 피처들의 단면들의 일예의 개략적인 대표도를 예시한다. 구체적으로, 단면 (321) 은 초기 디포지션 동작들 (203) 중 하나의 완료 후에 피처의 예를 나타낸다. 프로세스의 이 스테이지에서, 기판 (303) 은 하부층 (313) 위에 디포지션된 텅스텐-함유 물질들의 층 (323) 을 가질 수도 있다. 개구 근처의 캐비티의 크기는, 예를 들어, 하부층 (313) 의 오버행 (315) 및/또는 디포지션된 층 (323) 의 부족한 단차 커버리지로 인해, 피처 내부보다 좁을 수도 있고, 이는 도 1의 맥락에서 상기에 보다 상세히 기술되었다.
다시 도 2를 참조하면, 디포지션 동작 (203) 은 디포지션된 층 (예를 들어, 층 (323)) 이 특정한 두께에 도달할 때까지 진행된다. 이 두께는 캐비티 프로파일 및 개구 크기에 따를 수도 있다. 특정한 실시예들에서, 개구 근처의 디포지션된 층의 평균 두께는, 존재한다면 임의의 하부층들을 포함하는 피처 단면 치수의 약 5 % 내지 25 %일 수도 있다. 다른 실시예들에서 (미도시), 피처는 디포지션 동작 (203) 동안 완전히 폐쇄될 수도 있고 이어서 나중에 WClx 에칭 동작 동안 다시 개방된다. 다양한 실시예들에 따라, 블록 203은 하나 이상의 챔버들 내에서 또는 챔버의 하나 이상의 스테이션들 내에서 발생할 수 있다.
프로세스는 에칭 상황으로 스위칭하기 위해 프로세스 조건들을 변경하는 것으로 계속된다 (블록 205). WCl6 와 같은 텅스텐 클로라이드 화합물들은, 디포지션된 텅스텐과 반응할, W2Cl10, WCl5, 등과 같은 다양한 텅스텐 클로라이드 WClx 화합물들을 형성함으로써 디포지션된 텅스텐을 에칭할 수 있다. (WCl5는 이량체 (dimer) W2Cl10와 같이 자연적으로 발생하지만, 이들은 동일한 물질이라는 것을 주의해야 한다). 유사하게, 사용된 임의의 WClx 또는 이의 혼합물들이 디포지션된 텅스텐과 반응할 다양한 텅스텐 클로라이드 화합물들을 형성할 수도 있다. 블록 205는 WClx 가 피처 내에 디포지션된 텅스텐의 디포지션보다는, 순 (net) 에칭을 하도록, 이들로 제한되는 것은 아니지만, 온도, 압력, WClx (예를 들어, WCl5 또는 WCl6) 농도, H2 플로우, 및 Ar (또는 다른 캐리어 가스 플로우) 와 같은 하나 이상의 프로세스 조건들을 변경하는 것을 수반한다. 일부 실시예들에서, WClx 프리커서 스스로, 예를 들어, WCl5로부터 WCl6로 변경될 수도 있다. 유사하게, WCl6/WCl5 와 같은 혼합물이 사용되면, 화합물들의 상대적인 양들이 변경될 수도 있다.
다양한 실시예들에 따라, 블록 205은 시간적 스위칭 또는 공간적 스위칭을 수반할 수도 있다. 기판이 챔버 또는 스테이션과 같은 특정한 환경에서 정지 상태로 남아 있으면, 프로세스 파라미터들의 시간적 스위칭이 수행될 수도 있다. 공간적 스위칭은 상이한 환경으로 기판을 이동시키는 것을 수반할 수도 있다. 따라서, 구현예에 따라, 블록 205은 챔버 또는 스테이션의 페데스탈 온도, 챔버 압력, 가스 플로우 레이트들, 등을 변경하는 것 및/또는 상이한 프로세스 파라미터들을 갖는 또 다른 챔버 또는 스테이션으로 기판을 이동시키는 것을 수반할 수도 있다. 다양한 실시예들에 따라, 블록 205은 하나 이상의 프로세스 파라미터들로의 단계적인 변화들 및/또는 하나 이상의 프로세스 파라미터들의 연속적인 조정 (modulation) 을 수반할 수도 있다.
이어서 방법 (200) 은 에천트로서 WClx를 사용하여 디포지션된 텅스텐의 에칭 (블록 207) 으로 계속된다. 일부 실시예들에서, 에칭은 피처 내에서보다 개구 근처에서 보다 많은 텅스텐이 에칭되도록 컨포멀하지 않다. 비컨포멀 (non-conformal) 에칭은 또한 바람직한 또는 저 단차 커버리지 에칭으로 지칭될 수 있다. 바람직한 (또는 저 단차 커버리지) 에칭을 달성하기 위해, 에칭 프로세스 조건들은 적절하게 설계될 수도 있고, 정환한 에칭 온도, 에천트 플로우 및 에칭 압력의 조합이 목표된 컨포멀성 (conformality) 을 달성하는 것을 도울 수 있다. 확산 배리어층과 같은 하부층이 에칭 정지층으로서 사용될 수도 있다.
블록 203을 수행한 결과로서, 개구 근처에서 디포지션된 층의 평균 두께 감소는 피처 내부에서 디포지션된 층의 평균 두께 감소보다 클 수도 있다. 특정한 실시예들에서, 개구 근처에서의 감소는 피처 내부에서의 감소보다 적어도 약 10 % 더 크거나, 보다 구체적인 실시예들에서, 적어도 약 25 % 더 크다. 일부 실시예들에서, 동작 (207) 은, 존재한다면 기판 또는 임의의 하부층이 에천트에 노출되는 지점까지 수행된다. 동작 (207) 후에 남아있는 텅스텐층은 단차 커버리지를 특징으로 할 수도 있다. 특정한 실시예들에서, 에칭된 층의 단차 커버리지는 적어도 약 75 %, 보다 구체적으로 적어도 약 100 %, 또는 적어도 약 125 %, 보다 더 구체적으로 적어도 약 150 %이다.
특정한 실시예들에서, 기판은 디포지션 동작 (203) 동안 폐쇄되고 에칭 동작 (207) 동안 폐쇄된 채로 남아있을 수도 있는 하나 이상의 피처들을 포함할 수도 있다. 예를 들어, 기판은 작은 사이즈, 중간 사이즈 및 대형 피처들을 포함할 수도 있다. 일부 작은 피처들은 최초 디포지션 동작 동안 폐쇄될 수도 있고 다시 개방되지 않을 수도 있다. 중간 사이즈 피처들은 나중의 사이클들 동안 폐쇄될 수도 있고 다른 보다 큰 피처들이 충진되는 동안 폐쇄된 채로 유지될 수도 있다. 특정한 실시예들에서, 피처들은 기판들의 상이한 수직 레벨들에, 예를 들어, 듀얼-다마신 (dual-damascene) 배열들에서, 존재할 수도 있다. 보다 낮은 레벨들 상의 피처들은 보다 높은 레벨들의 피처들보다 이르게 폐쇄될 수도 있다.
특정한 실시예들에서, 디포지션 동작 (203) 은 단지 일시적으로 피처를 폐쇄할 수도 있다. 이하에 기술된 동작 (211) 또는 상기 기술된 상이한 사이즈들 및 수직 위치들의 복수의 피처들을 사용하는 경우에서와 같이, 최종 충진 동작 동안 피처를 폐쇄하는 것과 달리, 이러한 일시적인 폐쇄 동안 심은 여전히 수용불가능하게 크거나 필드 영역에 너무 가까워질 수도 있다. 이들 실시예들에서, 에칭 동작 (207) 은 동작 (207) 의 처음 부분이 피처를 재개방하기 위해 사용되고 이어서 동작 (207) 의 다음 부분이 디포지션된 물질의 비컨포멀 에칭에 사용되는, 방식으로 설계될 수도 있다. 이들 두 부분들의 프로세스 조건들은 동일하거나 상이할 수도 있다. 예를 들어, 에천트 플로우 레이트는 동작 (207) 의 제 1 부분 동안 보다 높을 수도 있고 이어서 피처가 개방됨에 따라 감소될 수도 있다.
WClx 디포지션 동작 (203) 및 WClx 에칭 동작 (207) 을 포함하는 디포지션-에칭 사이클은 결정 블록 (208) 으로 나타낸 바와 같이 1 회 이상 반복될 수도 있다. 예를 들어, 특히 큰 오버행들을 갖는 작은 피처들의 1 사이클 후에 바람직한 단차 커버리지를 달성하는 것은 어려울 수도 있다. 다른 사이클로 진행할 지 여부의 결정 (208) 시, 고려사항들은 심 크기 및 심 위치 요건들뿐만 아니라 오버행 크기, 피처 크기, 피처 애스팩트 비, 피처 보잉을 포함한다.
특정한 실시예들에서, 다음 사이클에서 동작들 중 하나 또는 둘 모두에 대한 프로세스 파라미터들이 변경될 수도 있다 (블록 209). 예를 들어, 최초 사이클들 동안 순 디포지션은 디포지션된 층이 여전히 얇고 에칭 동안 오염의 위험이 높기 때문에 나중의 사이클들에서 보다 클 수도 있다. 동시에, 캐비티는 처음에 보다 더 개방되고 폐쇄의 위험은 보다 감소된다. 예를 들어, 초기 디포지션 사이클들은 부분적으로 제작된 기판 상에 디포지션된 텅스텐 함유 물질들의 양들에 대해 보다 큰 제어를 달성하기 위해 (보다 낮은 온도들로 구동됨) 보다 느린 레이트로 수행될 수도 있다. 보다 느린 레이트들은 보다 컨포멀한 디포지션으로 유도하고, 이는 특정한 피처 타입들에 대해 요구될 수도 있다. 후속하는 디포지션 사이클들은, 디포지션된 두께에 대한 제어가 보다 덜 중요하고 및/또는 이전의 디포지션-에칭 사이클들이 피처들의 캐비티들이 조급하게 폐쇄되지 않는 방식으로 피처들의 캐비티들을 프로파일링하기 때문에, (보다 높은 온도들로 구동됨) 보다 빠른 디포지션 레이트들로 수행될 수도 있다. 에칭은 또한 예를 들어, 상이한 프리커서들을 사용하고, 온도를 제어하고, 프리커서 농도를 조절하는, 등에 의해 제어될 수도 있다.
또한, 블록 203은 사이클마다 (from cycle-to-cycle) 수정될 수도 있다. 예를 들어, 최초의 사이클에서, 상기에 기술된 바와 같은 순차적인 CVD 프로세스를 수반할 수도 있다. 순차적인 CVD 프로세스들은 일반적으로 비순차적인 CVD 프로세스들보다 느리고 따라서 보다 큰 제어를 제안한다. 후속 사이클에서, 블록 203은 비순차적인 CVD 프로세스일 수도 있다.
다시 도 3a를 참조하면, 단면 (331) 은 비컨포멀 에칭 후의 피처를 도시한다. 따라서, 단면들 (321 및 331) 은 제 1 사이클을 나타낼 수도 있고, 또는 보다 일반적으로, 초기 사이클들 중 하나를 나타낼 수도 있다. 이 사이클 동안 디포지션된 층 (323) 은, 오버행 (315) 과 같은, 다양한 심 형성 요인들을 완전히 보상하거나 오프셋하기 위해 매우 얇을 수도 있다. 예를 들어, 선택적인 제거 동작 후의 단면 (331) 에 도시된 캐비티는 피처 내부보다 개구 근처에서 여전히 더 좁다. 특정한 실시예들에서, 이러한 차는, 프로세스가 디포지션-에칭 사이클을 반복하지 않고 최종 충진 동작으로 계속하도록 충분히 작을 수도 있다.
단면들 (341 및 351) 은 나중의 사이클들 동안 그리고 나중의 사이클들 후의 기판 (303) 을 예시한다. 먼저, 단면 (341) 은 에칭된 층 (333) 위에 형성된 새롭게 디포지션된 층 (343) 을 도시한다. 층 (343) 을 갖는 피처는 이전의 사이클들 동안 달성된 보다 양호한 단차 커버리지를 반영하는 개선된 프로파일을 가질 수도 있다. 그러나, 캐비티의 프로파일은 여전히 최종 충진으로 진행하지 않도록 하고 또 다른 에칭 동작이 이 캐비티를 추가로 성형하기 위해 요구될 수도 있다. 단면 (351) 은 충진을 완료하기 위해 최종 디포지션 전의 스테이지에서의 기판 (303) 을 나타낸다. 캐비티는 캐비티 내부에서보다 개구 근처에서 보다 넓다. 특정한 실시예들에서, 새롭게 디포지션된 층의 단차 커버리지는 초기에 디포지션된 층의 단차 커버리지보다 적어도 약 10 % 더 크고, 적어도 약 20 % 더 크거나 적어도 약 30 % 더 클 수도 있다.
피처를 부분적으로 충진하고 피처 프로파일을 성형하기 위해 1회 이상의 디포지션-에칭 사이클들이 수행된 후, 이어서 프로세스는 최종 충진 동작 (211) 으로 계속될 수도 있다. 이 동작은 일부 양태들에서 디포지션 동작 (203) 과 유사할 수도 있다. 주요한 차이는 동작 (211) 이 피처가 완전히 폐쇄되고 피처를 개방하기 위한 에칭 동작이 이어지지 않을 때까지 진행된다는 것이다. 다시 도 3a를 참조하면, 단면 (361) 은 심이 존재하지 않는 최종 충진 동작 후의 기판 (303) 의 예를 도시한다. 특정한 실시예들에서, 피처는 여전히 심을 갖지만, 보다 작고 종래에 충진된 피처에서보다 필드 영역으로부터 더 이격되어 위치된 기준 지점을 갖는다. 일부 구현예들에서, 충진은 보텀-업 방식으로 진행될 수도 있다. 도 3b는 이러한 충진의 예를 도시한다.
일부 실시예들에서, 디포지션 동작 (203) 및 에칭 동작 (207) 양자는 비플라즈마 동작들이다. 일부 실시예들에서, 에칭 동작 (207) 은, 에칭 종 생성을 보조하는 원격 또는 인 시츄 플라즈마를 사용하여, 플라즈마 강화될 수도 있다. 또한 특정한 실시예들에서, 이온 빔, 예를 들어, Ar 이온 빔이 포함될 수도 있다. 예를 들어, 다양한 염소 종들이 디포지션된 텅스텐 상에 흡착될 수도 있고, 이어서 WClx 부산물을 탈착시키기 위해 Ar 이온들이 도입된다.
일부 실시예들에서, 디포지션 및 에칭 동작들 (203 및 207) 이 부분적으로 중첩될 수도 있거나 동시에 일어날 수도 있다. 예를 들어, 프로세스 조건들은 피처의 하단부에서 순 디포지션 및 피처의 상단에서 순 에칭이 있도록 설정될 수도 있다. 다양한 실시예들에 따라, 블록 205은 프로세스에 따라 수행되거나 수행되지 않을 수도 있다. 예를 들어, 프로세스 조건들은 프리커서 및 에천트 종들이 동시에 챔버 내에 있어, 디포지션 반응 및 에칭 반응 양자가 동시에 발생하게 하는 것일 수도 있다. 개구 근처에서보다 피처 내부에서 보다 큰 순 디포지션을 달성하도록, 프로세스 조건들은 에칭 반응이 대량 이동 제한되어 (mass-transport limited), 에천트 농도에 따르도록 하는 것일 수도 있다. 동시에, 디포지션 반응은 대량 이동 제한되지 않고 피처 내부 및 개구에서 거의 동일한 레이트로 진행한다. 환원제 EH는 다른 반응물질 플로우 레이트들, 플라즈마 종들의 도입, 온도, 등을 포함하는, 다양한 프로세스 조건들은 (예를 들어, 점진적으로 또는 계단식 방법으로) 조절될 수도 있다. 일단 더이상의 사이클들이 필요하지 않으면, 프로세스는 선택적으로 최종 피처 동작 (블록 211) 으로 전이할 수도 있다.
특정한 실시예들에서, 프로세스 챔버는 디포지션 동작 (203) 및 후속하는 에칭 동작 (207) 의 정도를 식별하기 위해 인 시츄 계측 측정을 수행하기 위해 다양한 센서들을 구비할 수도 있다. 인 시츄 계측의 예들은 디포지션된 막들의 두께를 결정하기 위해 광학 현미경 및 X-레이 형광 분석 (XRF) 을 포함한다. 또한, 적외선 (IR) 분광기 (spectroscopy) 가 에칭 동작들 동안 생성된 텅스텐 클로라이드들 (WClx) 의 양을 검출하기 위해 사용될 수도 있다. RGA (Residual gas analysis) 는 질량 분광기를 사용하여 가스들 (반응물질들/부산물들) 을 검출하기 위해 사용될 수도 있다.
다양한 실시예들에 따라, 기판 온도, 챔버 압력, 및 캐리어 플로우 레이트를 포함하는, 프로세스 조건들은 디포지션 상황과 에칭 상황 사이에서 스위칭하고 에칭을 맞추기 (tailor) 위해 변할 수도 있다. 도 7에 대하여 이하에 기술된 바와 같이, 텅스텐 클로라이드 프리커서 농도는 디포지션 상황과 에칭 상황 사이에서 스위칭하고 에칭을 맞추기 위해 변할 수도 있다. 예시적인 기판 온도들은 300℃ 내지 650℃의 범위일 수 있고, 예시적인 압력은 5 Torr 내지 760 Torr, 또는 5 Torr 내지 100 Torr의 범위일 수 있고, 예시적인 프리커서 (WClx) 온도들은 110℃ 내지 180℃의 범위일 수 있다. 다양한 프로세스 조건들에서, W는 디포지션되고, 부분적으로 에칭되거나, 배리어와 함께 에칭되고, 유전체층까지 떨어질 수 있다.
예를 들어, 일부 실시예들에서, 고 WClx 플럭스를 유발하는 조건들은 고 에칭 및 무 디포지션을 위해 사용될 수도 있다. 일부 실시예들에서, 온도는 보다 안정한 디포지션을 위해 상승될 수도 있다. 이하의 표 1은 다양한 온도들, 캐리어 플로우들, 및 압력들에서 WCl6/H2 CVD의 결과를 도시한다. (WCl6/H2 노출 동작이 CVD로 지칭되지만, 이하에 도시된 바와 같이 일부 조건들에서, 프로세스는 에칭 상황에 있고, 디포지션 상황에 없다). WCl6/H2 CVD 동작 전에, 텅스텐 핵생성층이 450℃에서 B2H6/WCl6의 2 PNL 사이클들을 사용하여 100 Å TiN 층 상에 디포지션되었다. WCl6/H2 CVD 동작 각각은 10분 동안 실행되었다. 텅스텐 두께 및 TiN 손실이 측정되었고, 표 1에 도시된다. 온도는 ℃ 단위이고, Ar 캐리어 플로우는 sccm 단위이고, 그리고 압력은 Torr 단위이다.
에천트로서 WF6/H2 의 에칭 조건들.
프로세스 패턴
(Temp/Flow/Pressure)
온도
Ar 캐리어 플로우 압력 에칭된 TiN (Å) W 중심 두께 (Å)
1 - - - 450 50 20 55.7 4.9
2 - - + 450 50 60 0.5 440.7
3 - + - 450 300 20 128.3 6.6
4 - + + 450 300 60 28.6 24.9
5 + - - 550 50 20 -1.2 895.1
6 + - + 550 50 60 1.5 402.6
7 + + - 550 300 20 19.9 23.2
8 + + + 550 300 60 2.2 124.8
핵생성 막은 약 50 Å로 디포지션된 모든 절편들 (coupons) 에 대해 동일한 조건들에서 성장하고, 에칭 조건들이 발생할 때, 핵생성층 및 아래에 놓인 TiN 층을 에칭한다.
표 1의 결과들은 450℃가 550℃보다 디포지션에 대해 덜 안정하다는 것을 나타낸다. 450℃ 및 20 Torr의 저 압력에서, 캐리어 플로우 레이트와 무관하게, 디포지션 및 심한 에칭이 없다. 60 Torr의 고압력에서, 50 sccm의 저 캐리어 플로우 레이트에서 디포지션만이 있고, 고 캐리어 플로우 레이트에서 디포지션이 없다.
550℃ 및 20 Torr의 저압에서, 보다 높은 캐리어 플로우에서만 에칭이 있지만, 에칭은 450℃, 20 Torr, 그리고 (절편 7과 절편 3을 비교하여) 고 캐리어 플로우 경우만큼 심하지 않다. 캐리어 플로우 레이트와 무관하게, 60 Torr에서 에칭이 없다.
저압 및 고 캐리어 플로우는 최고의 플럭스 및 가장 많은 에칭을 발생시킨다. 에칭 효과는 상기에 언급된 바와 같이 450℃에서 보다 심하다. 550℃ 및 10T의 저압에서, 저 캐리어 플로우를 사용하여 최고의 디포지션 레이트가 달성되는 것을 나타내는, 압력 커브가 획득된다. 도 6을 참조하면, 텅스텐 (W) 두께 및 에칭된 티타늄 나이트라이드 (TiN) 두께가 450℃ 및 550℃에서 WCl6/H2 노출에 대한 압력의 함수로서 도시된다.
WClx 플럭스는 WClx 농도를 상승시킴으로써 상승될 수도 있다. 도 7은 WCl5 및 WCl6에 대한 프리커서 농도의 함수로서 CVD 디포지션 레이트를 도시하는 그래프이다. 굴절은 디포지션 상황으로부터 에칭 상황으로의 스위칭을 나타낸다. 이들 프리커서들 중에서, 동일한 농도에 대해, WCl6가 보다 많이 에칭하도록, WCl5 는 보다 낮은 에칭 레이트를 갖는다. 두 프리커서들에 대해, 농도를 상승시키는 것은 디포지션 상황으로부터 에칭 상황으로 스위칭할 수 있다. 도 7에 도시된 실험 결과들에서, 아마도 중심에서 상승된 온도로 인해, 에칭은 웨이퍼의 중심에서 시작한다. 그러나, 이는 프로세싱의 실험적 특성으로 인한 것이고, 웨이퍼에 걸친 균일한 디포지션/에칭은 적절한 온도 및 가스 플로우 제어들로 달성될 수도 있다는 것을 주의해야 한다. 프리커서 농도는 총 플로우레이트의 백분율로서 프리커서의 체적 플로우레이트를 지칭한다. 예시적인 농도 범위는 0.5 % 내지 5 %이다. 많은 시스템들에서, 농도를 적절하게 변화시킴으로써 (예를 들어, 상기에 주어진 범위 내의) 가장 적정한 온도들 및 압력들에서 디포지션 또는 에칭을 달성할 수 있다.
일부 구현예들에서, 에칭 상황으로의 스위칭은 온도를 하강시키는 것을 수반할 수 있는 반면, 디포지션 상황으로의 스위칭은 온도를 상승시키는 것을 수반할 수도 있다. 일부 구현예들에서, 온도는 디포지션으로부터 에칭으로 진행하기 위해 다른 프로세스 파라미터들을 변화시키면서 일정하게 유지될 수도 있고, 그 반대도 가능하다. 일부 구현예들에서, 온도는 하나 이상의 다른 프로세스 파라미터들과 동시에 저절로 변화될 수도 있다.
일부 구현예들에서, 에칭 상황으로의 스위칭은 압력을 하강시키는 것을 수반하는 반면, 디포지션 상황으로의 스위칭은 압력을 상승시키는 것을 수반할 수도 있다. 일부 구현예들에서, 압력은 디포지션으로부터 에칭으로 진행하기 위해 다른 프로세스 파라미터들을 변화시키면서 일정하게 유지될 수도 있고, 그 반대도 가능하다. 일부 구현예들에서, 압력은 하나 이상의 다른 프로세스 파라미터들과 동시에 저절로 변화될 수도 있다.
일부 구현예들에서, 에칭 상황으로의 스위칭은 캐리어 플로우 레이트를 상승시키는 것을 수반하는 반면, 디포지션 상황으로의 스위칭은 캐리어 플로우 레이트를 하강시키는 것을 수반할 수도 있다. 일부 구현예들에서,캐리어 플로우 레이트는 디포지션으로부터 에칭으로 진행하기 위해 다른 프로세스 파라미터들을 변화시키면서 일정하게 유지될 수도 있고, 그 반대도 가능하다. 일부 구현예들에서, 캐리어 플로우 레이트는 하나 이상의 다른 프로세스 파라미터들과 동시에 저절로 변화될 수도 있다.
일부 구현예들에서, 에칭 상황으로의 스위칭은 WClx 농도를 상승시키는 것을 수반하는 반면, 디포지션 상황으로의 스위칭은 WClx 농도를 하강시키는 것을 수반할 수도 있다. 일부 구현예들에서, WClx 농도는 디포지션으로부터 에칭으로 진행하기 위해 다른 프로세스 파라미터들을 변화시키면서 일정하게 유지될 수도 있고, 그 반대도 가능하다. 일부 구현예들에서, WClx 농도는 하나 이상의 다른 프로세스 파라미터들과 동시에 변화될 수도 있다.
장치
임의의 적합한 챔버는 개시된 실시예들을 구현하기 위해 사용될 수도 있다. 예시적인 디포지션 장치들은 다양한 시스템들, 예를 들어, 캘리포니아, 프레몬트의Lam Research Corp.로부터 입수가능한 ALTUS® 및 ALTUS® Max 또는 다양한 다른 상업적으로 입수가능한 프로세싱 시스템들 중 임의의 시스템을 포함한다. 프로세스는 복수의 디포지션 스테이션들 상에서 동시에 수행될 수 있다.
일부 실시예들에서, 텅스텐 핵생성 프로세스는 단일 디포지션 챔버 내에 위치된 2, 5 또는 보다 많은 디포지션 스테이션들 중 하나인 제 1 스테이션에서 수행된다. 일부 실시예들에서, 핵생성 프로세스를 위한 다양한 단계들이 디포지션 챔버의 2 개의 상이한 스테이션들에서 수행된다. 예를 들어, 기판은, 기판 표면에서 로컬화된 대기를 생성하는 개별 가스 공급 시스템을 사용하여 제 1 스테이션에서 디보란 (B2H6) 에 노출될 수도 있고, 이어서 기판은 핵생성층을 디포지션하기 위해, 텅스텐 헥사클로라이드 (WCl6) 또는 텅스텐 펜타클로라이드 (WCl5) 와 같은 FFW 프리커서에 노출되도록 제 2 스테이션으로 이송될 수도 있다. 일부 실시예들에서, 이어서 기판은 디보란의 제 2 노출을 위해 제 1 스테이션으로 다시 이송될 수도 있다. 그 후 기판은 텅스텐 핵생성을 완료하기 위해 WCl6 (또는 다른 텅스텐 클로라이드) 로 노출하도록 제 2 기판으로 이송되고 동일하거나 상이한 스테이션에서 벌크 텅스텐 디포지션으로 진행할 수도 있다. 이어서 하나 이상의 스테이션들은 상기에 기술된 바와 같이 CVD (chemical vapor deposition) 를 수행하도록 사용될 수 있다. 하나 이상의 스테이션들은 상기에 기술된 바와 같이 에칭을 수행하도록 사용될 수 있다.
도 4는 본 발명의 실시예들에 따른 텅스텐 박막 디포지션 및 에칭 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다. 시스템 (400) 은 이송 모듈 (403) 을 포함한다. 이송 모듈 (403) 은, 프로세싱될 기판들이 다양한 반응 모듈들 사이를 이동하기 때문에, 프로세싱될 기판들의 오염 위험을 최소화하기 위해 깨끗하고 (clean), 가압된 환경을 제공한다. 이송 모듈 (403) 상에, 본 발명의 실시예들에 따라 PNL 디포지션, 뿐만 아니라 CVD 디포지션 및 에칭을 수행할 수 있는 멀티-스테이션 반응기 (409) 가 장착된다. 챔버 (409) 는 이들 동작들을 순차적으로 수행하는 복수의 스테이션들 (411, 413, 415, 및 417) 을 포함할 수도 있다. 예를 들어, 챔버 (409) 는 스테이션들 (411 및 413) 이 PNL 디포지션을 수행하고, 스테이션들 (413 및 415) 이 CVD를 수행하도록 구성될 수 있다. 디포지션 스테이션 각각은 가열된 웨이퍼 페데스탈 및 샤워헤드, 확산 플레이트 (dispersion plate) 또는 다른 가스 유입부를 포함한다. 웨이퍼 지지부 (502) 및 샤워헤드 (503) 을 포함하는, 디포지션 스테이션 (500) 의 예가 도 5에 도시된다. 히터는 페데스탈 부분 (501) 에 제공될 수도 있다.
또한 이송 모듈 (403) 상에, 플라즈마 또는 화학적 (비플라즈마) 선세정을 수행할 수 있는, 하나 이상의 단일 또는 멀티-스테이션 모듈들 (407) 이 장착될 수도 있다. 모듈은 또한 다양한 다른 처리들, 예를 들어, 환원제 침지 (soaking) 에 사용될 수도 있다. 시스템 (400) 은 또한, 웨이퍼들이 프로세싱 전 그리고 후에 저장되는, 하나 이상 (이 경우에서 2 개) 의 웨이퍼 소스 모듈들 (401) 을 포함한다. 대기 이송 챔버 (419) 내의 대기 로봇 (atmospheric robot) (미도시) 은 먼저 소스 모듈들 (401) 로부터 로드록들 (421) 로 웨이퍼들을 제거한다. 이송 모듈 (403) 내의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 는 웨이퍼들을 로드록들 (421) 로부터 이송 모듈 (403) 상에 장착된 모듈들로 그리고 모듈들 사이로 이동시킨다.
특정한 실시예들에서, 시스템 제어기 (429) 는 디포지션 동안 프로세스 조건들을 제어하도록 채용된다. 제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기는 디포지션 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기는 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, 웨이퍼 온도, 사용된다면 무선 주파수 (RF) 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 일부 실시예들에서, 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로 제어기와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드, 터치 스크린, 마이크로폰, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어 내에서 설계되거나 구성될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드코딩되거나 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들, ASIC들 (application-specific integrated circuits) 및 하드웨어로 구현된 특정한 알고리즘들을 갖는 다른 디바이스들 내에서 하드코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다. 대안적으로, 제어 로직은 제어기 내에서 하드코딩될 수도 있다. ASIC들, PLD (programmable logic devices) (예를 들어, FPGA들 (field-programmable gate arrays) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비교가능한 하드코딩된 로직이 그 자리에서 사용될 수도 있다.
프로세스 시퀀스에서 디포지션 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 예를 들어 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들과 같은 임의의 통상적인 컴퓨터 판독 가능한 프로그래밍 언어로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트는 프로그램 내에서 식별된 태스크들을 수행하도록 프로세서에 의해서 실행된다.
제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저 주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은, 프로세스 조건들과 관련된다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들이 시스템 제어기의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해서 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 디포지션 장치의 아날로그 출력 접속부 및 디지털 출력 접속부 상의 출력이다.
일부 구현예들에서, 제어기 (429) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 특정한 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전, 동안, 및 후에 이들의 동작을 제어하기 위한 전자제품들에 집적될 수도 있다. 이 전자제품들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들 (subpart) 을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 프로세싱 요건들 및/또는 시스템의 타입에 따라, 제어기 (429) 는 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 위치 및 동작 설정들, 툴로/외부로의 웨이퍼 이송 및 특정한 시스템과 연결되거나 인터페이스된 다른 이송 툴들 및/또는 로드록들을 포함하는 본 명세서에 개시된 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로, 제어기 (429) 는 인스트럭션들을 수신, 인스트럭션들을 발행, 동작을 제어, 세정 동작들을 인에이블, 엔드포인트 측정을 인에이블하는 등의 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어 형태의 칩들, DSP (digital signal processor), ASIC (application specific integrated circuit) 으로 규정된 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기 (429) 로 통신되고, 반도체 웨이퍼 또는 시스템 상/에 대한 특정한 프로세스를 수행하기 위한 동작 파라미터들을 규정하는 인스트럭션들일 수도 있다. 동작 파라미터들은 일부 실시예들에서, 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안 하나 이상의 프로세싱 단계들을 달성하기 위해 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다.
일부 구현예들에서, 제어기 (429) 는 시스템에 집적된, 시스템에 커플링된, 그렇지 않으면 시스템에 네트워크된, 또는 이들의 조합인 컴퓨터의 일부이거나 컴퓨터에 커플링될 수도 있다. 예를 들어, 제어기 (429) 는 "클라우드 (cloud)" 또는 웨이퍼 프로세싱의 원격 액세스를 허용할 수 있는 제조 호스트 컴퓨터 시스템의 전부 또는 일부내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현재 진행 상태를 모니터링, 과거 제조 동작들의 히스토리 검토, 복수의 제조 동작들로부터의 트렌드 또는 성능 메트릭들 검토, 현재 프로세싱 파라미터들 변경, 현재 프로세싱에 이어지는 프로세싱 단계들 설정, 또는 새로운 프로세스를 시작하기 위해 시스템으로의 원격 액세스를 인에블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 시스템으로 프로세스 레시피들을 제공할 수 있다. 원격 컴퓨터는 원격 컴퓨터로부터 시스템으로 나중에 통신되는, 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (429) 는 하나 이상의 동작들 동안 수행되는 프로세싱 단계들 각각을 위한 파라미터들을 명시하는 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 수행될 프로세스의 타입 및 제어기 (429) 가 인터페이스하거나 제어하도록 구성된 툴의 타입으로 명시될 수도 있다는 것을 이해해야 한다. 따라서, 상기에 기술된 바와 같이, 제어기 (429) 는 서로 네트워크되고 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통의 목표를 향해 작용하는 하나 이상의 개별 제어기들을 포함하는 것으로 분산될 수도 있다. 이러한 목표들을 위한 분산된 제어기의 예는 챔버 상의 프로세스를 제어하도록 결합하는 원격으로 위치된 (플랫폼 레벨로 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
제한 없이, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 디포지션 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작과 연관되거나 사용될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기에 주지된 바와 같이, 툴에 의해 수행되는 프로세스 단계 또는 단계들에 따라, 제어기 (429) 는 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접한 툴들, 이웃 툴들, 공장 전체에 위치된 툴들, 메인 컴퓨터, 다른 제어기 또는 반도체 제작 공장 내의 툴 위치들 및/또는 로딩 포트들로/로부터 웨이퍼들의 컨테이너들을 가져오는 재료 이송에 사용된 툴들 중 하나 이상과 통신할 수도 있다.
시스템 소프트웨어는 다수의 상이한 방식들로 설계 또는 구성될 수도 있다. 예를 들어, 본 발명의 디포지션 프로세스들을 수행하는데 필요한 챔버 컴포넌트들의 동작을 제어하도록 다양한 챔버 컴포넌트 서브루틴 또는 제어 객체가 기록될 수 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 배치 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 페데스탈 또는 척 상에 기판을 로딩하고 기판과 가스 유입부 및/또는 타깃과 같은 챔버의 다른 부분들 사이의 공간을 제어하도록 사용된 챔버 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 챔버 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택적으로 챔버 내로 가스를 유동시키기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브를 조정함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 반도체 기판을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터는 웨이퍼 척으로 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
디포지션 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 유량 제어기들, 압력계들 (manometers) 과 같은 압력 센서들, 페데스탈 또는 척 내에 위치된 열전대들 (thermocouple) 을 포함한다. 적절히 프로그램된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다. 전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴 내에서 본 발명의 실시예들의 구현예를 기술한다.
전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴에서의 개시된 실시예들의 구현예를 기술한다. 본 명세서에서 기술된 장치 및 프로세스는 예를 들어 반도체 소자, 디스플레이, LED, 광전 패널 등의 제조 또는 가공을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다. 막 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여 제공되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여 작업 대상, 즉 기판에 포토레지스트를 도포하는 동작, (2) 고온 플레이트 또는 퍼니스 또는 UV 경화 툴을 사용하여서 포토레지스트를 경화하는 동작, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 UV 또는 x 선 광에 노출시키는 동작, (4) 습윤 벤치 (wet bench) 와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여 이를 패터닝하도록 상기 포토레지스트를 현상하는 동작, (5) 건식 또는 플라즈마 보조 에칭 툴을 사용하여 상기 레지스트 패턴을 그 아래의 막 또는 작업 대상에 전사하는 동작 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 동작을 포함할 수 있다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 제공된 실시예들은 예시적이고 제한적인 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되는 것은 아니다.

Claims (17)

  1. 기판 상에 텅스텐을 디포지션하는 방법으로서,
    CVD (chemical vapor deposition) 에 의해 기판 상의 피처 내에 제 1 텅스텐 층을 디포지션하도록 제 1 세트의 조건들에서 상기 기판을 텅스텐 클로라이드 (WClx) 프리커서 및 환원제에 노출시키는 단계; 및
    상기 제 1 텅스텐 층을 에칭하기 위해 제 2 세트의 조건들에서 WClx 프리커서 및 상기 환원제에 상기 기판을 노출시키는 단계를 포함하고,
    상기 제 1 세트의 조건들로부터 상기 제 2 세트의 조건들로의 전이 (transition) 는 상기 기판의 온도를 하강시키는 것을 포함하는, 기판 상에 텅스텐을 디포지션하는 방법.
  2. 제 1 항에 있어서,
    상기 텅스텐 클로라이드는 WCl2, WCl4, WCl5, WCl6, 및 이들의 혼합물들로부터 선택되는, 기판 상에 텅스텐을 디포지션하는 방법.
  3. 제 1 항에 있어서,
    상기 제 1 텅스텐 층의 에칭은, 상기 피처의 개구 근처에서의 상기 제 1 텅스텐 층의 평균 두께의 감소가 상기 피처 내부에서의 상기 제 1 텅스텐 층의 평균 두께의 감소보다 크도록, 비컨포멀한 (non-conformal) 에칭을 포함하는, 기판 상에 텅스텐을 디포지션하는 방법.
  4. 제 1 항에 있어서,
    상기 환원제는 수소인, 기판 상에 텅스텐을 디포지션하는 방법.
  5. 제 1 항에 있어서,
    상기 제 1 세트의 조건들로부터 상기 제 2 세트의 조건들로의 전이는 온도를 하강시키는 것을 포함하는, 기판 상에 텅스텐을 디포지션하는 방법.
  6. 제 1 항에 있어서,
    상기 제 1 세트의 조건들로부터 상기 제 2 세트의 조건들로의 전이는 WClx 플럭스를 상승시키는 것을 포함하는, 기판 상에 텅스텐을 디포지션하는 방법.
  7. 제 1 항에 있어서,
    상기 디포지션 동작에서의 WClx 는 상기 에칭 동작에서의 WClx 프리커서와 동일한, 기판 상에 텅스텐을 디포지션하는 방법.
  8. 제 1 항에 있어서,
    상기 제 1 세트의 조건들로부터 상기 제 2 세트의 조건들로의 전이는 상기 WClx 프리커서를 변경하는 것을 포함하는, 기판 상에 텅스텐을 디포지션하는 방법.
  9. 제 1 항에 있어서,
    상기 제 1 세트의 조건들로부터 상기 제 2 세트의 조건들로의 전이는 WClx 농도를 상승시키는 것을 포함하는, 기판 상에 텅스텐을 디포지션하는 방법.
  10. 텅스텐으로 피처를 충진하는 방법으로서,
    텅스텐으로 피처를 부분적으로 충진하는 단계; 및
    텅스텐으로 부분적으로 충진된 상기 피처를 WClx에 노출하여, 상기 부분적으로 충진된 피처 내에서 상기 텅스텐의 일부를 제거하는 단계를 포함하고,
    상기 노출하는 단계에서 WClx 플럭스는 상기 충진하는 단계에서 WClx 플럭스보다 높은, 텅스텐으로 피처를 충진하는 방법.
  11. 제 10 항에 있어서,
    상기 피처의 개구 근처에서의 상기 텅스텐의 평균 두께의 감소가 상기 피처 내부에서의 상기 텅스텐의 평균 두께의 감소보다 큰, 텅스텐으로 피처를 충진하는 방법.
  12. 제 10 항에 있어서,
    상기 부분적으로 충진된 피처를 수소에 노출시키는 단계를 더 포함하는, 텅스텐으로 피처를 충진하는 방법.
  13. 기판들을 프로세싱하기 위한 장치로서,
    (a) 기판을 홀딩하도록 (hold) 구성된 페데스탈을 포함하는 하나 이상의 프로세스 챔버들;
    (b) 진공에 커플링하기 위한 적어도 하나의 유출부;
    (c) 하나 이상의 프로세스 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및
    (d) 장치 내에서 동작들을 제어하기 위한 제어기를 포함하고,
    상기 제어기는,
    (i) 상기 하나 이상의 프로세스 챔버들 중 하나로 텅스텐 클로라이드 및 환원제를 도입하고; 그리고
    (ii) (i) 후에, 상기 하나 이상의 프로세스 챔버들 중 하나로 텅스텐 클로라이드 및 환원제를 도입하기 위한 머신 판독가능 인스트럭션들을 포함하고,
    (i) 로부터 (ii) 로의 전이는, 페데스탈 온도를 하강시키는 것을 포함하여 디포지션 상황 (regime) 으로부터 에칭 상황으로 스위칭하기 위한 인스트럭션들을 포함하는, 기판들을 프로세싱하기 위한 장치.
  14. 제 13 항에 있어서,
    상기 제어기는 텅스텐 클로라이드 농도를 상승시킴으로써 (i) 로부터 (ii) 로 전이하기 위한 인스트럭션들을 포함하는, 기판들을 프로세싱하기 위한 장치.
  15. 제 13 항에 있어서,
    상기 제어기는 상기 기판의 온도를 감소시킴으로써 (i) 로부터 (ii) 로 전이하기 위한 인스트럭션들을 포함하는, 기판들을 프로세싱하기 위한 장치.
  16. 제 13 항에 있어서,
    상기 제어기는 텅스텐 클로라이드 프리커서를 변경함으로써 (i) 로부터 (ii) 로 전이하기 위한 인스트럭션들을 포함하는, 기판들을 프로세싱하기 위한 장치.
  17. 제 13 항에 있어서,
    상기 제어기는 텅스텐 클로라이드 플로우레이트를 상승시킴으로써 (i) 로부터 (ii) 로 전이하기 위한 인스트럭션들을 포함하는, 기판들을 프로세싱하기 위한 장치.
KR1020150077167A 2014-05-31 2015-06-01 불소 프리 텅스텐을 사용하여 고 애스팩트 비 피처들을 충진하는 방법 KR102403860B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201462006117P 2014-05-31 2014-05-31
US62/006,117 2014-05-31
US201462075092P 2014-11-04 2014-11-04
US62/075,092 2014-11-04
US14/723,353 US20150348840A1 (en) 2014-05-31 2015-05-27 Methods of filling high aspect ratio features with fluorine free tungsten
US14/723,353 2015-05-27

Publications (2)

Publication Number Publication Date
KR20150138116A KR20150138116A (ko) 2015-12-09
KR102403860B1 true KR102403860B1 (ko) 2022-05-30

Family

ID=54702643

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150077167A KR102403860B1 (ko) 2014-05-31 2015-06-01 불소 프리 텅스텐을 사용하여 고 애스팩트 비 피처들을 충진하는 방법

Country Status (5)

Country Link
US (1) US20150348840A1 (ko)
JP (1) JP6742077B2 (ko)
KR (1) KR102403860B1 (ko)
CN (1) CN105280549A (ko)
TW (1) TWI707973B (ko)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
JP6478813B2 (ja) * 2015-05-28 2019-03-06 東京エレクトロン株式会社 金属膜の成膜方法
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10214807B2 (en) * 2016-06-02 2019-02-26 Lam Research Corporation Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9899258B1 (en) * 2016-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Metal liner overhang reduction and manufacturing method thereof
TW201833991A (zh) * 2016-11-08 2018-09-16 美商應用材料股份有限公司 自對準圖案化之方法
US9935173B1 (en) * 2016-11-29 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
DE102017127208A1 (de) 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet-strukturen und verfahren zu deren herstellung
US10497811B2 (en) 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
WO2018111547A1 (en) * 2016-12-15 2018-06-21 Applied Materials, Inc. Nucleation-free gap fill ald process
JP6719416B2 (ja) * 2017-03-30 2020-07-08 東京エレクトロン株式会社 凹部の埋め込み方法および処理装置
JP7224335B2 (ja) 2017-04-10 2023-02-17 ラム リサーチ コーポレーション モリブデンを含有する低抵抗膜
KR102367848B1 (ko) 2017-04-27 2022-02-25 주식회사 레이크머티리얼즈 저 불소 함량을 갖는 텅스텐 박막의 제조 방법
CN116377420A (zh) * 2017-06-23 2023-07-04 默克专利有限公司 用于选择性膜生长的原子层沉积方法
WO2019099997A1 (en) * 2017-11-20 2019-05-23 Lam Research Corporation Self-limiting growth
US11011413B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US10867905B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
KR102476262B1 (ko) * 2017-12-14 2022-12-08 어플라이드 머티어리얼스, 인코포레이티드 에칭 잔류물이 더 적게 금속 산화물들을 에칭하는 방법들
JP7023150B2 (ja) * 2018-03-26 2022-02-21 東京エレクトロン株式会社 タングステン膜の成膜方法及び制御装置
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
WO2020041213A1 (en) * 2018-08-24 2020-02-27 Lam Research Corporation Metal-containing passivation for high aspect ratio etch
JP7047117B2 (ja) * 2018-09-14 2022-04-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
JP7149788B2 (ja) * 2018-09-21 2022-10-07 東京エレクトロン株式会社 成膜方法及び成膜装置
CN109545741B (zh) * 2018-12-05 2020-11-24 上海华力集成电路制造有限公司 钨填充凹槽结构的方法
JP2022523689A (ja) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション 金属膜の蒸着
WO2020185618A1 (en) 2019-03-11 2020-09-17 Lam Research Corporation Precursors for deposition of molybdenum-containing films
KR20220126745A (ko) * 2020-01-16 2022-09-16 엔테그리스, 아이엔씨. 에칭 또는 침착 방법
JP7496725B2 (ja) 2020-07-20 2024-06-07 東京エレクトロン株式会社 エッチング方法およびエッチング装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61224313A (ja) * 1985-03-29 1986-10-06 Hitachi Ltd 気相薄膜成長方法
KR100272523B1 (ko) * 1998-01-26 2000-12-01 김영환 반도체소자의배선형성방법
JP5550843B2 (ja) * 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US8124531B2 (en) * 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
WO2014052642A1 (en) * 2012-09-28 2014-04-03 Advanced Technology Materials, Inc. Fluorine free tungsten ald/cvd process
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
WO2015023404A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback
JP6297884B2 (ja) * 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法

Also Published As

Publication number Publication date
JP2015232177A (ja) 2015-12-24
KR20150138116A (ko) 2015-12-09
TWI707973B (zh) 2020-10-21
US20150348840A1 (en) 2015-12-03
TW201610201A (zh) 2016-03-16
JP6742077B2 (ja) 2020-08-19
CN105280549A (zh) 2016-01-27

Similar Documents

Publication Publication Date Title
KR102403860B1 (ko) 불소 프리 텅스텐을 사용하여 고 애스팩트 비 피처들을 충진하는 방법
KR102641077B1 (ko) 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
KR102572271B1 (ko) 몰리브덴을 함유하는 저 저항률 막들
TWI831756B (zh) 形成金屬薄膜的方法及儀器
KR20230161400A (ko) 텅스텐 갭충진 퍼포먼스를 향상시키기 위해 에칭 프로세스에서 rf 전력의 펄싱
KR102678471B1 (ko) 저 불소 함량을 가진 텅스텐 막들
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
KR102510614B1 (ko) 금속들을 에칭하기 위한 연속 rf 플라즈마 및 펄싱된 rf 플라즈마
KR20210027507A (ko) 순수 금속 막의 증착
JP6494940B2 (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
US20160351401A1 (en) Deposition of low fluorine tungsten by sequential cvd process
US20150024592A1 (en) Void free tungsten fill in different sized features
KR20220082023A (ko) 몰리브덴 충진
KR20160140448A (ko) 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
KR20210092840A (ko) 3d nand 구조체 상의 원자 층 증착
KR20220047333A (ko) 텅스텐 증착
KR20210141762A (ko) 고 단차 커버리지 (step coverage) 텅스텐 증착
KR20240052872A (ko) 반도체 프로세싱 동안 프로세스 가스 램핑

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant