TW201610201A - 以無氟鎢填充高深寬比特徵物的方法 - Google Patents

以無氟鎢填充高深寬比特徵物的方法 Download PDF

Info

Publication number
TW201610201A
TW201610201A TW104117319A TW104117319A TW201610201A TW 201610201 A TW201610201 A TW 201610201A TW 104117319 A TW104117319 A TW 104117319A TW 104117319 A TW104117319 A TW 104117319A TW 201610201 A TW201610201 A TW 201610201A
Authority
TW
Taiwan
Prior art keywords
tungsten
substrate
wcl
feature
deposition
Prior art date
Application number
TW104117319A
Other languages
English (en)
Other versions
TWI707973B (zh
Inventor
漢娜 班諾爾克
拉許納 胡瑪雲
米歇爾 丹納克
約書亞 柯林斯
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201610201A publication Critical patent/TW201610201A/zh
Application granted granted Critical
Publication of TWI707973B publication Critical patent/TWI707973B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

在此提供使用氯化鎢反應物沉積和蝕刻鎢的方法和裝置。此方法包含使用氯化鎢(WClx )為前驅物和蝕刻劑兩者。在一些實施例中,以第一組條件曝露基板於WClx 前驅物和還原劑,以沉積第一鎢層在基板上的特徵部內;及以第二組條件曝露該基板於WClx 前驅物和還原劑以蝕刻該第一鎢層。根據各種實施例,從沉積轉變至蝕刻狀態可包含增加WClx 的通量、降低溫度、及改變WClx 前驅物其中一者以上。亦提供相關的裝置。

Description

以無氟鎢填充高深寬比特徵物的方法
本專利申請案主張於西元2014年5月31日申請之美國暫時專利申請案第62/006,117號的優先權,該暫時專利申請案的標題為“METHODS OF FILLING HIGH ASPECT RATIO FEATURES WITH FLUORINE FREE TUNGSTEN”,且本專利申請案亦主張於西元2014年11月4日申請之美國暫時專利申請案第62/075,092號的優先權,該暫時專利申請案的標題為“METHODS OF FILLING HIGH ASPECT RATIO FEATURES WITH FLUORINE FREE TUNGSTEN”,以上兩者其全部內容於此藉由參照及為了所有目的納入本案揭示內容。本案係關於在基板上沉積鎢的方法和裝置。
使用化學氣相沉積(CVD, chemical vapor deposition)技術的鎢膜沉積係半導體製程一重要部分。例如:鎢膜可以下列形式作為低電阻係數的電連接:水平互連線、毗鄰金屬層之間的介層窗、及介於第一金屬層和矽基板上元件之間的接觸窗。在一示例的鎢沉積製程中,阻障層係沉積在介電基板上,接著進行鎢膜之薄成核層的沉積。之後,鎢膜的剩餘部分係沉積在成核層上作為主體層(bulk layer)。傳統上,鎢主體層係藉由六氟化鎢(WF6 )在化學氣相沉積過程中與氫氣(H2 , hydrogen)作用還原所形成。
在此描述之申請標的的一個實施態樣係在基板上沉積鎢的方法。該方法包含以第一組條件曝露基板於氯化鎢及還原劑,以藉由化學氣相沉積(CVD)在基板上的特徵部內沉積第一鎢層,及以第二組條件曝露該基板於氯化鎢和還原劑以蝕刻該第一鎢層。
根據各種實施例,使用於沉積和蝕刻操作中的氯化鎢化合物可為相同的或不同的。氯化鎢(WClx )包含WCl2 、WCl4 、WCl5 、WCl6 、及其混合物。還原劑的例子包含氫氣(H2 )。
在一些實施例中,蝕刻第一鎢層包含非保形的蝕刻,使得特徵部接近開口處的第一鎢層之平均厚度的減少係多於特徵部內部的第一鎢層之平均厚度的減少。在一些實施例中,從第一組條件轉變至第二組條件包含降低溫度。在一些實施例中,從第一組條件轉變至第二組條件包含增加WClx 的通量。在一些實施例中,從第一組條件轉變至第二組條件包含降低腔室壓力。在一些實施例中,從第一組條件轉變至第二組條件包含增加WClx 的流率。在一些實施例中,從第一組條件轉變至第二組條件包含增加WClx 的濃度。
申請標的的另一個實施態樣係關於一方法,包含曝露以鎢部分填充的特徵部於WClx ,以從而在該部分填充的特徵部內移除一部分的鎢。在一些實施例中,特徵部亦可曝露於氫氣(H2 )。在一些實施例中,接近特徵部開口處之鎢平均厚度的減少係多於特徵部內部之鎢平均厚度的減少。
在此揭露之申請標的的另一個實施態樣關於處理基板的裝置。該裝置可包含(a)一或多個處理腔室,其包含配置以支撐基板的基座;(b)至少一個出口;(c)一或多個處理氣體進氣口,其耦接至一或多個處理氣體源;及(d)一個控制器,用於控制裝置內的操作,包含下述之機器可讀指令:(i)引入氯化鎢和還原劑至該一或多個處理腔室的其中一者;及(ii)在(i)之後,引入氯化鎢和還原劑至該一或多個處理腔室的其中一者,其中,從(i)轉變至(ii)包含從沉積狀態轉換至蝕刻狀態的指令。
在一些實施例中,其中,控制器包含藉由增加氯化鎢的濃度從(i)轉變至(ii)的指令。在一些實施例中,其中,控制器包含藉由降低基板的溫度從(i)轉變至(ii)的指令。在一些實施例中,控制器包含藉由改變氯化鎢從(i)轉變至(ii)的指令。在一些實施例中,控制器包含藉由增加氯化鎢的流率從(i)轉變至(ii)的指令。
這些和其他的實施態樣係參照圖示進一步描述於下。
為了透徹理解本發明的實施例,在以下的說明中說明眾多具體細節。所揭露的實施例可以不具有某些或全部這些具體細節而加以實施。另一方面,為了不要不必要地模糊所揭露的實施例,未詳細說明眾所周知的製程操作。雖然所揭露的實施例將結合具體的實施例描述,可理解其非意圖限制所揭露的實施例。
半導體元件製程經常包含鎢膜的沉積,尤其在溝或介層窗內以形成互連線。在沉積鎢膜的傳統方法中,成核鎢層係首先沉積進入介層窗或接觸窗。通常,成核層係薄的保形層,其用作協助於其上後續主體材料的形成。鎢成核層可沉積以保形地覆蓋特徵部的側壁及底部。符合底特徵部的底部和側壁對提供高品質的沉積可為關鍵。成核層係通常使用原子層沉積(ALD, atomic layer deposition)或脈衝成核層(PNL, pulsed nucleation layer)的方式沉積。
在PNL的技術中,反應物的脈衝係依序注入和自反應腔室沖洗(通常藉由反應物之間沖洗氣體的脈衝)。第一反應物可被吸附在基板之上,其可與下一個反應物反應。該製程係以週期性的方式重覆直到達成期望的厚度。PNL係相似於ALD的技術。但PNL通常不同於ALD之處係在於其較高的操作壓力範圍(大於1 Torr)及其較高的每週期增長速率(每週期大於1單層膜的增長)。PNL沉積期間的腔室壓力可從約1 Torr至約400 Torr。於此提供描述的背景中,PNL廣泛地體現任何依序添加用於半導體基板上反應之反應物的循環性製程。因此,此概念體現出傳統上稱為ALD的技術。
在鎢成核層係沉積之後,主體的鎢係通常藉由非順序式化學氣相沉積(CVD)製程沉積,其藉由使用諸如氫氣(H2 )的還原劑還原六氟化鎢(WF6 )。於所揭露之實施例的背景中,非順序式CVD體現反應物係一起引入至用於氣相反應之反應器的製程。PNL和ALD製程係不同於CVD製程,且反之亦然。
傳統的鎢沉積已包含含氟之鎢前驅物WF6 的使用。然而,WF6 的使用致使某些氟摻入沉積的鎢膜。隨著裝置縮小,特徵部變得更小且電子漂移和離子擴散的危害效果變得更明顯,從而造成元件失效。氟的存在可造成電子漂移及/或氟擴散進毗鄰的成分及破壞接觸窗,從而降低元件的性能。含微量氟的鎢膜因此可造成集成度和可靠性的問題,以及關於底膜或元件結構(諸如介層窗和閘)的元件性能問題。
無氟的鎢(FFW, fluorine-free tungsten)前驅物係有效避免此可靠性和集成度或元件性能的問題。當前的FFW前驅物包含金屬有機前驅物,但來自金屬有機前驅物之不期望的微量元素(諸如碳、氫、氮、及氧)亦可摻入鎢膜中。某些金屬有機無氟前驅物亦係不易實現或整合在鎢沉積製程中。
在此揭露的方法包含以無氟的鎢(FFW)填充特徵部。在一些實施例中,提供使用無氟的氯化鎢(WClx )前驅物的鎢膜之極好的階梯覆蓋率。該製程藉由先執行局部沉積、蝕刻,及接著以第二次沉積完成填充,可達成FFW膜及高深寬比之溝的填充。在一些實施例中,此可在單一腔室中原位達成,這是藉由使用WClx 為沉積前驅物和蝕刻劑兩者而僅改變製程條件從沉積條件到蝕刻條件。在一些實施例中,可執行多次沉積-蝕刻週期以填充特徵部。
以含鎢材料填充特徵部可在填充的特徵部內部造成接縫的形成。接縫可在特徵部之側壁上正沉積的一層變厚至其藉由形成夾點(pinch point)而密封的點時形成;任何在此點之下的孔隙空間(void space)係與處理腔室的環境隔離。此夾點避免前驅物及/或其他的反應物進入剩餘的孔隙空間,且該剩餘的孔隙空間保持未填充。孔隙空間可為細長形接縫,其沿著特徵部的深度方向延伸穿過一部分的填充特徵部。此孔隙空間或接縫因其形狀有時亦被稱為鎖形孔。
對於接縫的形成有多個可能的原因。其一係於含鎢材料(或更典型地,諸如擴散阻障層或成核層的其他材料)沉積期間,形成於特徵部開口附近的外伸部(overhang)。圖1說明於根據某些實施例半導體處理的不同階段期間,包含高深寬比特徵部之半導體基板的一個例子。第一橫截面101顯示具有預先形成之特徵部孔105的基板103。基板可為矽晶圓,例如:200-mm的晶圓、300-mm的晶圓、或450-mm的晶圓。該特徵部孔105可具有至少約2:1的深寬比,或在較特定的實施例中,具有至少約4:1的深寬比。如下文進一步討論,在此揭露的方法可用以填充具有更高深寬比的特徵部,例如:至少12:1、或至少30:1。該特徵部孔105在接近開口處亦可具有介於約10奈米至500奈米(例如:介於約25奈米至300奈米)之間的橫截面尺寸(例如:開口直徑、線寬等)。該特徵部孔有時被稱作為未填充的特徵部或僅稱為特徵部。
在下一階段(橫截面111)中,基板103係顯示覆蓋特徵部孔105之沉積的下層113,其可為擴散阻障層、黏合層、成核層、其組合、或任何其他可應用的材料。由於許多沉積製程不具良好的階梯覆蓋率特性,很多材料係沉積在場區(field region)上及接近開口處而非特徵部內部,且下層113可形成外伸部115。具備外伸部115為下層113的一部分,下層113在接近開口處比在特徵部內部較厚。為了描述的目的,「接近開口處」係定義為在特徵部內(亦即,沿著特徵部的側壁)一個大約的位置或區域,其對應於從場區測量之特徵部深度之約0到10%之間。在某些實施例中,接近開口處的區域對應於在開口的區域。此外,「特徵部內部」係定義為在特徵部內一個大約的位置或區域,其對應於從特徵部頂部上的場區測量之特徵部深度之約20至60%之間。通常,當某些參數(例如厚度)的數值係明確指定「接近開口處」或「特徵部內部」時,這些數值代表在這些位置或區域內所取得之一量測值或多個量測值的一平均值。在某些實施例中,接近開口處之下層的平均厚度係比特徵部內部厚至少約10%。在較特定的實施例中,此差異可為至少約25%、至少約50%、或至少約100%。特徵部內材料的分布亦可表徵為其階梯覆蓋率。對於此描述的目的,「階梯覆蓋率」係定義為兩個厚度的比例,亦即,特徵部內部之材料的厚度比上接近開口處之材料的厚度。在某些例子中,下層的階梯覆蓋率係少於約100%,或更明確地,少於約75%或甚至少於約50%。
下一個橫截面121說明以含鎢材料123填充的特徵部孔。沉積製程可導致材料123的保形層在下層113上堆積。此沉積層依照下層113(包括其外伸部115)的形狀。在某些實施例中,且尤其,在沉積製程的之後階段(例如:剛好在特徵部閉合之前)中,層123可變得較不保形,導致差的階梯覆蓋率(亦即,接近開口處比特徵部內部沉積較多材料)。當層123增厚時,其可閉合特徵部形成夾點125。通常,一些額外的材料在停止沉積製程之前係沉積在夾點125上方。由於外伸部115以及在某些實施例中由於層123的差階梯覆蓋率,閉合的特徵部可具有在參考點125下方之未填充的孔隙。該孔隙係稱為接縫129。相對於場區127之接縫129的大小和參考點125的位置依據外伸部115的大小,以及特徵部的尺寸、深寬比、及弓形部(bowing)、沉積製程參數、及其他參數。
最後,橫截面131顯示化學機械平坦化(CMP, chemical-mechanical planarization)之後的基板133,化學機械平坦化從基板103移除頂層。CMP可用以從場區移除覆蓋層(overburden),諸如存在基板103頂部表面上的層113和123的部分。通常,基板103於CMP期間亦變薄以形成基板133。若夾點125落於CMP製程的平坦化水平面上方,如圖1所示,接縫129打開且係透過接縫開口135曝露於環境。
未於圖1中說明但仍可導致接縫形成、或擴大接縫、及將參考點往場區移近的另一個原因,係特徵部孔之彎曲(或弓形)的側壁,其亦稱為弓形特徵部。在弓形特徵部內,接近開口處之空隙的橫截面尺寸係小於特徵部內部之空隙的橫截面尺寸。在弓形特徵部內這些較窄開口的影響係有點類似上述外伸部的問題。此外,弓形特徵部亦可具有有外伸部的下層,及遭遇其他惡化接縫形成之負面影響的接縫形成原因。
在此提供以無氟的鎢(FFW)填充特徵部的方法。該方法包含使用氯化鎢(WClx )為前驅物和蝕刻劑兩者。該方法可用以在特徵部內形成鎢膜輪廓及形塑鎢膜,以提供期望的階梯覆蓋率。例如:可提供高於100%(例如上達150%)的階梯覆蓋率。在一些實施例中,該方法包含使用WClx 在特徵部內沉積鎢以部分地填充該特徵部,及執行非保形的蝕刻以從特徵部內的某些地方移除鎢。在一些實施例中,可執行額外的沉積-蝕刻週期。在一或多個沉積-蝕刻週期之後,特徵部的填充可用鎢沉積完成。此方法允許具有凹入蝕刻輪廓或懸突阻障膜的有難度的接觸窗結構之完整填充。在一些實施例中,填充以由下往上的填充方式發生。由於WClx 係用為前驅物,因此達成無氟的鎢膜,其具有極好的可靠性特點,因而增進元件性能。該方法針對目前製造技術節點(≥2X nm)及先進開發節點(≤2X nm)的需求。
氯化鎢包含WCl2 、WCl4 、WCl5 、和WCl6 、及這些之混合物。此外,雖然下述主要地描述無氟的方法,但在其他的實施例中,WClx 可包含氟氯化鎢(WFx Cly )、及氯化鎢(WClx )和氟化鎢(WFy )的混合物。
此外,雖然下述聚焦在鎢(W)特徵部的填充,本揭露內容的實施態樣亦可於含鎢材料的沉積中執行。應理解任何在此描述的鎢膜,依據使用之特定的前驅物和製程,可包含某些量的其他化合物、摻雜劑及/或雜質(諸如氮、碳、氧、硼、磷、硫、矽、鍺等)。膜內的鎢含量範圍可從20%至100%(原子)的鎢。在許多的實施方式中,膜係富含鎢,其具有至少50%(原子)的鎢,或甚至至少約60%、75%、90%、或99%(原子)的鎢。例如:使用在此描述之一或多種技術的特徵物填充可用以將特徵部填充以諸如氮化鎢(WClx )、碳化鎢(WCx )、及碳氮化鎢(WCx Ny )的含鎢材料。在一些實施方式中,膜可為金屬或元素鎢(W)與諸如碳化鎢(WC)、氮化鎢(WN)等的其他含鎢化合物的混合物。碳化物及氮化物可藉由在沉積期間引入含碳及/或含氮的反應物形成,或藉由曝露已形成的鎢層於這些化合物中,而加以形成。此外,在此描述的方法可用於特徵物填充範圍外的鎢沉積,例如:沉積及/或蝕刻毯覆層或覆蓋層。
圖2係描繪根據所描述的實施例執行之操作的製程流程圖。方法200可始於提供基板,該基板具有一或多個將以鎢填充的特徵部(方塊201)。例如:可提供基板至多工作站式腔室內部的沉積工作站或單一工作站式腔室。基板可具有覆蓋特徵部的下層,諸如擴散阻障層。某些基板及下層的細節係提供於上述圖1的背景中。
在某些實施例中,接近開口處之下層的平均厚度係比特徵部內部之下層的平均厚度至少厚約25%。以更一般性觀點而言,基板可具有有外伸部的下層。在某些情況下,先前沉積的主體鎢層可存在於特徵部內。擴散阻障層可預先沉積在基板之上以形成保形層,該保形層避免用於填充特徵部的材料擴散進入基板的周圍材料。擴散阻障層的材料可包含氮化鎢、鈦、氮化鈦、及其他。示例阻障層的厚度可介於約10埃和500埃之間,諸如約25埃和200埃之間。
方法200繼續進行,使用WClx 在特徵部內沉積鎢(W)(方塊203)。如上所示,WClx 可包含任何氯化鎢或不同氯化鎢(例如WCl6 、WCl5 等)的混合物。在一些實施例中,方塊203可包含曝露特徵部於WClx 和還原劑以部分地填充特徵部。根據各種實施例,方塊203可包含ALD或PNL類型的反應(其中還原劑和WClx 係依序引入)、CVD反應或兩者。例如,成核層可首先以一次或多次將矽烷(SiH4 )及/或二硼烷(B2 H6 )及WClx 依序引入沉積腔室而形成,接著進行CVD反應,其中WClx 以H2 還原。諸如矽烷和硼烷的還原劑係通常比氫氣(H2 )強。因此,矽烷、硼烷和鍺烷可用為成核層沉積的還原劑,而氫氣用於主體層的沉積。
使用WCl6 為前驅物沉積鎢的方法係在西元2015年5月4日申請之美國專利申請案第14/703,732號中描述,該美國專利申請案的發明名稱為“ Methods of Preparing Tungsten and Tungsten Nitride Thin Films Using Tungsten Chloride Precursor”, 於此藉由參照納入本案揭示內容。CVD亦可使用其他的還原劑,諸如硼烷、矽烷或鍺烷。可使用任何氯化鎢(WClx ),包含WCl2 、WCl4 、WCl5 、WCl6 及其混合物。
執行於方塊203中的CVD製程可為非順序式的CVD反應(其中還原劑和WClx 係同時引入)、脈衝的CVD製程、或順序式CVD製程。在一些實施例中,方塊203可包含其中兩者以上,例如:順序式CVD製程接著非順序式CVD製程。
在一些實施例中,方塊203包含順序式CVD製程,如同時申請的美國專利申請案第xxx號(代理人案號LAMRP184/3601-1US)中所述。順序式CVD製程實施對於每個反應物獨立曝露,使得反應物於沉積期間不會同時流進腔室。更準確地說,每個反應物流,依序以時間上獨立的脈衝引入至容納基板的腔室,呈週期地重覆一或數次。通常,一個週期係用於執行一次表面沉積反應之操作的最小集合。一次週期的結果係在基板表面上產生至少部分的膜層。因為其週期性的本質,順序式CVD製程係相似於ALD製程。然而,在順序式CVD中,反應物不見得吸附在基板上的活性部位之上,及在一些實施例中,反應可不為自我限制的。例如:用於順序式CVD之反應物可具有低吸附速率。再者,當引入第二反應物時,基板表面上的反應物可能不一定與第二反應物反應。更準確地說,在某些順序式CVD的實施例中,基板上的一些反應物於週期期間保持未反應,且不反應直到後續的週期。某些反應物由於化學計量特性、立體阻礙、或其他影響可不反應。在一些實施例中,順序式CVD製程包含WClx 和H2 交替的脈衝。
順序式CVD製程係不同於非順序式CVD、脈衝CVD、ALD和成核層沉積。非順序式CVD製程包含兩個反應物的同時曝露,使得於沉積期間反應物兩者係同時流動。例如:主體的鎢可藉由同時曝露基板於氫氣和五氯化鎢足夠填充特徵部的持續時間而加以沉積。H2 和WCl5 於曝露期間反應以沉積鎢進入特徵部。在脈衝CVD的製程中,一個反應物係連續地流動,而另一個反應物係脈衝輸送,但基板於沉積期間係曝露於反應物兩者以在每個脈衝期間沉積材料。例如:當脈衝輸送WCl5 時,基板可曝露於H2 的連續氣流,且於脈衝期間WCl5 和H2 反應以沉積鎢。
圖3A說明在填充過程的不同階段特徵部橫截面之一個例子的示意圖。更具體而言,橫截面321代表在完成初始沉積操作203的其中一者之後的特徵部的一個例子。在製程的此階段,基板303可具有沉積在下層313上的含鎢材料層323。接近開口處之空隙的尺寸可比特徵部內部之空隙的尺寸較窄,這是例如由於下層313的外伸部315及/或沉積層323差的階梯覆蓋率,其在上述圖1的背景中有更詳細的描述。
回到圖2,繼續進行沉積操作203直到沉積層(例如層323)達到一定的厚度。此厚度可依據空隙輪廓及開口大小。在某些實施例中,接近開口處之沉積層的平均厚度可介於包含任何下層(若下層存在)之特徵部橫截面尺寸的約5%和25%之間。在其他的實施例中(未顯示),特徵部於沉積操作203期間可完全閉合,且接著之後於WClx 蝕刻操作期間再被開啟。根據各種實施例,方塊203可發生在一或多個腔室中或在一腔室的一或多個工作站中。
該過程繼續進行,改變製程條件以轉換至蝕刻狀態(方塊205)。氯化鎢化合物(諸如WCl6 )可蝕刻沉積的鎢,其藉由形成將與沉積的鎢反應之各種氯化鎢WClx 化合物(諸如W2 Cl10 、WCl5 等)(應注意WCl5 自然以二聚物W2 Cl10 存在,但兩者係同樣的材料)。同樣地,任何使用的WClx 或其混合物可形成將與沉積的鎢反應之各種氯化鎢化合物。方塊205包含改變一或多個製程條件,諸如但不限於溫度、壓力、WClx (例如WCl5 或WCl6 )的濃度、氫氣流量、及氬氣(或其他載體氣體流),使得WClx 將具有對於沉積在特徵部內的鎢的淨蝕刻,而非沉積。在一些實施例中,WClx 前驅物本身可改變,例如:從WCl5 改變至WCl6 。同樣地,若使用諸如WCl5 /WCl6 的混合物,則成分的相對含量可改變。
根據各種實施例,方塊205可包含時間轉換或空間轉換。若基板在特定的環境(諸如腔室或工作站)中維持靜止,則可執行製程參數的時間轉換。空間轉換可包含移動基板至不同的環境。因此,依據實施方式,方塊205可包含改變腔室或工作站的基座溫度、腔室壓力、氣體流率等,及/或移動基板至具有不同製程參數的另一個腔室或工作站。根據各種實施例,方塊205可包含逐步改變至一或多個製程參數,及/或一或多個製程參數的連續調節。
方法200接著繼續使用WClx 為蝕刻劑蝕刻沉積的鎢(方塊207)。在一些實施例中,蝕刻係非保形的,使得接近開口處比特徵部內遠處有較多的鎢被蝕刻。非保形的蝕刻亦可被稱為偏向(preferential)的或低階梯覆蓋率的蝕刻。為了得到偏向的(或低階梯覆蓋率的)蝕刻,蝕刻製程條件可適當地設計;正確的蝕刻溫度、蝕刻劑流量和蝕刻壓力之組合可幫助達到期望的保形性。諸如擴散阻障層的下層可用為蝕刻停止層。
由於執行方塊203,接近開口處之沉積層平均厚度的減少可多於特徵部內部之沉積層平均厚度的減少。在某些實施例中,接近開口處的減少比特徵部內部的減少係至少多約10%,或在更特定的實施例中,係至少多約25%。在一些實施例中,操作207可執行至基板或任何下層(若下層存在)係曝露於蝕刻劑的點。在操作207之後剩餘的鎢層可表徵為階梯覆蓋率。在某些實施例中,蝕刻層的階梯覆蓋率係至少約75%,更特別地,至少約100%,或至少約125%,甚至更特別地,至少約150%。
在某些實施例中,基板可包含一或多個特徵部,其於沉積操作203期間係閉合的且於蝕刻操作207期間仍為閉合的。例如:基板可包含小的、中等尺寸的、及大的特徵部。一些小的特徵部於初始沉積操作期間可閉合且不再打開。中等尺寸的特徵部於週期後期的期間可閉合,且當其他較大的特徵部係被填充時其仍閉合。在某些實施例中,特徵部可存在於基板的不同垂直水平,例如:雙鑲嵌排列。在較低水平上的特徵部比在較高水平內的特徵部可較早閉合。
在某些實施例中,沉積操作203可僅暫時地閉合特徵部。不像於最終填充操作期間閉合特徵部(諸如下述的操作211,或在上述不同尺寸和垂直位置之多個特徵部的情況下),於此暫時閉合期間的接縫可仍為不被接受地大或開始過於接近場區。在這些實施例中,蝕刻操作207可以下述方式設計:操作207的第一部分係用以再開啟特徵部,且接著,操作207的下一部分係用作沉積材料的非保形蝕刻。此兩部分中的製程條件可為相同的或不同的。例如:蝕刻劑流率於操作207的第一部分期間可為較高,且接著於特徵部開啟時降低。
包含WClx 沉積操作203和WClx 蝕刻操作207的沉積-蝕刻週期可重覆一次或多次,如由判定方塊208所指示。例如:在一個週期之後達到期望的階梯覆蓋率可為困難的,尤其在具有大外伸部的小特徵部內。判定208中對於是否繼續進行另一個週期的考量因素包括外伸部尺寸、特徵部尺寸、特徵部深寬比、特徵部彎曲、及接縫尺寸和接縫位置需求。
在某些實施例中,下一週期內之操作其中一者或二者的製程參數可被改變(操作209)。例如:於初始週期期間的淨沉積可多於在後續週期中的淨沉積,這是由於沉積層係仍薄且於蝕刻期間污染的風險係高。同時,空隙起初較開且閉合的風險係較低。例如:初始沉積週期可以較低的速率執行(由低溫驅動),以對沉積在部分製造的基板上之含鎢材料的含量達到較高的控制。較低的速率可導致更保形的沉積,其對於某些特徵部的類型可為需要的。後續的沉積週期可以較快的沉積速率執行(由較高的溫度驅動),因為控制沉積的厚度可能較非關鍵,及/或先前的沉積-蝕刻週期可以這些空隙係較不可能提早閉合的方式形塑特徵部的空隙輪廓。蝕刻亦可被控制,例如:藉由使用不同的前驅物、控制溫度、調整前驅物濃度等。
此外,方塊203可逐週期地修正。例如:在初始週期中,其可包含如上所述之順序式CVD製程。順序式CVD製程係通常慢於非順序式CVD製程,及因此提供較好的控制。在後續的週期中,方塊203可為非順序式CVD製程。
返回至圖3A,橫截面331描繪於非保形蝕刻之後的特徵部。因此,橫截面321和331可代表第一週期,或更普遍地,初始週期的其中一者。於此週期期間的沉積層323可能太薄而無法完全補償或抵消各種接縫形成原因,諸如外伸部315。例如:在選擇性的移除操作之後,顯示於橫截面331中的空隙在接近開口處係仍然比在特徵部內部較窄。在某些實施例中,此差異可足夠地小使得製程繼續至最終的填充操作,而不重覆沉積-蝕刻週期。
橫截面341和351說明後續週期期間和之後的基板303。首先,橫截面341顯示形成於蝕刻層333上的新沉積層343。具有層343的特徵部可具有改善的輪廓,其反映於先前週期期間所達成之較佳階梯覆蓋率。然而,空隙的輪廓可能仍然不允許繼續進行至最終的填充,且可能需要其他的蝕刻操作以進一步形塑此空隙。橫截面351代表處於用以完成填充的最終沉積步驟之前之階段的基板303。此空隙在接近開口處比在特徵部內部較寬。在某些實施例中,新沉積層的階梯覆蓋率比初始沉積層的階梯覆蓋率係至少高約10%,且可為約高至少約20%或高至少約30%。
在一或多個沉積-蝕刻週期係執行以部分地填充特徵部及形塑特徵部輪廓之後,製程可接著繼續進行最終的填充操作211。此操作在某些方面可相似於沉積操作203。主要的不同係操作211繼續進行直到特徵部係完全閉合,且無後續的蝕刻操作打開特徵部。返回至圖3A,橫截面361代表基板303在沒有接縫存在的最終填充操作之後的一個例子。在某些實施例中,特徵部仍具有接縫,但接縫係較小,且與習知填充特徵部相比具有更遠離場區的參考點。在一些實施方式中,填充可以由下往上的方式持續進行。圖3B顯示此填充方式的一個例子。
在一些實施例中,沉積操作203和蝕刻操作207兩者係非電漿操作。在一些實施例中,蝕刻操作207可為電漿增強的,其中遠程或現場的電漿輔助蝕刻物種生成。進一步在某些實施例中可包含例如氬離子束的離子束。例如:各種氯物種可被吸附在沉積的鎢上,氬離子接著被引入以解吸附WClx 副產物。
在一些實施例中,沉積和蝕刻操作203和207可部分重疊或為同時。例如:製程條件可設定在特徵部的底部有淨沉積且在特徵部的頂部有淨蝕刻。根據各種實施例,依據製程方塊205可執行或可不執行。例如:製程條件可為使得前驅物和蝕刻劑的物種係同時在腔室內,允許沉積和蝕刻反應兩者同時發生。為了使特徵部內部比接近開口處達到較佳的淨沉積,製程條件可為使得蝕刻反應係質傳限制的(mass-transport limited),且因而依據蝕刻劑的濃度。同時,沉積反應係非質傳限制的,且在特徵部及開口內部以約相同的速率持續進行。可調整(逐漸地或逐步的方式)各種製程條件,包含還原劑或其他反應物的流率、電漿物種的引入、溫度等。一旦不需要更多的週期,製程可選用地轉變到最終的特徵部操作(方塊211)。
在某些實施例中,製程腔室可配備各種感測器以執行原位計量量測以識別沉積操作203和後續蝕刻操作207的程度。原位計量的例子包括決定沉積膜厚度的光學顯微鏡和X射線螢光法(XRF, X-Ray Fluorescence)。此外,紅外線(IR, infrared)光譜法可用於檢測於蝕刻操作期間產生之氯化鎢(WClx )的量。殘餘氣體分析(RGA, residual gas analysis)可使用質譜儀用以檢測氣體(反應物/副產物)。
根據各種實施例,包含基板溫度、腔室壓力、和載體流率的製程條件可改變以在沉積和蝕刻狀態之間切換及定制蝕刻。關於圖7,如下所述,氯化鎢前驅物的濃度可改變以在沉積和蝕刻狀態之間切換及定制蝕刻。示例基板的溫度可介於300o C至650o C之間;示例壓力可介於5 Torr至760 Torr之間,或5 Torr至100 Torr之間;示例前驅物(WClx )的溫度可介於110o C至180o C之間。在各種製程條件下,W可被沉積、部分地蝕刻,或與阻障物一起蝕刻,往下至介電層。
例如,在一些實施例中,導致高WClx 通量的條件可使用於高度蝕刻且無沉積。在一些實施例中,溫度可增加以較穩定的沉積。下方的表1顯示在各種溫度、載體流量和壓力下WCl6 /H2 CVD的結果。(雖然WCl6 /H2 曝露的操作係稱為CVD,如下所示在一些條件中,製程係在蝕刻而非沉積狀態。)在WCl6 /H2 CVD的操作之前,鎢成核層係使用兩個B2 H6 /WCl6 的PNL週期(在450o C)沉積在100埃的TiN層上。每個WCl6 /H2 CVD的操作係進行10分鐘。測量鎢的厚度和TiN的流失,其結果顯示於表1。溫度的單位係o C,Ar載體流量的單位係sccm,及壓力的單位係Torr。 表1:WCl6 /H2 為蝕刻劑的蝕刻條件 成核膜係在相同的條件下增長,對於所有試樣沉積約50埃;當蝕刻條件發生時,其係蝕刻成核層及底TiN層。
表1的結果顯示,對於沉積,450o C係比550o C較不穩定。在450o C且在20 Torr的低壓下,無論載體流率為何,沒有沉積且有嚴重的蝕刻。在60 Torr的高壓下,只有於50sccm的低載體流率下有沉積,而在高載體流率下沒有沉積。
在550o C且在20 Torr的低壓下,僅在較高載體流量下有蝕刻,然而,蝕刻不如在450o C、20 Torr且高載體流情況時嚴重(比較試樣7與試樣3)。無論載體流率為何,在60 Torr下沒有蝕刻。
低壓和高載體流量造成最高的通量和最大的蝕刻。如上所述,蝕刻效果在450o C時較嚴重。得到一壓力曲線,顯示最高的沉積速率係使用低載體流量在550o C且在10T的低壓下達成。參看圖6,其顯示對於在450o C和550o C下WCl6 /H2 的曝露,鎢(W)厚度和氮化鈦(TiN)被蝕刻的厚度為壓力的函數。
WClx 的通量可藉由增加WClx 的濃度而加以增加。圖7係顯示CVD沉積速率為前驅物(WCl5 和WCl6 )濃度之函數的圖。轉折處顯示從沉積轉換至蝕刻狀態。在這些前驅物中WCl5 具有較低的蝕刻速率,使得對於同樣的濃度WCl6 蝕刻較多。對於兩種前驅物,增加濃度可從沉積轉換至蝕刻狀態。在顯示於圖7的實驗結果中,蝕刻始於晶圓的中心,可能由於在中心增加的溫度。然而,應注意此係由於處理的實驗本質,且整個晶圓的均質沉積/蝕刻可以適當的溫度和氣流控制達成。前驅物的濃度係關於前驅物的體積流率佔總流率的百分比。示例濃度的範圍從0.5%至5%。在許多系統中,可能藉由適當地變換濃度在最合理的溫度和壓力下(例如:那些在上述給定的範圍內)達到沉積或蝕刻。
在一些實施方式中,轉換至蝕刻狀態可包含降低溫度,而轉換至沉積狀態可包含提升溫度。在一些實施方式中溫度可維持固定而改變其他製程參數以從沉積至蝕刻,或從蝕刻到沉積。在一些實施方式中,溫度可單獨改變,或與一或多個其他製程參數一起改變。
在一些實施方式中,轉換至蝕刻狀態可包含降低壓力,而轉換至沉積狀態可包含提高壓力。在一些實施方式中壓力可維持固定,而改變其他製程參數以從沉積至蝕刻,或從蝕刻到沉積。在一些實施方式中,壓力可單獨改變,或與一或多個其他製程參數一起改變。
在一些實施方式中,轉換至蝕刻狀態可包含提升載體流率,而轉換至沉積狀態可包含降低載體流率。在一些實施方式中載體流率可維持固定而改變其他製程參數以從沉積至蝕刻,或從蝕刻到沉積。在一些實施方式中,載體流率可與一或多個其他製程參數一起改變。
在一些實施方式中,轉換至蝕刻狀態可包含增加WClx 的濃度,而轉換至沉積狀態可包含降低WClx 的濃度。在一些實施方式中WClx 的濃度可維持固定,而改變其他製程參數以從沉積至蝕刻,或從蝕刻到沉積。在一些實施方式中,WClx 的濃度可單獨改變,或與一或多個其他製程參數一起改變。 裝置
任何合適的腔室可用以執行所揭露的實施例。示例的沉積裝置包括各種不同的系統,例如:由Lam Research Corp., of Fremont, California市售的ALTUS® 及 ALTUS® Max、或任何各種其他市售的處理系統。該製程可並行執行在多個沉積工作站中。
在一些實施例中,鎢成核製程係執行於第一工作站,其係位於單一沉積腔室內之兩個、五個、或甚至更多個沉積工作站的其中一者。在一些實施例中,成核製程的各種步驟係執行於一沉積腔室的兩個不同的工作站中。例如: 基板可在使用個別氣體供應系統的第一工作站中曝露於二硼烷(B2 H6 ),該個別氣體供應系統在基板表面產生局部氛圍;且接著,基板可被轉移至第二工作站以曝露於FFW前驅物(諸如六氯化鎢(WCl6 )或五氯化鎢(WCl5 ))以沉積成核層。在一些實施例中,基板可接著被轉移回第一工作站進行二硼烷的第二次曝露。接著,基板可轉移至第二工作站曝露於WCl6 (或其他氯化鎢),以完成鎢成核作用,以及在相同或不同的工作站中繼續進行主體的鎢沉積。一個或多個工作站可接著用以執行上述之化學氣相沉積(CVD)。一個或多個工作站可接著用以執行上述之蝕刻。
圖4係適合執行根據本發明實施例之鎢薄膜沉積和蝕刻製程之處理系統的方塊圖。系統400包含傳送模組403。該傳送模組403提供乾淨的加壓環境,以當被處理基板移動於各種反應器模組之間時,使被處理基板之污染的風險最小化。多工作站式腔室409安裝在傳送模組403上,腔室409係可執行根據本發明實施例之PNL沉積及CVD沉積和蝕刻。腔室409可包含多個工作站411、413、415、和417,其可依序執行這些操作。例如:腔室409可配置成使得工作站411和413執行PNL沉積,而工作站415和417執行CVD。每個沉積工作站包含加熱晶圓基座及噴淋頭、分散板或其他進氣口。沉積工作站500的一個例子係描繪於圖5,包含晶圓支撐部502和噴淋頭503。加熱器可設置在基座部分501之中。
在傳送模組403上亦可安裝一個或多個單一或多重工作站的模組407,其可執行電漿或化學(非電漿)之預清潔。該模組亦可用於各種其他處理,例如:還原劑浸泡。系統400亦包含一個或多個(在此例子兩個)晶圓源模組401,其在處理之前和之後儲存晶圓。常壓傳送腔室419中的常壓機器人(atmospheric robot)(未顯示)首先從源模組401調動晶圓至裝載鎖定部(loadlock)421。傳送模組403內的晶圓傳送裝置(通常為機械手臂單元)從裝載鎖定部421移動晶圓至安裝於傳送模組403上的多個模組且移動於該多個模組間。
在某些實施例中,系統控制器429係於沉積期間用於控制製程條件。控制器通常包含一個或多個記憶裝置及一個或多個處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
控制器可控制沉積裝置的所有活動。系統控制器執行系統控制軟體,包含控制下述的指令集:時序、氣體混合、腔室壓力、腔室溫度、晶圓溫度、射頻(RF, radio frequency)功率位準(若使用)、晶圓卡盤或基座位置、及特殊製程的其他參數。儲存於記憶裝置關於控制器的其他電腦軟體可在一些實施例中使用。
通常,具有關於控制器的使用者介面。該使用者介面可包括顯示螢幕、裝置及/或製程條件的圖形軟體顯示、及使用者輸入裝置諸如指向裝置、鍵盤、觸控螢幕、麥克風等。
系統控制邏輯可以任何適合的方式配置。通常,邏輯可被設計或配置於硬體及/或軟體中。控制驅動電路的指令可為硬編碼或被提供作為軟體。該指令可由「程式設計」提供。此程式設計係被理解為包括任何形式的邏輯,包含在數位訊號處理器、特殊應用積體電路、及其他具有實現為硬體之特定演算法之裝置中的硬編碼邏輯。程式設計亦係被理解為包含可在通用處理器上執行的軟體或韌體指令。系統控制軟體可以任何適合的電腦可讀程式設計語言編碼。或者,控制邏輯可硬編碼在控制器上。特殊應用積體電路、可程式邏輯裝置(例如:現場可程式閘陣列(FPGAs, field-programmable gate arrays))等可用於這些目的。在以下的討論中,無論「軟體」或「編碼」於何處使用,功能上相當的硬編碼邏輯可在這些地方使用。
在製程序列中控制沉積和其他製程的電腦程式碼可以任何傳統的電腦可讀程式設計語言撰寫:例如,組合語言、C、C++、巴斯卡(Pascal)、福傳(Fortran)、或其他。編譯的目的碼或腳本係由處理器實行以執行在程式中所確定的任務。
控制器參數涉及製程條件,例如:處理氣體成分和流率、溫度、壓力、電漿條件(諸如RF功率位準和低頻之RF頻率)、冷卻氣體壓力、及腔室壁溫度。這些參數係以配方的形式提供給使用者,且可利用使用者介面輸入。
監測製程的訊號可由系統控制器的類比及/或數位輸入連接提供。控制製程的訊號係輸出在沉積裝置的類比和數位輸出連接上。
在一些實施方式中,控制器429為系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,包含一個以上處理工具、一個以上腔室、用於處理之一個以上平臺,及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱為「控制器」,其可控制該一個以上系統之各種不同的元件或子部分。依據系統的處理需求及/或類型,控制器429可被編程以控制任何在此揭露的製程,包括:處理氣體的遞送、溫度設定(例如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。
廣義地說,控制器429可定義為電子設備,其具有各種積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清洗操作、啟用端點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或一個或多個微處理器、或執行程式指令(例如軟體)的微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器429通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該操作參數可為由製程工程師定義之配方的部分,以在一個或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造期間完成一個或多個製程步驟。
在一些實施方式中,控制器429可為電腦的一部分或耦接至電腦,該電腦係與系統整合、連接,或以其他方式網路連至系統,或其組合。例如:控制器429可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量,以改變目前處理的參數、以設定目前操作之後的處理步驟、或啟動新的製程。在一些例子中,遠程電腦(例如:伺服器)可經由網路提供製程配方給系統,該網路可包括區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,控制器429接收數據形式的指令,該數據明確指定於一個或多個操作期間將被執行之各個處理步驟的參數。應理解參數可專門用於將執行之製程的類型及配置控制器429以介接或控制之工具的類型。因此,如上所述,控制器429可為分散式的,諸如藉由包含一個或多個分散的控制器,其由網路連在一起且朝共同的目標(諸如在此描述的製程和控制)作業。一個用於此目的之分散式控制器的例子將為腔室上的一個或多個積體電路,連通位於遠端(諸如在平台級或作為遠程電腦的一部分)的一個或多個積體電路,其結合以控制腔室中之製程。
示例系統可不受限制地包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE, atomic layer etch)腔室或模組、離子植入腔室或模組、軌道(track)腔室或模組、及任何其他可關聯或使用於半導體晶圓的製造及/或生產中的半導體處理系統。
如上所述,依據將由工具執行的一個以上製程步驟,控制器429可與下述通訊:一個或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
系統軟體可以許多不同的方式設計或配置。例如:各種腔室元件的副程式(subroutine)或控制物件可被撰寫,以控制執行本發明之沉積製程必須的腔室元件之操作。為了此目的之程式或程式部分的示例包含基板定位碼、處理氣體控制碼、壓力控制碼、加熱器控制碼、及電漿控制碼。
基板定位程式可包含控制腔室元件的程式碼,用以裝載基板到基座或卡盤之上,及用以控制基板和腔室其他部分(諸如進氣口及/或目標物)之間的間距。處理氣體控制程式可包含程式碼,用於控制氣體成分和流率,及選用性地用於在沉積之前流動氣體進入腔室以使腔室內的氣壓穩定。壓力控制程式可包含程式碼,用於藉由調節如腔室排氣系統內的節流閥而控制腔室內的壓力。加熱器控制程式可包含程式碼,用於控制電流流至用以加熱基板的加熱單元。或者,該加熱器控制程式可控制諸如氦氣的加熱轉移氣體遞送至晶圓卡盤。
於沉積期間可被監測之腔室感測器的例子包括:質量流量控制器,諸如壓力計的壓力感測器、及位於基座或卡盤的熱電偶。適當編程的反饋和控制演算法可與來自這些感測器的資料一起使用以維持期望的製程條件。以上描述在單一或多腔室半導體處理工具內本發明之實施例的實施方式。
以上描述在單一或多腔室半導體處理工具內所揭露實施例的實施方式。在此描述的裝置和製程可結合微影圖案化的工具或製程而使用,例如,半導體裝置、顯示器、LED、太陽光電板等的製造或生產。通常,雖然不一定,此等工具/製程將一起使用或執行於共同的製造設施內。膜的微影圖案化通常包含一些或全部下述步驟,每個步驟以幾個可能的工具提供:(1)工件(亦即基板)上光阻的塗佈,使用旋轉式或噴塗式的工具;(2)光敏劑的固化,使用加熱板或加熱爐或UV固化工具;(3)以諸如晶圓步進機的工具曝露光阻於可見光或UV或x射線光;(4)顯影光阻以便選擇性地移除光阻及從而使其圖案化,使用諸如溼檯的工具;(5)藉由使用乾式或電漿輔助蝕刻工具轉移光阻圖案進入底膜或工件;及(6)使用諸如RF或微波電漿光阻剝除器的工具移除光阻。 結論
雖然上述實施例為了清楚理解的目的已以一些細節描述,但顯然地,某些改變和修飾可在隨附申請專利範圍之範疇內實施。應注意有許多替代方式執行本發明實施例的製程、系統、和裝置。因此,本發明實施例係被視為說明性而非限制性,及該實施例係非限制在此給出的細節。
101‧‧‧橫截面
103‧‧‧基板
105‧‧‧孔
111‧‧‧橫截面
113‧‧‧下層
115‧‧‧外伸部
121‧‧‧橫截面
123‧‧‧層(含鎢材料/材料)
125‧‧‧夾點(參考點)
127‧‧‧場區
129‧‧‧接縫
131‧‧‧橫截面
133‧‧‧基板
135‧‧‧接縫開口
303‧‧‧基板
313‧‧‧下層
315‧‧‧外伸部
321‧‧‧橫截面
323‧‧‧層
331‧‧‧橫截面
333‧‧‧蝕刻層
341‧‧‧橫截面
343‧‧‧層
351‧‧‧橫截面
361‧‧‧橫截面
400‧‧‧系統
401‧‧‧源模組
403‧‧‧傳送模組
407‧‧‧模組
409‧‧‧腔室
411‧‧‧工作站
413‧‧‧工作站
415‧‧‧工作站
417‧‧‧工作站
419‧‧‧常壓傳送腔室
421‧‧‧裝載鎖定部
429‧‧‧控制器
500‧‧‧工作站
501‧‧‧基座部分
502‧‧‧晶圓支撐部
503‧‧‧噴淋頭
圖1說明於根據某些實施例半導體處理的不同階段期間,包含高深寬比特徵部之半導體基板的一個例子。
圖2係描述根據所描述的實施例執行之操作的製程流程圖。
圖3A說明在填充過程的不同階段之特徵部橫截面的一個例子的示意圖。
圖3B顯示根據某些實施例的特徵部之由下往上填充的一個例子。
圖4係適合執行根據某些實施例之鎢薄膜沉積和蝕刻製程的處理系統之一個例子的示意圖。
圖5係根據某些實施例之沉積工作站之一個例子的示意圖。
圖6係顯示對於在450o C和550o C下WCl6 /H2 的曝露,鎢(W)和氮化鈦(TiN)的厚度為壓力函數的壓力曲線。
圖7係顯示CVD沉積速率和蝕刻轉變為前驅物(WCl5 和WCl6 )濃度之函數的圖。

Claims (17)

  1. 一種在基板上沉積鎢的方法,該方法包含: 以一第一組條件曝露基板於一氯化鎢(WClx )前驅物及一還原劑,以藉由化學氣相沉積(CVD)在該基板上的一特徵部內沉積一第一鎢層;及 以一第二組條件曝露該基板於一WClx 前驅物及該還原劑以蝕刻該第一鎢層。
  2. 如申請專利範圍第1項之在基板上沉積鎢的方法,其中氯化鎢係選自由WCl2 、WCl4 、WCl5 、WCl6 、及其混合物組成的群組。
  3. 如申請專利範圍第1項之在基板上沉積鎢的方法,其中蝕刻該第一鎢層的步驟包含一非保形的蝕刻,使得接近該特徵部開口處的該第一鎢層之平均厚度的減少係多於該特徵部內部該第一鎢層之平均厚度的減少。
  4. 如申請專利範圍第1項之在基板上沉積鎢的方法,其中該還原劑係氫氣。
  5. 如申請專利範圍第1項之在基板上沉積鎢的方法,其中從該第一組條件轉變至該第二組條件包含降低溫度。
  6. 如申請專利範圍第1項之在基板上沉積鎢的方法,其中從該第一組條件轉變至該第二組條件包含增加WClx 的通量。
  7. 如申請專利範圍第1項之在基板上沉積鎢的方法,其中在沉積操作中的WClx 係與在蝕刻操作中的該WClx 前驅物相同。
  8. 如申請專利範圍第1項之在基板上沉積鎢的方法,其中從該第一組條件轉變至該第二組條件包含改變該WClx 前驅物。
  9. 如申請專利範圍第1項之在基板上沉積鎢的方法,其中從該第一組條件轉變至該第二組條件包含增加WClx 的濃度。
  10. 一種以鎢填充特徵部的方法,包含: 曝露以鎢部分填充的一特徵部於WClx ,以從而移除該部分填充之特徵部中之一部分的鎢。
  11. 如申請專利範圍第10項之以鎢填充特徵部的方法,其中接近該特徵部開口處之鎢平均厚度的減少係多於該特徵部內部之鎢平均厚度的減少。
  12. 如申請專利範圍第10項之以鎢填充特徵部的方法,更包含曝露該部分填充的特徵部於氫氣。
  13. 一種處理基板的裝置,該裝置包含: (a)  一或多個處理腔室,包含配置以支撐一基板的一基座; (b) 至少一出口,用於耦接至真空; (c)  一或多個處理氣體進氣口,耦接至一或多個處理氣體源;及 (d) 一控制器,用於控制該裝置內的操作,包含下述之機器可讀指令: (i)                引入氯化鎢和一還原劑至該一或多個處理腔室的其中一者;及 (ii)             在(i)之後,引入氯化鎢和一還原劑至該一或多個處理腔室的其中一者,其中從(i)轉變至(ii)包含從沉積狀態轉換至蝕刻狀態的指令。
  14. 如申請專利範圍第13項之處理基板的裝置,其中該控制器包含藉由增加氯化鎢的濃度而從(i)轉變至(ii)的指令。
  15. 如申請專利範圍第13項之處理基板的裝置,其中該控制器包含藉由降低該基板的溫度而從(i)轉變至(ii)的指令。
  16. 如申請專利範圍第13項之處理基板的裝置,其中該控制器包含藉由改變氯化鎢前驅物而從(i)轉變至(ii)的指令。
  17. 如申請專利範圍第13項之處理基板的裝置,其中該控制器包含藉由增加氯化鎢的流率而從(i)轉變至(ii)的指令。
TW104117319A 2014-05-31 2015-05-29 在基板上沉積鎢的方法及裝置 TWI707973B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201462006117P 2014-05-31 2014-05-31
US62/006,117 2014-05-31
US201462075092P 2014-11-04 2014-11-04
US62/075,092 2014-11-04
US14/723,353 US20150348840A1 (en) 2014-05-31 2015-05-27 Methods of filling high aspect ratio features with fluorine free tungsten
US14/723,353 2015-05-27

Publications (2)

Publication Number Publication Date
TW201610201A true TW201610201A (zh) 2016-03-16
TWI707973B TWI707973B (zh) 2020-10-21

Family

ID=54702643

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104117319A TWI707973B (zh) 2014-05-31 2015-05-29 在基板上沉積鎢的方法及裝置

Country Status (5)

Country Link
US (1) US20150348840A1 (zh)
JP (1) JP6742077B2 (zh)
KR (1) KR102403860B1 (zh)
CN (1) CN105280549A (zh)
TW (1) TWI707973B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11230764B2 (en) 2017-06-23 2022-01-25 Merck Patent Gmbh Methods of atomic layer deposition for selective film growth

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
JP6478813B2 (ja) * 2015-05-28 2019-03-06 東京エレクトロン株式会社 金属膜の成膜方法
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10214807B2 (en) * 2016-06-02 2019-02-26 Lam Research Corporation Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9899258B1 (en) * 2016-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Metal liner overhang reduction and manufacturing method thereof
TW201833991A (zh) * 2016-11-08 2018-09-16 美商應用材料股份有限公司 自對準圖案化之方法
US9935173B1 (en) * 2016-11-29 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
KR102234559B1 (ko) * 2016-12-15 2021-03-31 어플라이드 머티어리얼스, 인코포레이티드 핵형성을 사용하지 않는 갭 충전 ald 프로세스
US10497811B2 (en) * 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
JP6719416B2 (ja) 2017-03-30 2020-07-08 東京エレクトロン株式会社 凹部の埋め込み方法および処理装置
US10510590B2 (en) 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
KR102367848B1 (ko) 2017-04-27 2022-02-25 주식회사 레이크머티리얼즈 저 불소 함량을 갖는 텅스텐 박막의 제조 방법
US10867905B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US11011413B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
JP7366019B2 (ja) * 2017-12-14 2023-10-20 アプライド マテリアルズ インコーポレイテッド エッチング残留物の少ない金属酸化物のエッチング方法
JP7023150B2 (ja) * 2018-03-26 2022-02-21 東京エレクトロン株式会社 タングステン膜の成膜方法及び制御装置
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
JP2021534589A (ja) * 2018-08-24 2021-12-09 ラム リサーチ コーポレーションLam Research Corporation 高アスペクト比エッチングのための金属含有パシベーション
JP7047117B2 (ja) * 2018-09-14 2022-04-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
JP7149788B2 (ja) * 2018-09-21 2022-10-07 東京エレクトロン株式会社 成膜方法及び成膜装置
CN109545741B (zh) * 2018-12-05 2020-11-24 上海华力集成电路制造有限公司 钨填充凹槽结构的方法
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
JP7486588B2 (ja) * 2020-01-16 2024-05-17 インテグリス・インコーポレーテッド エッチングまたは堆積のための方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61224313A (ja) * 1985-03-29 1986-10-06 Hitachi Ltd 気相薄膜成長方法
KR100272523B1 (ko) * 1998-01-26 2000-12-01 김영환 반도체소자의배선형성방법
JP5550843B2 (ja) * 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US8124531B2 (en) * 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
WO2014052642A1 (en) * 2012-09-28 2014-04-03 Advanced Technology Materials, Inc. Fluorine free tungsten ald/cvd process
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
CN105453230B (zh) * 2013-08-16 2019-06-14 应用材料公司 用六氟化钨(wf6)回蚀进行钨沉积
JP6297884B2 (ja) * 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11230764B2 (en) 2017-06-23 2022-01-25 Merck Patent Gmbh Methods of atomic layer deposition for selective film growth
TWI770201B (zh) * 2017-06-23 2022-07-11 德商馬克專利公司 選擇性膜成長之原子層沉積之方法

Also Published As

Publication number Publication date
KR20150138116A (ko) 2015-12-09
CN105280549A (zh) 2016-01-27
TWI707973B (zh) 2020-10-21
JP6742077B2 (ja) 2020-08-19
JP2015232177A (ja) 2015-12-24
US20150348840A1 (en) 2015-12-03
KR102403860B1 (ko) 2022-05-30

Similar Documents

Publication Publication Date Title
TWI707973B (zh) 在基板上沉積鎢的方法及裝置
KR102641077B1 (ko) 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
TWI831756B (zh) 形成金屬薄膜的方法及儀器
TWI706509B (zh) 包含多段式抑制成核之特徵部塡充
TWI747825B (zh) 藉由順序化學汽相沉積製程所進行的低氟含量之鎢的沉積
TWI709656B (zh) 具有低氟含量之鎢膜
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
KR20230161400A (ko) 텅스텐 갭충진 퍼포먼스를 향상시키기 위해 에칭 프로세스에서 rf 전력의 펄싱
JP6494940B2 (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
KR20220129098A (ko) 순수 금속 막의 증착
KR20220110343A (ko) 몰리브덴을 함유하는 저 저항률 막들
KR20210028273A (ko) 다층 피처 충진
KR20210092840A (ko) 3d nand 구조체 상의 원자 층 증착
KR20220129105A (ko) 텅스텐을 위한 몰리브덴 템플릿들
KR20210110886A (ko) 금속 막들의 증착
KR20220052996A (ko) 금속 증착
KR20220047333A (ko) 텅스텐 증착
TW201920748A (zh) 氮化鎢阻障層沉積
KR20210141762A (ko) 고 단차 커버리지 (step coverage) 텅스텐 증착
KR20240052872A (ko) 반도체 프로세싱 동안 프로세스 가스 램핑
WO2019236909A1 (en) Reduction of diffusion across film interfaces