CN105280549A - 用无氟钨填充高深宽比的特征的方法 - Google Patents

用无氟钨填充高深宽比的特征的方法 Download PDF

Info

Publication number
CN105280549A
CN105280549A CN201510293342.1A CN201510293342A CN105280549A CN 105280549 A CN105280549 A CN 105280549A CN 201510293342 A CN201510293342 A CN 201510293342A CN 105280549 A CN105280549 A CN 105280549A
Authority
CN
China
Prior art keywords
tungsten
wcl
feature
substrate
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201510293342.1A
Other languages
English (en)
Inventor
汉娜·班诺乐克
拉什纳·胡马雍
迈克尔·达内克
约瑟亚·科林斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105280549A publication Critical patent/CN105280549A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

本文提供的是用无氟钨填充高深宽比的特征的方法,具体提供的是用于使用氯化钨反应剂沉积和蚀刻钨的方法和装置。该方法涉及使用氯化钨(WClx)作为前体和蚀刻剂两者。在一些实施方式中,在第一组条件下将所述衬底暴露于氯化钨(WClx)前体和还原剂以在衬底上的特征中沉积第一钨层;以及在第二组条件下将所述衬底暴露于WClx前体和所述还原剂以蚀刻所述第一钨层。根据各种实施方式,从沉积机制到蚀刻机制的转变可涉及增加WClx通量、降低温度、以及改变WClx前体中的一个或多个。还提供了相关的装置。

Description

用无氟钨填充高深宽比的特征的方法
相关申请的交叉引用
本申请根据35U.S.C§119(e)要求于提交2014年5月31日提交的名称为“METHODSOFFILLINGHIGHASPECTRATIOFEATURESWITHFLUORINEFREETUNGSTEN”的美国临时专利申请No.62/006,117的权益,以及根据35U.S.C§119(e)要求于2014年11月4日提交的名称为“METHODSOFFILLINGHIGHASPECTRATIOFEATURESWITHFLUORINEFREETUNGSTEN”的美国临时专利申请No.62/075,092的权益,两者在此通过参考引入其全部内容并用于所有目的。
技术领域
本发明总体上涉及半导体制造工艺,具体涉及用无氟钨填充高深宽比的特征的方法。
背景技术
使用化学气相沉积(CVD)技术的钨膜沉积是半导体制造工艺的组成部分。例如,钨膜可以相邻金属层之间的水平互连、通孔,以及第一金属层和硅衬底上的器件之间的触头的形式被用作为低电阻率的电气连接。在一钨沉积工艺的示例中,阻挡层被沉积在介电衬底上,然后跟着钨膜的薄成核层的沉积。之后,剩余的钨膜被沉积在该成核层上作为体层(bulklayer)。通常,钨体层通过在化学气相沉积工艺中用氢(H2)还原六氟化钨(WF6)来形成。
发明内容
本发明描述的主题的一个方面是在衬底上沉积钨的方法。该方法包括在第一组条件下将衬底暴露于氯化钨和还原剂以通过化学气相沉积(CVD)在衬底上的特征中沉积第一钨层并在第二组条件下将所述衬底暴露到氯化钨和还原剂以蚀刻所述第一钨层。
根据各种实施方式,在沉积和蚀刻操作中使用的氯化钨化合物可以是相同的或不同的。氯化钨(WClx)可包括WCl2、WCl4、WCl5、WCl6、以及它们的混合物。还原剂的实例包括氢气(H2)。
在一些实施方式中,蚀刻所述第一钨层包括非保形蚀刻,使得在特征的开口附近的所述第一钨层的平均厚度的减小大于在特征内的第一钨层的平均厚度的减小。在一些实施方式中,从所述第一组条件到所述第二组条件的转变包括降低温度。在一些实施方式中,从所述第一组条件到所述第二组条件的转变包括增大WClx通量。在一些实施方式中,从所述第一组条件到所述第二组条件的转变包括降低室的压强。在一些实施方式中,从所述第一组条件到所述第二组条件的转变包括提高WClx流率。在一些实施方式中,从所述第一组条件到所述第二组条件的转变包括提高WClx浓度。
所述主题的另一个方面涉及一种方法,所述方法包括将部分填充钨的特征暴露于WClx以去除在部分填充的特征中的钨的一部分。在一些实施方式中,也可将特征暴露于氢气(H2)。在一些实施方式中,在特征的开口附近的钨的平均厚度的减小大于在特征内的钨的平均厚度的减小。
本发明公开的主题的另一个方面涉及用于处理衬底的装置。该装置可以包括:(a)一个或多个工艺室,其包括被配置成容纳衬底的基架;(b)至少一个出口;(c)偶联到一个或多个工艺气体源的一个或多个工艺气体入口;以及(d)控制器,其用于控制所述装置中的操作,包括机器可读指令,所述指令用于:(i)将氯化钨和还原剂引入一个或多个处理室中的一个;以及(ii)在(i)之后,将氯化钨和还原剂引入一个或多个处理室中的一个,其中,从(i)到(ii)的转变包括用于从沉积机制(regime)切换到蚀刻机制的指令。
在一些实施方式中,其中所述控制器包括用于通过提高氯化钨浓度以从(i)转变到(ii)的指令。在一些实施方式中,其中所述控制器包括用于通过降低衬底的温度以从(i)转变到(ii)的指令。在一些实施方式中,所述控制器包括用于通过改变氯化钨以从(i)转变到(ii)的指令。在一些实施方式中,所述控制器包括用于通过提高氯化钨流率以从(i)转变到(ii)的指令。
下面参照附图进一步描述这些方面和其他方面。
附图说明
图1示出了根据某些实施方式在半导体处理的不同阶段期间包含高深宽比的特征的半导体衬底的示例。
图2是描述根据所述实施方式执行的操作的工艺流程图。
图3A示出了在填充工艺的不同阶段的特征的横截面的一个示例的示意性表示。
图3B示出了根据某些实施例的特征的自下而上的填充的示例。
图4是根据某些实施方式的适合于进行钨薄膜沉积和蚀刻工艺的处理系统的示例的示意图。
图5是根据某些实施方式的沉积站的示例的示意图。
图6是表示在450℃和550℃下钨(W)和氮化钛(TiN)的厚度作为用于WCl6/H2暴露的压强的函数的压强曲线图。
图7是示出CVD沉积速率和蚀刻转变作为WCl5和WCl6的前体浓度的函数的曲线图。
具体实施方式
在接下来的描述中,许多具体细节被阐述以提供对所呈现的实施方式的透彻理解。所公开的实施方式可在没有这些具体细节中的一些或全部的情况下被实施。另一方面,公知的工艺操作没有被详细描述以免不必要地模糊所公开的实施方式。虽然所公开的实施方式将结合具体实施方式进行描述,但应当理解,这并非意图限制所公开的实施方式。
半导体器件制造往往涉及钨膜的沉积,尤其是在沟槽或通孔中,以形成互连。在沉积钨膜的常规方法中,成核钨层首先被沉积到通孔或触头中。通常,成核层是薄保形层,用于促进体材料在其上面的后续形成。钨成核层可被沉积为保形地涂覆特征的侧壁和底部。与底下特征的底部和侧壁保形对支撑高品质的沉积而言可能是关键的。成核层往往利用原子层沉积(ALD)方法或脉冲成核层(PNL)方法进行沉积。
在PNL技术中,反应剂的脉冲按顺序注入并典型地通过反应剂之间的吹扫气体(purgegas)的脉冲而从反应室清除。第一反应剂可被吸附到衬底上,可用于与下一反应剂反应。该工艺以循环方式重复,直到达到希望的厚度。PNL类似于ALD技术。PNL通常与ALD的区别在于它的较高的操作压强范围(大于1乇(Torr))和它的较高的每循环生长速率(大于每循环1单层膜生长)。PNL沉积过程中的室压可在从约1乇至约400乇的范围。在本文所提供的描述的上下文中,PNL广泛地体现了顺序添加用于半导体衬底上的反应的反应剂的任何循环工艺。因此,该概念体现通常称为ALD的技术。
在钨成核层被沉积之后,通常通过非顺序的化学气相沉积(CVD)工艺,通过使用诸如氢(H2)之类的还原剂还原六氟化钨(WF6)来沉积体钨。在公开的实施方式的上下文中,非顺序的CVD体现在其中反应物一起被引入到反应器中用于气相反应的工艺。PNL和ALD工艺不同于CVD工艺,反之亦然。
钨的常规沉积涉及了含氟钨前体WF6的使用。然而,WF6的使用导致一些氟掺进所沉积的钨膜中。随着器件缩小,特征变得越来越小且电迁移和离子扩散的有害影响变得越来越突出,从而引起器件故障。氟的存在可引起电迁移和/或氟扩散到相邻部件中以及损害触头,从而降低器件的性能。含微量氟的钨膜可由此产生集成和可靠性问题,以及与底下的膜或器件结构(比如通孔和栅)相关的器件性能问题。
无氟钨(FFW)前体对防止这种可靠性和集成问题或器件性能问题是有用的。目前的FFW前体包括金属有机前体,但来自金属有机前体的不希望有的微量元素也会被掺入钨膜中,比如碳、氢、氮和氧。一些金属有机无氟前体还不易在钨沉积工艺中实施或集成。
在此公开的方法涉及用无氟钨(FFW)填充特征。在一些实施方式中,提供了使用无氟氯化钨(WClx)前体的钨膜的良好的台阶覆盖。该工艺通过先执行局部沉积,蚀刻,然后用第二沉积物完成填充,可实现FFW膜以及高深宽比的沟槽的填充。在一些实施方式中,这可以使用WClx既作为沉积前体又作为蚀刻剂,仅通过将工艺条件从沉积条件改变成蚀刻条件,在单个室中原位实现。在一些实施方式中,可以执行多个沉积-蚀刻循环以填充特征。
用含钨材料填充特征可导致填充的特征内缝的形成。当被沉积在特征的侧壁上的层变厚到通过形成夹点而封闭的程度,会形成缝;在该点下方的任何空隙空间与处理室的环境隔离。这种夹断防止前体和/或其它反应物进入剩余的空隙空间,并且它们保持未填充。空隙空间可以是沿特征的深度方向在整个填充特征的一部分上延伸的细长的缝。这个空隙空间或缝由于其形状有时也被称为锁孔。
缝的形成存在多个潜在的原因。一个原因是在含钨材料的沉积期间,或更典型地在其他材料(如扩散阻挡层或成核层)的沉积期间,特征开口附近形成突出部分。图1示出了根据某些实施方式在不同阶段的半导体处理期间包含高深宽比特征的半导体衬底的示例。第一横截面101示出了具有预形成的特征孔105的衬底103。衬底可以是硅晶片,例如,200毫米的晶片,300毫米的晶片,或450毫米晶片。特征孔105可具有至少约2∶1的深宽比,或者在更具体的实施方式中,具有至少约4∶1的深宽比。如下面进一步讨论的,本文公开的方法可以用于填充具有高得多的深宽比的特征,例如,至少12∶1,或至少30∶1。特征孔105也可具有接近介于约10纳米至500纳米之间的开口(例如,开口直径,线宽等)的横截面尺寸,例如介于约25纳米至300纳米之间。特征孔有时被称为未填充特征或特征。
在下一阶段(横截面111),衬底103被示为具有沉积的装衬特征孔105的下层113,其可以是扩散阻挡层、粘合层、成核层,它们的组合,或任何其他适用的材料。因为许多沉积工艺不具备良好的台阶覆盖性,所以相比于在特征内部,较多的材料被沉积在场区以及在开口附近,并且下层113会形成突出部分115。由于突出部分115是下层113的一部分,所以下层113可以在开口附近比在特征内较厚。用于本说明书的目的,“开口附近”被定义为特征内对应于从场区测量的介于约0-10%的特征深度的区域或近似位置(即,沿着特征的侧壁)。在某些实施方式中,开口附近的区域对应于在开口处的区域。此外,“特征内”被定义为特征内对应于在特征的顶部从场区测量的介于约20-60%的特征深度的区域或近似位置。通常情况下,当某些参数(例如,厚度)的值被指定在“特征内”或“开口附近”时,这些值表示在这些位置/区域内获取的测量值或多次测量的平均值。在某些实施方式中,开口附近的下层的平均厚度比特征内的下层的平均厚度大至少约10%。在更具体的实施方式中,这种差异可以是至少约25%,至少约50%,或至少约100%。特征内的材料的分布还可以通过它的台阶覆盖来表征。对于本说明书的目的,“台阶覆盖”被定义为两种厚度的比率,即,该特征内的材料的厚度除以开口附近的材料的厚度。在某些实施例中,下层的台阶覆盖小于约100%,或者更具体地,小于约75%或甚至小于约50%。
下一横截面121示出填充有含钨材料123的特征孔。沉积工艺可导致在下层113上堆积的材料保形层123。此沉积层仿效包括突出部分115的下层113。在某些实施方式中,特别是在沉积工艺的后期阶段(例如,正好在特征闭合之前),层123会变得不那么保形,这造成差的台阶覆盖(即相比于在特征内,在开口附近沉积较多的材料)。随着层123变厚,会闭合该特征,形成夹点125。通常在沉积工艺停止之前,一些额外的材料被沉积在夹点125上。由于突出部分115,以及在某些实施方式中,由于层123的差的台阶覆盖,封闭的特征可具有在参考点125下方的空隙。空隙被称为缝129。缝129的尺寸和参考点125相对于场区127的位置依赖于突出部分115的尺寸,以及特征的尺寸、深宽比和弯曲度,沉积工艺参数,以及其它参数。
最终,横截面131显示化学-机械平坦化(CMP)后的衬底133,CMP从衬底103去除顶层。CMP可以用于从场区去除覆盖层,覆盖层例如在衬底103的顶表面上存在的层113和123的部分。通常,在CMP期间,衬底103也变薄以形成衬底133。如果夹点125落在CMP工艺的平面化水平面上,如在图1中所示,则缝129打开,并且通过缝开口135暴露于环境。
未示于图1但仍可导致缝形成或扩大缝并使参考点移动更靠近场区的另一个原因是特征孔的弯曲的(或弓形的)侧壁,这也被称为作为弓形的特征。在弓形的特征中,腔的横截面尺寸在开口附近比在特征内较小。在弓形的特征中,这些窄的开孔效果有点类似于上述突出部分的问题。此外,弓形的特征也可具有有突出部分的下层,以及遭遇组合缝形成的负面影响的其他缝形成原因。
本文提供的是用无氟钨(FFW)填充特征的方法。该方法涉及使用氯化钨(WClx)作为前体和蚀刻剂。该方法可用于在特征中使钨膜形成轮廓和形状,以提供所需的台阶覆盖。例如,可以提供大于100%(例如,高达150%)的台阶覆盖。在一些实施方式中,该方法涉及使用WClx在特征中沉积钨,以部分填充特征,并执行非保形蚀刻以从特征中的某些位置除去钨。在一些实施方式中,可以执行附加的沉积-蚀刻循环。在一个或多个沉积-蚀刻循环之后,可以用钨沉积完成特征填充。此方法使富有挑战性的具有内凹(re-entrant)的蚀刻轮廓或悬垂阻隔膜的接触结构能完全填充。在一些实施方式中,填充以由下往上的填充方式发生。因为WClx被用作前体,获得无氟W薄膜,它具有优良的可靠性的特点,因此提高了器件的性能。该方法解决了目前的制造技术节点(≥2X纳米)以及先进的开发节点(≤2X纳米)的需求。
氯化钨化物包括WCl2、WCl4、WCl5和WCl6,以及它们的混合物。此外,虽然下面的描述着重描述无氟方法,但在其他实施方式中,WClx可以包括钨氟氯化物WFxCly以及氯化钨WClx和氟化钨WFy的混合物。
此外,尽管下面的描述集中在钨(W)特征的填充,但本公开的各方面也可以在含钨材料的沉积中来实现。应当理解的是,根据使用的特定前体和工艺,本文描述的任何钨膜可以包括一定量的其它化合物,掺杂剂和/或杂质,例如氮、碳、氧、硼、磷、硫、硅、锗等。膜中的钨含量可在20%至100%的(原子)钨的范围内。在许多实施方式中,该膜是富钨的,具有至少50%(原子)的钨,或甚至至少约60%、75%、90%或99%(原子)的钨。例如,使用本文所述的一种或多种技术的特征填充可以用于用含钨材料如氮化钨(WNx)、碳化钨(WCx)和钨碳氮化物(WCxNy)填充特征。在一些实施方式中,膜可以是金属或元素钨(W)与其他含钨的合物(如碳化钨(WC)、氮化钨(WN)等等)的混合物。碳化物和氮化物可以通过在沉积过程中引入含碳和/或含氮化合物来形成,或通过将已形成的钨层暴露到这样的反应剂来形成。此外,本文描述的方法也可以用于在特征填充的背景之外的钨沉积,例如,以沉积和/或蚀刻掩盖层或覆盖层。
图2是描绘根据所描述的实施方式执行的操作的工艺流程图,方法200可以开始于提供具有待用钨填充的一个或多个特征的衬底(框201)。例如,衬底可以被提供给多站室内的沉积站或提供给单站室。衬底可具有装衬特征的下层,如扩散阻挡层。某些衬底和下层的细节在图1的上下文中提供。
在某些实施方式中,开口附近的下层的平均厚度比特征内的下层的平均厚度大至少约25%。在更一般的意义上说,衬底可以具有下层,下层具有突出部分。在一些情况下,之前沉积的体钨层可存在于特征中。扩散阻挡层可以预先沉积在衬底上,以形成防止用于填充特征的材料扩散到衬底的周围材料的保形层。扩散阻挡层的材料可以包括氮化钨、钛、氮化钛,以及其他材料。示例性阻挡层的厚度可为介于约10埃和500埃之间,例如介于约25埃和200埃之间。
方法200继续进行,使用WClx在特征中沉积钨(W)(框203)。如上所述,WClx可包括任何氯化钨或不同氯化钨(例如,WCl6,WC15,等)的混合物。在一些实施方式中,框203可以包括将特征暴露于WClx和还原剂,以部分填充所述特征。根据各种实施方式,框203可以包括ALD或PNL型反应(其中还原剂和WClx被顺序地引入)、CVD反应或两者。例如,可首先形成成核层,一次或多次按顺序引入硅烷(SiH4)和/或乙硼烷(B2H6)和WClx到沉积室中,接着进行CVD反应,在该反应中WClx通过H2还原。诸如硅烷和硼烷之类的还原剂通常比氢气(H2)更强。因此,硅烷、硼烷和锗烷可以用作用于核层沉积的还原剂,氢用于体层沉积。
使用WCl6作为前体的沉积钨的方法在于2015年5月4日提交的,名称为“MethodsofPreparingTungstenandTungstenNitrideThinFilmsUsingTungstenChloridePrecursor”的美国专利申请No.14/703,732中被描述,其通过引用并入本文。CVD也可以使用其他还原剂,如硼烷、硅烷或锗烷。也可以使用包括WCl2、WCl4、WCl5、WCl6、或者它们的混合物的任何氯化钨(WClx)。
在框203实现的CVD工艺也可以是非顺序CVD反应(其中还原剂和WClx被同时引入)、脉冲CVD工艺、或顺序CVD工艺。在一些实施方式中,框203可涉及这些工艺中的两种或更多种,例如,首先是顺序CVD工艺,接着是非顺序CVD工艺。
在一些实施方式中,框203涉及如在同时提交的美国专利申请_______(代理人案卷号LAMRP184/3601-1US)中所述的顺序CVD工艺。顺序CVD工艺实现单独暴露于每种反应物,使得在沉积期间,多种反应物不同时流入室。相反,每种反应物流按顺序以在时间上分开的脉冲方式被引入容纳衬底的室中,循环重复一次或多次。通常一个循环是用于执行一次表面沉积反应的最小的一组操作。一个循环的结果是在衬底表面上产生至少局部膜层。因为它们的循环性质,所以顺序CVD工艺类似于ALD工艺。然而,在顺序的CVD中,反应剂不一定吸附到衬底上的活性位点,在一些实施方式中,该反应可以不是自限制的。例如,在顺序的CVD中使用的反应物可具有低吸附率。此外,当引入第二反应物时,在衬底的表面上的反应物可能未必与第二反应物的反应。相反,在顺序CVD的一些实施方式中,在循环期间在衬底上的一些反应物保持未反应,并且是直到随后的循环才反应。由于化学计量的性质、空间位阻、或其它作用,某些反应物可能不反应。在一些实施方式中,顺序CVD工艺涉及WClx和H2的交替脉冲。
顺序CVD工艺不同于非顺序的CVD、脉冲CVD、ALD和成核层沉积。非顺序CVD工艺涉及两种反应物的同时暴露,使得在沉积期间两种反应物同时流动。例如,可以通过将衬底同时暴露于氢和五氯化钨持续足以填充特征的时间来沉积体钨。在暴露期间,H2和WCl5发生反应以沉积钨到特征中。在脉冲CVD工艺中,一种反应物持续流入,而另一种反应物以脉冲方式流入,但在沉积期间将衬底暴露于两种反应物以在每个脉冲期间沉积材料。例如,衬底可以暴露于H2的持续流,而WCl5以脉冲方式输送,在脉冲期间WCl5和H2反应以沉积钨。
图3A示出了在填充工艺的不同阶段的特征的横截面的一个示例的示意性表示。具体而言,横截面321表示完成初始沉积操作203中的一个操作之后的特征的示例。在所述工艺的这个阶段,衬底303可以具有在下层313上沉积的含钨材料层323。例如,由于下层313的突出部分315和/或沉积层323的差的台阶覆盖(在图1的上下文中更详细描述),开口附近的腔的尺寸可以比特征内的腔的尺寸窄。
回到图2,沉积操作203继续进行,直到沉积层(例如,层323)达到一定的厚度。该厚度可取决于腔轮廓和开口尺寸。在某些实施方式中,开口附近的沉积层的平均厚度可以是包括任何下层(如果存在的话)的特征横截面尺寸的约5%至25%。在其它实施方式中(未示出),在沉积操作203期间特征可以被完全封闭,然后之后在WClx蚀刻操作期间重新开放。根据各种实施方式,框203可以在一个或多个室进行或在室的一个或多个站中进行。
该工艺继续进行,改变工艺条件以切换到蚀刻机制(框205)。氯化钨化合物(如WCl6)可以通过形成将与沉积的钨反应的各种氯化钨WClx化合物(如W2Cl10、WCl5,等等)来蚀刻沉积钨。(应当指出的是,WCl5自然形成为二聚体W2Cl10,然而它是相同的材料)。同样,任何使用的WClx或它们的混合物可形成将与沉积的钨反应的各种氯化钨化合物。框205涉及改变一个或多个工艺条件,例如但不限于温度、压强、WClx(例如,WCl5或WCl6)浓度、H2流量、和Ar(或其它载气流量),使得WClx将具有在特征中沉积的钨的净蚀刻而不是沉积。在一些实施方式中,例如,WClx前体本身可以从WCl5改变成WCl6。同样地,如果使用诸如WCl6/WCl5之类的混合物,那么各组分的相对量可被改变。
根据各种实施方式,框205可以涉及时间转换或空间转换。如果衬底在特定环境(例如室或站)中保持静止,则可执行工艺参数的时间转换。空间转换可以涉及将衬底移动到不同的环境。因此,根据实施方式,框205可以包括改变室或站的基架温度,室压强,气体流速等和/或将衬底移动到另一具有不同的工艺参数的室或站。根据各种实施方式,框205可涉及对一个或多个工艺参数的阶梯式改变和/或一个或多个工艺参数的连续调节。
然后方法200继续,使用WClx作为蚀刻剂蚀刻沉积的钨(框207)。在一些实施方式中,蚀刻是不保形的,以使得相比于在特征内离开口较远处,在开口附近较多的钨被蚀刻。非保形蚀刻也可称为优先蚀刻或低台阶覆盖蚀刻。为了获得优先(或低台阶覆盖)蚀刻,所述蚀刻工艺条件可以适当设计;恰当的蚀刻温度、蚀刻剂流量和蚀刻压强的组合可有助于实现所需的保形性。下层,例如扩散阻挡层,可被用作蚀刻停止层。
作为执行框203的结果,在开口附近的沉积层的平均厚度的减小可大于该特征内的沉积层的平均厚度的减小。在某些实施方式中,开口附近的减小比特征内的减小大至少约10%,或在更具体的实施方式中,大至少约25%。在一些实施方式中,操作207可以进行到该衬底或任何下层(如果存在的话)被暴露于蚀刻剂的程度。操作207之后剩余的钨层可用台阶覆盖来表征。在某些实施方式中,所述蚀刻层的台阶覆盖是至少约75%,更具体地是至少约100%,或是至少约125%,甚至更具体地是至少约150%。
在某些实施方式中,衬底可以包括在沉积操作203期间被闭合并在蚀刻操作207期间保持闭合的一个或多个特征。例如,衬底可以包括小的、中等尺寸和大的特征。一些小特征可以在初始沉积操作期间闭合并再也不开放。中等尺寸的特征可能会在以后的循环期间闭合并保持闭合,而其他较大的特征被填充。在某些实施方式中,特征可存在于衬底的不同垂直水平面上,例如,在双镶嵌配置中。在较低水平面上的特征可能会比较高水平面上的特征提前闭合。
在某些实施方式中,沉积操作203可以仅暂时闭合该特征。不像在最终填充操作期间闭合特征,诸如不像如下所述的操作211,或者在具有不同尺寸和上述垂直位置的多个特征的情况下闭合特征,在暂时闭合期间缝可以是仍然不能接受地大或太靠近场区开始。在这些实施方式中,蚀刻操作207可以设计成这样一种方式:操作207的第一部分是用来重新打开特征的,然后在操作207的下一部分是用于非保形蚀刻沉积的材料的。在这两部分中的工艺条件可以相同或不同。例如,蚀刻剂的流率在操作207的第一部分期间可能是较高的,然后随着特征开放而降低。
如由判定框208所指示的,包括WClx沉积操作203和WClx蚀刻操作207的沉积-蚀刻循环可以重复一次或多次。例如,在一个循环之后实现合乎期望的台阶覆盖可能是困难的,尤其是在具有大的突出部分的小的特征中。在判定框208中的是否进行另一个循环的考虑因素包括突出部分的尺寸、特征尺寸、特征的深宽比、特征弯曲度,以及缝的尺寸和缝位置要求。
在某些实施方式中,可以改变在下一循环中一个或两个操作的工艺参数(框209)。例如,在最初的循环期间的净沉积可以大于在之后循环期间的净沉积,因为所沉积的层仍然是薄的,且在蚀刻期间污染的风险是高的。与此同时,最初腔较开放,且封闭的危险性也较低。例如,可以较低的速率(由较低的温度驱动)执行初始的沉积循环,以实现对包含沉积在部分加工的衬底上的材料的钨的量的更大的控制。较慢的速率可导致较保形的沉积,这对于某些特征类型可能是必要的。可以较快的沉积速率(由较高的温度驱动)执行后续沉积循环,因为对沉积厚度的控制可能是不太重要的和/或以前的沉积-蚀刻循环可以以使得这些腔会较不可能过早闭合这样的方式形成特征的腔的轮廓。例如,通过使用不同的前体、控制温度、调节前体的浓度等,也可控制蚀刻。
此外,可逐循环地修改框203。例如,在初始循环中,它可涉及如上所述的顺序CVD工艺。顺序CVD工艺通常比非顺序CVD工艺慢,所以提供了更大的控制。在随后的循环中,框203可以是非顺序CVD工艺。
回到图3A,横截面331描绘了非保形蚀刻之后的特征。因此,横截面321和331可以表示第一循环,或更一般地,表示初始循环中的一个。在这个循环期间,沉积层323可能太薄以不能完全补偿或抵消各种缝的形成原因,如突出部分315。例如,在选择性去除操作之后,横截面331中所示的腔在开口附近仍然比在特征内部窄。在某些实施方式中,这种差异可以足够小,从而在不重复沉积-蚀刻循环的情况下该工艺继续进行至最终填充操作。
横截面341和351示出了随后的循环期间和之后的衬底303。首先,横截面341显示了在蚀刻层333上形成的新沉积层343。具有层343的特征可具有改善的轮廓,该轮廓反映了在先前的循环期间获得较好的台阶覆盖。然而,腔的轮廓可能仍然不允许进行到最后的填充,可能需要另一蚀刻操作以进一步使轮廓成型。横截面351表示在最终沉积以完成填充之前的阶段的衬底303。腔在开口附近比在腔内较宽。在某些实施方式中,新沉积层的台阶覆盖比最初沉积层的台阶覆盖高至少约10%,并且可以是高至少约20%,或高至少约30%。
在执行一个或多个沉积-蚀刻循环以部分地填充特征和使特征轮廓成型之后,该工艺可以继续进行最终填充操作211。此操作可以在一些方面类似于沉积操作203。主要区别在于,操作211进行直到特征完全封闭,它不接着蚀刻操作以打开特征。返回到图3A,横截面361表示最终填充操作之后的无缝存在的衬底303的示例。在某些实施方式中,特征仍具有缝,但它与在常规填充的特征中的缝相比较小并具有位于较远离场区的参考点。在一些实施方式中,填充可以自下而上的方式进行。图3B示出了这样的填充的示例。
在一些实施方式中,沉积操作203和蚀刻操作207都是非等离子体操作。在一些实施方式中,蚀刻操作207可以是等离子体增强的,具有远程或原位等离子体辅助蚀刻物质产生。此外,在某些实施方式中,可以包括离子束,例如,Ar离子束。例如,各种氯物质可以被吸收到沉积的钨上,然后引入Ar离子以解吸WClx副产品。
在一些实施方式中,沉积操作203和蚀刻操作207可以部分重叠或同时进行。例如,可以设置其中有净沉积在特征的底部和净蚀刻在特征的顶部的工艺条件。根据各种实施方式,可以或可以不执行框205,具体取决于工艺。例如,工艺条件可以使得前体和蚀刻剂物质同时在室中,从而允许沉积和蚀刻反应两者同时发生。为了实现特征内比开口附近有更大的净沉积,工艺条件可以使得蚀刻反应是传质(mass-transport)限定的,因此,取决于蚀刻剂的浓度。同时,沉积反应是不是传质限定的,并且在特征内和开口附近有大致相同的速率。可以调节(例如,逐渐地或以阶梯的方式)各种工艺条件,包括还原剂或其他反应剂的流速、引入的等离子体物质、温度等。一旦不需要更多的循环,该工艺可任选地转变成最终特征操作(框211)。
在某些实施方式中,处理室可以装备有各种传感器以进行原位测量,从而确定沉积操作203的程度和随后的蚀刻操作207。原位测量的实例包括光学显微镜和X射线荧光分析(XRF)以确定沉积的膜的厚度。此外,红外(IR)光谱可用于检测蚀刻操作过程中产生的氯化钨(WClx)的量。残余气体分析(RGA)可用于使用质谱法来检测气体(反应物/副产品)。
根据各种实施方式,可以改变包括衬底温度、室压强以及载气的流速的工艺条件以在沉积机制和蚀刻机制之间切换并使蚀刻合适。如下面参照图7所描述的,可以改变氯化钨前体浓度以在沉积机制和蚀刻机制之间切换并使蚀刻合适。示例性衬底温度范围可以是从300℃至650℃,例如压强范围可以是从5乇至760乇,或从5乇至100乇,示例性前体(WClx)的温度范围可以是从110℃至180℃。在不同的工艺条件下,W可被沉积,或部分蚀刻,或与阻挡层一起蚀刻,向下直到介电层。
例如,在一些实施方式中,导致高WClx通量的条件可用于高的蚀刻和无沉积。在一些实施方式中,可以提高温度用于较稳定的沉积。下面的表1示出了在各种温度、载气流量和压强下的WCl6/H2CVD的结果。(虽然WCl6/H2暴露操作被称为CVD,但在某些如下所示的情况下,该工艺是在蚀刻机制而非沉积机制中)。在WCl6/H2CVD操作之前,在450℃下使用两个PNL循环的B2H6/WCl6在100埃的TiN层上沉积钨成核层。每个WCl6/H2CVD操作运行10分钟。测量钨厚度和TiN损失,并在表1中示出。温度以摄氏度为单位,氩载气流量以sccm为单位,压强以乇为单位。
表1:WCl6/H2作为蚀刻剂的蚀刻条件。
针对所有具有所沉积的约50埃的试样在相同条件下生长成核膜;当蚀刻条件发生时,它蚀刻成核层和下层TiN层。
在表1中的结果表明针对沉积,450℃比550℃较不稳定。在450℃和20乇的低压强下,不存在沉积,而存在严重蚀刻,而不管载气流量如何。在60乇的高压强下,仅在50sccm的高载气流率下有沉积,而在低载气流率下没有沉积。
在550℃,并在20乇的低压强下,仅在较高的载气流量下有蚀刻,但蚀刻不如在450℃、20乇下以及高载气流量的情况下(比较试样7与试样3)剧烈。在60乇下,不管载气流量如何,不存在蚀刻。
低压强和高载气流量导致最高通量和最剧烈的蚀刻。如上所述,蚀刻效果在450℃下较剧烈。得到一种压强曲线,其显示出使用低载气流量,在550℃、在10T的低压下实现最高的沉积速率。参见图6,其示出在450℃和550℃下钨(W)厚度和氮化钛(TiN)蚀刻厚度作为WCl6/H2暴露的压强的函数。
可以通过提高WClx浓度来增加WClx通量。图7是表示CVD沉积速率作为WCl5和WCl6的前体浓度的函数的曲线图。拐点表示从沉积机制切换到蚀刻机制。这些前体中WCl5具有较低蚀刻速率,使得对于相同浓度,WCl6蚀刻更多。对于这两种前体,提高浓度可从沉积机制切换到蚀刻机制。在图7所示的实验结果中,在晶片的中央蚀刻开始,这可能是由于在中央的升高的温度。但是,应该指出,这是由于该处理的实验性质造成的,并且用适当的温度和气体流量控制可以实现在整个晶片的均匀沉积/蚀刻。前体浓度是指前体的体积流率占总流率的百分比。示例性浓度范围是从0.5%至5%。在许多系统中,通过适当地改变浓度实现在最合理的温度和压强下(例如,那些上面给出的范围内)的沉积或蚀刻是可能的。
在一些实施方式中,切换到蚀刻机制可涉及降低温度,而切换到沉积机制可涉及提高温度。在一些实施方式中,温度可被保持恒定,而改变其他工艺参数,以从沉积机制切换到蚀刻机制,反之亦然。在一些实施方式中,温度可以被单独地改变或与一个或多个其他工艺参数一起改变。
在一些实施方式中,切换到蚀刻机制可涉及降低压强,而切换到沉积机制可涉及提高压强。在一些实施方式中,压强可以被保持恒定,而改变其他工艺参数,以从沉积机制切换到蚀刻机制,反之亦然。在一些实施方式中,压强可以被单独地改变或与一个或多个其他工艺参数一起改变。
在一些实施方式中,切换到蚀刻机制可涉及提高载气流率,而切换到沉积机制可涉及降低载气流速。在一些实施方式中,载气流率可以被保持恒定,而改变其他工艺参数,以从沉积机制切换到蚀刻机制,反之亦然。在一些实施方式中,载气流率可以与一个或多个其他工艺参数一起改变。
在一些实施方式中,切换到蚀刻机制可涉及提高WClx浓度,而切换到沉积机制可涉及降低WClx浓度。在一些实施方式中,WClx浓度可以被保持恒定而改变其他工艺参数,以从沉积机制切换到蚀刻机制,反之亦然。在一些实施方式中,WClx浓度可以被单独地改变或与一个或多个其他工艺参数一起改变。
装置
任何合适的室可被用于执行所公开的实施方式。示例性沉积装置包括各种系统,例如,可从加利福尼亚州费里蒙特市的朗姆(Lam)研究公司获得的Max、或者各种其他市售处理系统中的任何一种。工艺可在多个沉积站上并行执行。
在一些实施方式中,钨成核工艺在属于位于单一沉积室内的二、五甚或更多个沉积站中的一个的第一站执行。在一些实施方式中,用于成核工艺的多个步骤在沉积室的两个不同站执行。例如,衬底可在使用在衬底表面创建本地化气氛(atmosphere)的单个气体供应系统的第一站中暴露于乙硼烷(B2H6),然后衬底可被传送到第二站以暴露于诸如五氯化钨(WCl5)或六氟化钨(WCl6)之类的无氟钨(FFW)前体,以沉积成核层。在一些实施方式中,衬底可接着被传送回到第一站以第二次暴露于乙硼烷。然后,衬底可被传送到第二站以暴露于WCl6(或其他氯化钨)从而完成钨成核并在相同或不同的站中继续进行体钨沉积。然后,一或多个站可被用于执行如上所述的化学气相沉积(CVD)。然后一或多个站可被用于执行如上所述的蚀刻。
图4是适于根据所公开的实施方式进行钨薄膜沉积工艺的处理系统的框图。系统400包括传送模块403。传送模块403提供清洁、加压的环境以最小化处理中的衬底在它们在各反应器模块之间移动时的污染风险。安装在传送模块403上的是能够根据所公开的实施方式执行PNL沉积和CVD沉积和CVD蚀刻的多站反应器409。室409可包括可顺序执行这些操作的多个站411、413、415和417。例如,室409可被配置使得站411和413执行PNL沉积,而站415和417执行CVD。每个沉积站包括加热的晶片基架以及喷头、分散板或其他气体入口。在图5中描绘了沉积站500的示例,包括晶片支架502和喷头503。加热器可设置在机架部分501中。
在传送模块403上还可安装能够执行等离子体或化学(非等离子体)预清洁的一个或多个单一或多站模块407。该模块也可被用于各种其他处理,例如还原剂浸泡。系统400还包括在处理之前和之后储存晶片的一或多个(在该实例中为两个)晶片源模块401。大气传送室419中的大气机械手(未图示)首先将晶片从源模块401转移到装载锁421。传送模块403中的晶片传送设备(通常为机械臂单元)将晶片从装载锁421移动到安装在传送模块203上的模块。
在一些实施方式中,采用系统控制器429以控制沉积期间的工艺条件。控制器429通常包括一或多个存储器设备和一或多个处理器。处理器可包括CPU或计算器、模拟和/或数字输入/输出连接部、步进马达控制器板,等等。
控制器可控制沉积装置的活动中的全部。系统控制器执行系统控制软件,系统控制软件包括用于控制定时、气体的混合、室压、室温、晶片温度、射频(RF)功率电平(如果使用的话)、晶片卡盘或基架位置以及特殊工艺的其他参数的成组的指令。存储在与控制器相关联的存储器设备的其他计算机程序可在一些实施方式中被采用。
通常会有与控制器相关联的用户界面。用户界面可包括显示屏、该装置和/或工艺条件的图形软件显示器以及诸如指点设备、键盘、触摸屏、话筒等用户输入设备。
系统控制逻辑可以用任何合适的方式来配置。一般而言,该逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或被提供为软件。指令可通过“编程”提供。这种编程被理解为包括任何形式的逻辑,包括数字信号处理器、专用集成电路以及具有实现为硬件的具体算法的其他器件中的硬编码逻辑。编程还被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以任何合适的计算机可读编程语言进行编码。替代地,控制逻辑可被硬编码在控制器中。为了这些目的,专用集成电路、可编程逻辑器件(例如,现场可编程门阵列或FPGA)等可被使用。在接下来的讨论中,只要“软件”或“代码”被使用的地方,在该位置可使用功能相当的硬编码逻辑。
用于控制沉积和工艺序列中的其他工艺的计算机程序代码可以任何常用计算机可读编程语言编写:例如,汇编语言、C、C++、Pascal、Fortran或其他。编译的目标代码或脚本由处理器执行以完成程序中所识别的任务。
控制器参数与工艺条件有关,比如,例如工艺气体组分和流率、温度、压强、等离子体条件(比如RF功率电平和低频RF频率)、冷却气压、以及室壁温度。这些参数以配方的形式被提供给用户,且可利用用户界面输入。
用于监控工艺的信号可通过系统控制器的模拟和/或数字输入连接部被提供。用于控制工艺的信号在沉积装置的模拟和数字输出连接部上被输出。
在一些实施方式中,控制器429是系统的组成部分,该系统可以是上述实施例的组成部分。这种系统可包括半导体处理设备,半导体处理设备包括一或多个处理工具、一或多个室、用于处理的一或多个平台、和/或具体处理部件(晶片基架、气体流系统等)。这些系统可与用于在半导体晶片或衬底的处理之前、之中以及之后控制它们的操作的电子器件集成。电子器件可指“控制器”,控制器可控制一或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器429可被编程以控制此处所公开的任何工艺,包括工艺气体的输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、定位和操作设置、进出工具和其他传送工具和/或连接到或与具体系统交接的装载锁的晶片传送。
广义地说,控制器429可被定义为接收指令、发布指令、控制操作、实现清洁操作、实现端点测量等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、限定为专用集成电路(ASIC)的芯片、和/或一或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种个体设置(或程序文件)的形式与控制器429通信、定义用于在半导体晶片上或为半导体晶片或者对系统执行特定工艺的操作参数的指令。在一些实施方式中,操作参数可以是配方的组成部分,配方由工艺工程师定义以在晶片的一或多个层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或裸片的制造过程中完成一或多个处理步骤。
在一些实施方式中,控制器429可以是计算机的组成部分或偶联到计算机,计算机与该系统集成或偶联到该系统、或者网络连接到该系统、或者它们的组合。例如,控制器429可在“云”中或者是工厂主机计算机系统的整体或组成部分,从而可允许晶片处理的远程访问。计算机可实现对该系统的远程访问以监控制造操作的当前进程、检查过去的制造操作的历史、检查来自多个制造操作的趋势或性能指标,以改变当前工艺的参数,以设置处理步骤从而跟随当前工艺,或者以开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可通过网络提供工艺配方给系统,网络可包括局域网或互联网。远程计算机可包括实现参数和/或设置的输入或编程的用户界面,参数和/或设置接着从远程计算机被传送给该系统。在一些实施例中,控制器429接收数据形式的指令,所述数据指明要在一或多个操作期间执行的处理步骤中的每一个步骤的参数。应当理解,所述参数针对待执行的工艺的类型和工具的类型可以是特定的,控制器429被配置为与所述工具交接或控制所述工具。因此,如前所述,控制器429可以是分布式的,比如通过包括被网络连接在一起且为共同目的(比如本文所述的工艺和控制)工作的一或多个分立控制器。为这种目的的分布式控制器的示例可以是在与位于远程的(比如在平台层面或者作为远程计算机的组成部分)一或多个集成电路通信的室上的一或多个集成电路,其结合来控制该室上的工艺。
在不具限制的情况下,示例系统可包括等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉(CVD)积室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、跟踪室或模块、以及可与半导体晶片的制造和/或生产相关联或者在半导体晶片的制造和/或生产中使用的任何其他半导体处理系统。
如前所述,根据待由工具执行的一或多个工艺步骤,控制器429可与其他工具电路或模块、其他工具部件,簇工具,其他工具接口,相邻工具,邻近工具,纵贯工厂、主机、另一控制器分布的工具,或者在带着晶片容器往来于半导体制造工厂中的工具位置和/或装载端口的在材料运输中使用的工具中的一或多个通信。
系统软件可以许多不同方式被设计或配置。例如,各种室部件子例程或控制对象可被编写来控制执行创造性的沉积工艺所需要的室部件的操作。用于此目的程序或程序段的示例包括衬底放置代码、工艺气体控制代码、压强控制代码、加热器控制代码和等离子体控制代码。
衬底放置程序可包括用于控制用来将衬底装载到基架或卡盘上以及用来控制衬底和其他室部件(比如气体入口)和/或标靶之间的间隔的室部件的程序代码。工艺气体控制程序可包括用于控制气体组分和流率以及可选地用于在沉积之前使气体流入室中以便稳定室中的压强的代码。压强控制程序可包括用于通过调整例如室的排放系统中的节流阀来控制室中的压强的代码。加热器控制程序可包括用于控制到用于加热衬底的加热单元的电流的代码。替代地,加热器控制程序可控制传热气体(比如氦)到晶片卡盘的输送。
在沉积过程中可被监控的室传感器的示例包括位于基架或卡盘中的质量流量控制器、压强传感器(比如压强计)和热电耦。经恰当编程的反馈和控制算法可与来自这些传感器的数据一起用来维持希望的工艺条件。前述内容描述了所公开的实施方式在单或多室半导体处理工具中的实施。
前述内容描述了所公开的实施方式在单或多室半导体处理工具中的实施。本文所述的装置和工艺可结合光刻图案化工具或工艺被用于例如半导体器件、显示器、LED、光伏板等的制造或生产。通常但不是必须,这种工具/工艺会在通用制造设施中被一起使用或执行。膜的光刻图案化通常包括下列步骤中的一些或全部,每个步骤具有若干可用工具:(1)使用旋涂或喷涂工具将光致抗蚀剂施加到工件(即衬底)上;(2)使用热板或炉子或UV固化工具固化光致抗蚀剂;(3)使用诸如步进式晶片曝光器之类的工具将光致抗蚀剂暴露于可见光或UV光或x射线;(4)使用诸如湿式工作台之类的工具将抗蚀剂显影以便选择性地去除抗蚀剂从而使其图案化;(5)通过使用干法或等离子体辅助蚀刻工具将抗蚀剂图案转印到下层膜或工件中;以及(6)使用诸如RF或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
结论
虽然出于清楚理解的目的对前述实施方式进行了一定程度的详细描述,但应当理解,某些改变和修改可在所附权利要求的范围内进行。应当注意,实现所呈现的实施方式的工艺、系统、以及装置有许多替代方式。据此,所呈现的实施方式应当被视为说明性的而非限制性的,且这些实施方式并不受限于此处给出的细节。

Claims (17)

1.一种在衬底上沉积钨的方法,所述方法包括:
在第一组条件下将所述衬底暴露于氯化钨(WClx)前体以及还原剂以通过化学气相沉积(CVD)在衬底上的特征中沉积第一钨层;以及
在第二组条件下将所述衬底暴露于WClx前体和所述还原剂以蚀刻所述第一钨层。
2.根据权利要求1所述的方法,其中,氯化钨选自WCl2、WCl4、WCl5、WCl6,和它们的混合物。
3.根据权利要求1所述的方法,其中,蚀刻所述第一钨层包括非保形蚀刻使得在所述特征的开口附近的所述第一钨层的平均厚度的减小大于在所述特征内的第一钨层的平均厚度的减小。
4.根据权利要求1所述的方法,其中,所述还原剂是氢气。
5.根据权利要求1所述的方法,其中,从所述第一组条件到所述第二组条件的转变包括降低温度。
6.根据权利要求1所述的方法,其中,从所述第一组条件到所述第二组条件的转变包括增大WClx通量。
7.根据权利要求1所述的方法,其中,在所述沉积操作中的所述WClx与在所述蚀刻操作中的所述WClx前体是相同的。
8.根据权利要求1所述的方法,其中,从所述第一组条件到所述第二组条件的转变包括改变所述WClx前体。
9.根据权利要求1所述的方法,其中,从所述第一组条件到所述第二组条件的转变包括提高WClx浓度。
10.一种用钨填充特征的方法,所述方法包括:
将部分地填充有钨的特征暴露于WClx,从而在部分填充的所述特征中去除所述钨的一部分。
11.根据权利要求10所述的方法,其中,在所述特征的开口附近的所述钨的平均厚度的减小大于在所述特征内的钨的平均厚度的减小。
12.根据权利要求10所述的方法,其进一步包括使部分填充的所述特征暴露于氢气。
13.一种用于处理衬底的装置,所述装置包括:
(a)一个或多个工艺室,其包括被配置成容纳衬底的基架;
(b)至少一个用于耦联到真空的出口;
(c)耦联到一个或多个工艺气体源的一个或多个工艺气体入口;以及
(d)控制器,其用于控制所述装置中的操作,包括机器可读指令,所述指令用于:
(i)将氯化钨和还原剂引入所述一个或多个处理室中的一个;以及
(ii)在(i)之后,将氯化钨和还原剂引入所述一个或多个处理室中的一个,其中,从(i)到(ii)的转变包括用于从沉积机制切换到蚀刻机制的指令。
14.根据权利要求13所述的方法,其中,所述控制器包括用于通过提高氯化钨浓度以从(i)转变到(ii)的指令。
15.根据权利要求13所述的方法,其中,所述控制器包括用于通过降低所述衬底的温度以从(i)转变到(ii)的指令。
16.根据权利要求13所述的方法,其中,所述控制器包括用于通过改变氯化钨前体以从(i)转变到(ii)的指令。
17.根据权利要求13所述的方法,其中,所述控制器包括用于通过提高氯化钨流率以从(i)转变到(ii)的指令。
CN201510293342.1A 2014-05-31 2015-06-01 用无氟钨填充高深宽比的特征的方法 Pending CN105280549A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201462006117P 2014-05-31 2014-05-31
US62/006,117 2014-05-31
US201462075092P 2014-11-04 2014-11-04
US62/075,092 2014-11-04
US14/723,353 US20150348840A1 (en) 2014-05-31 2015-05-27 Methods of filling high aspect ratio features with fluorine free tungsten
US14/723,353 2015-05-27

Publications (1)

Publication Number Publication Date
CN105280549A true CN105280549A (zh) 2016-01-27

Family

ID=54702643

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510293342.1A Pending CN105280549A (zh) 2014-05-31 2015-06-01 用无氟钨填充高深宽比的特征的方法

Country Status (5)

Country Link
US (1) US20150348840A1 (zh)
JP (1) JP6742077B2 (zh)
KR (1) KR102403860B1 (zh)
CN (1) CN105280549A (zh)
TW (1) TWI707973B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107045999A (zh) * 2016-02-05 2017-08-15 朗姆研究公司 使用ald和高密度等离子体cvd形成气隙密封件的系统和方法
CN109545741A (zh) * 2018-12-05 2019-03-29 上海华力集成电路制造有限公司 钨填充凹槽结构的方法
CN110359027A (zh) * 2018-03-26 2019-10-22 东京毅力科创株式会社 钨膜的成膜方法及控制装置
CN111032908A (zh) * 2017-06-23 2020-04-17 默克专利有限公司 用于选择性膜生长的原子层沉积方法
CN111357083A (zh) * 2017-11-20 2020-06-30 朗姆研究公司 自限制生长
CN111566786A (zh) * 2017-12-14 2020-08-21 应用材料公司 蚀刻金属氧化物而蚀刻残留物较少的方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
JP6478813B2 (ja) * 2015-05-28 2019-03-06 東京エレクトロン株式会社 金属膜の成膜方法
US10214807B2 (en) * 2016-06-02 2019-02-26 Lam Research Corporation Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9899258B1 (en) * 2016-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Metal liner overhang reduction and manufacturing method thereof
TW201833991A (zh) * 2016-11-08 2018-09-16 美商應用材料股份有限公司 自對準圖案化之方法
US9935173B1 (en) * 2016-11-29 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
DE102017127208A1 (de) 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet-strukturen und verfahren zu deren herstellung
US10497811B2 (en) 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
WO2018111547A1 (en) * 2016-12-15 2018-06-21 Applied Materials, Inc. Nucleation-free gap fill ald process
JP6719416B2 (ja) * 2017-03-30 2020-07-08 東京エレクトロン株式会社 凹部の埋め込み方法および処理装置
JP7224335B2 (ja) 2017-04-10 2023-02-17 ラム リサーチ コーポレーション モリブデンを含有する低抵抗膜
KR102367848B1 (ko) 2017-04-27 2022-02-25 주식회사 레이크머티리얼즈 저 불소 함량을 갖는 텅스텐 박막의 제조 방법
US11011413B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US10867905B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
WO2020041213A1 (en) * 2018-08-24 2020-02-27 Lam Research Corporation Metal-containing passivation for high aspect ratio etch
JP7047117B2 (ja) * 2018-09-14 2022-04-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
JP7149788B2 (ja) * 2018-09-21 2022-10-07 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2022523689A (ja) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション 金属膜の蒸着
WO2020185618A1 (en) 2019-03-11 2020-09-17 Lam Research Corporation Precursors for deposition of molybdenum-containing films
KR20220126745A (ko) * 2020-01-16 2022-09-16 엔테그리스, 아이엔씨. 에칭 또는 침착 방법
JP7496725B2 (ja) 2020-07-20 2024-06-07 東京エレクトロン株式会社 エッチング方法およびエッチング装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287964B1 (en) * 1998-01-26 2001-09-11 Hyundai Electronics Industries Co., Ltd. Method for forming a metallization layer of a semiconductor device
US20100240212A1 (en) * 2009-03-19 2010-09-23 Oki Semiconductor Co., Ltd. Method of manufacturing a semiconductor device
US20110159690A1 (en) * 2009-08-04 2011-06-30 Anand Chandrashekar Depositing tungsten into high aspect ratio features
US20140120723A1 (en) * 2012-10-26 2014-05-01 Xinyu Fu Methods for depositing fluorine/carbon-free conformal tungsten

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61224313A (ja) * 1985-03-29 1986-10-06 Hitachi Ltd 気相薄膜成長方法
WO2014052642A1 (en) * 2012-09-28 2014-04-03 Advanced Technology Materials, Inc. Fluorine free tungsten ald/cvd process
WO2015023404A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback
JP6297884B2 (ja) * 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287964B1 (en) * 1998-01-26 2001-09-11 Hyundai Electronics Industries Co., Ltd. Method for forming a metallization layer of a semiconductor device
US20100240212A1 (en) * 2009-03-19 2010-09-23 Oki Semiconductor Co., Ltd. Method of manufacturing a semiconductor device
US20110159690A1 (en) * 2009-08-04 2011-06-30 Anand Chandrashekar Depositing tungsten into high aspect ratio features
US20140120723A1 (en) * 2012-10-26 2014-05-01 Xinyu Fu Methods for depositing fluorine/carbon-free conformal tungsten

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107045999A (zh) * 2016-02-05 2017-08-15 朗姆研究公司 使用ald和高密度等离子体cvd形成气隙密封件的系统和方法
CN107045999B (zh) * 2016-02-05 2023-10-20 朗姆研究公司 使用ald和高密度等离子体cvd形成气隙密封件的系统和方法
CN111032908A (zh) * 2017-06-23 2020-04-17 默克专利有限公司 用于选择性膜生长的原子层沉积方法
CN111032908B (zh) * 2017-06-23 2024-01-30 默克专利有限公司 用于选择性膜生长的原子层沉积方法
CN111357083A (zh) * 2017-11-20 2020-06-30 朗姆研究公司 自限制生长
CN111566786A (zh) * 2017-12-14 2020-08-21 应用材料公司 蚀刻金属氧化物而蚀刻残留物较少的方法
CN111566786B (zh) * 2017-12-14 2024-03-15 应用材料公司 蚀刻金属氧化物而蚀刻残留物较少的方法
CN110359027A (zh) * 2018-03-26 2019-10-22 东京毅力科创株式会社 钨膜的成膜方法及控制装置
US11629404B2 (en) 2018-03-26 2023-04-18 Tokyo Electron Limited Method of forming tungsten film and controller
CN109545741A (zh) * 2018-12-05 2019-03-29 上海华力集成电路制造有限公司 钨填充凹槽结构的方法

Also Published As

Publication number Publication date
JP2015232177A (ja) 2015-12-24
KR20150138116A (ko) 2015-12-09
KR102403860B1 (ko) 2022-05-30
TWI707973B (zh) 2020-10-21
US20150348840A1 (en) 2015-12-03
TW201610201A (zh) 2016-03-16
JP6742077B2 (ja) 2020-08-19

Similar Documents

Publication Publication Date Title
CN105280549A (zh) 用无氟钨填充高深宽比的特征的方法
JP7224335B2 (ja) モリブデンを含有する低抵抗膜
TWI709656B (zh) 具有低氟含量之鎢膜
CN105097446A (zh) 使用氯化钨前体制备钨和氮化钨薄膜的方法
TW201936966A (zh) 自限制生長
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
KR102397797B1 (ko) 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
KR20220129098A (ko) 순수 금속 막의 증착
CN105470194A (zh) 用核化抑制的特征填充
KR20170022929A (ko) 텅스텐 갭충진 퍼포먼스를 향상시기키 위해 에칭 프로세스에서 rf 전력의 펄싱
CN108461374A (zh) 用于远程等离子体处理的室调节
KR20210092840A (ko) 3d nand 구조체 상의 원자 층 증착
US20220364232A1 (en) Tungsten deposition
US12002679B2 (en) High step coverage tungsten deposition
KR102637315B1 (ko) 텅스텐 나이트라이드 배리어 층 증착
KR20240052872A (ko) 반도체 프로세싱 동안 프로세스 가스 램핑
JP2023550331A (ja) 低抵抗率コンタクト及びインターコネクト

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20160127

RJ01 Rejection of invention patent application after publication