JP6742077B2 - フッ素非含有タングステンで高アスペクト比フィーチャを充填する方法 - Google Patents

フッ素非含有タングステンで高アスペクト比フィーチャを充填する方法 Download PDF

Info

Publication number
JP6742077B2
JP6742077B2 JP2015109333A JP2015109333A JP6742077B2 JP 6742077 B2 JP6742077 B2 JP 6742077B2 JP 2015109333 A JP2015109333 A JP 2015109333A JP 2015109333 A JP2015109333 A JP 2015109333A JP 6742077 B2 JP6742077 B2 JP 6742077B2
Authority
JP
Japan
Prior art keywords
tungsten
wcl
substrate
conditions
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015109333A
Other languages
English (en)
Other versions
JP2015232177A (ja
Inventor
ハンナ・バンノルカー
ラシーナ・フマユン
ミハル・ダネク
ジョシュア・コリンズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2015232177A publication Critical patent/JP2015232177A/ja
Application granted granted Critical
Publication of JP6742077B2 publication Critical patent/JP6742077B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystallography & Structural Chemistry (AREA)

Description

関連出願への相互参照
本願は、米国特許法第119条(e)の下、2014年5月31日出願の米国仮特許出願第62/006,117号「METHODS OF FILLING HIGH ASPECT RATIO FEATURES WITH FLUORINE FREE TUNGSTEN」の利益を主張し、さらに、米国特許法第119条(e)の下、2014年11月4日出願の米国仮特許出願第62/075,092号「METHODS OF FILLING HIGH ASPECT RATIO FEATURES WITH FLUORINE FREE TUNGSTEN」の利益を主張し、これらの出願は、すべての目的のために参照により全体が本明細書に組み込まれる。
化学蒸着(CVD)技術を用いたタングステン薄膜蒸着は、半導体製造処理の不可欠な部分である。例えば、タングステン薄膜は、水平相互接続の形態の低抵抗電気接続、隣接する金属層の間のビア、ならびに、シリコン基板上の第1の金属層およびデバイスの間のコンタクトとして利用されうる。タングステン蒸着処理の一例において、バリア層が、誘電体基板上に蒸着され、その後、タングステン薄膜の薄い核形成層が蒸着される。その後、タングステン薄膜の残りが、バルク層として核形成層上に蒸着される。従来、タングステンバルク層は、化学蒸着処理において、水素(H)で六フッ化タングステン(WF)を還元することによって形成される。
本明細書に記載された主題の一態様は、基板上にタングステンを蒸着させる方法である。その方法は、第1のセットの条件で基板を塩化タングステンおよび還元剤に暴露させて、化学蒸着(CVD)によって基板のフィーチャ内に第1のタングステン層を蒸着させる工程と、第2のセットの条件で基板を塩化タングステンおよび還元剤に暴露させて、第1のタングステン層をエッチングする工程と、を備える。
様々な実施形態によると、蒸着工程およびエッチング工程で用いられる塩化タングステン化合物は、同じであっても異なっていてもよい塩化タングステン(WCl)は、WCl、WCl、WCl、WCl、および、それらの混合物を含む。還元剤の例は、水素(H)を含む。
いくつかの実施形態において、第1のタングステン層をエッチングする工程は、フィーチャの開口部付近の第1のタングステン層の平均厚さの減少がフィーチャの内部の第1のタングステン層の平均厚さの減少よりも大きくなるような非共形エッチングを含む。いくつかの実施形態において、第1のセットの条件から第2のセットの条件に移行することは、温度を下げることを含む。いくつかの実施形態において、第1のセットの条件から第2のセットの条件に移行することは、WClの流束を上げることを含む。いくつかの実施形態において、第1のセットの条件から第2のセットの条件に移行することは、チャンバの圧力を下げることを含む。いくつかの実施形態において、第1のセットの条件から第2のセットの条件に移行することは、WClの流量を上げることを含む。いくつかの実施形態において、第1のセットの条件から第2の条件に移行することは、WClの濃度を上げることを含む。
主題の別の態様は、タングステンで部分的に充填されたフィーチャをWClに暴露させることにより、部分的に充填されたフィーチャ内のタングステンの一部を除去する工程を備える方法に関する。いくつかの実施形態において、フィーチャは、水素(H)にも暴露されてよい。いくつかの実施形態において、フィーチャの開口部付近のタングステンの平均厚さの減少は、フィーチャの内部のタングステンの平均厚さの減少よりも大きい。
本明細書に開示された主題の別の態様は、基板を処理するための装置に関する。装置は、(a)基板を保持するよう構成されたペデスタルを備えた1または複数の処理チャンバと、(b)少なくとも1つの流出口と、(c)1または複数の処理ガス源に接続された1または複数の処理ガス流入口と、(d)装置内の動作を制御するためのコントローラと、を備えてよく、コントローラは、(i)1または複数の処理チャンバの内の1つに塩化タングステンおよび還元剤を導入し、(ii)(i)の後に、1または複数の処理チャンバの内の1つに塩化タングステンおよび還元剤を導入するためのマシン読み取り可能な命令を備え、(i)から(ii)への移行は、蒸着レジームからエッチングレジームに切り替えるための命令を含む。
いくつかの実施形態において、コントローラは、塩化タングステンの濃度を上げることによって(i)から(ii)に移行するための命令を備える。いくつかの実施形態において、コントローラは、基板の温度を下げることによって(i)から(ii)に移行するための命令を備える。いくつかの実施形態において、コントローラは、塩化タングステンを変更することによって(i)から(ii)に移行するための命令を備える。いくつかの実施形態において、コントローラは、塩化タングステンの流量を上げることによって(i)から(ii)に移行するための命令を備える。
これらの態様および他の態様について、図面を参照しつつ以下でさらに説明する。
特定の実施形態に従って、半導体処理の異なる段階中の高アスペクト比フィーチャを含む半導体基板の一例を示す図。
記載された実施形態に従って実行される工程を示す処理フローチャート。
充填処理の異なる段階でのフィーチャの断面の一例を示す概略図。
特定の実施形態に従って、フィーチャのボトムアップ充填の一例を示す図。
特定の実施形態に従って、タングステン薄膜蒸着処理およびエッチング処理を実行するのに適した処理システムの一例を示す概略図。
特定の実施形態に従って、蒸着ステーションの一例を示す概略図。
450℃および550℃でWCl/H暴露の圧力の関数としてタングステン(W)および窒化チタン(TiN)の厚さを示す圧力曲線。
WClおよびWClについて前駆体濃度の関数としてCVD蒸着速度およびエッチング移行を示すグラフ。
以下の説明では、提示した実施形態の完全な理解を促すために、数多くの具体的な詳細事項が示されている。開示された実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能である。また、開示した実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。開示した実施形態は、具体的な実施形態に関連して説明されているが、開示した実施形態を限定する意図はないことを理解されたい。
半導体デバイス製造は、しばしば、特に、相互接続を形成するためにトレンチまたはビア内に、タングステン薄膜を蒸着することを含む。タングステン薄膜を蒸着する従来の方法では、核形成タングステン膜が、ビアまたはコンタクト内に最初に蒸着される。一般に、核形成層は、その上に続けてバルク材料を形成するのを容易にするよう機能する薄い共形層である。タングステン核形成層は、フィーチャの側壁および底部を共形に被覆するように蒸着されてよい。下にあるフィーチャの底部および側壁と共形にすることは、高品質な蒸着を支援するのに重要であり得る。核形成層は、しばしば、原子層蒸着(ALD)またはパルス核形成層(PNL)法を用いて蒸着される。
PNL技術では、反応物質のパルスが順に投入され、通例は反応物質の間のパージガスのパルスによって、反応チャンバからパージされる。第1の反応物質が、基板上に吸着されて、次の反応物質と反応できるようになる。処理は、所望の厚さが達成されるまで、循環的に繰り返される。PNLは、ALD技術と類似している。PNLは、一般に、その比較的高い動作圧範囲(1Torrを超える)および高いサイクルあたり成長率(サイクルあたり1単層膜成長を超える)によってALDと区別される。PNL蒸着中のチャンバ圧は、約1Torrから約400Torrの範囲であってよい。本明細書で提供する説明の文脈では、PNLは、概して、半導体基板上での反応のために反応物質を順次追加する任意の循環的処理を表す。したがって、その概念は、従来ALDと呼ばれている技術を表す。
タングステン核形成層が蒸着された後、通例は、バルクタングステンが、水素(H)などの還元剤を用いて六フッ化タングステン(WF)を還元することによって非順次化学蒸着(CVD)で蒸着される。開示された実施形態の文脈では、非順次CVDは、複数の反応物質が一緒に気相反応のためのリアクタに導入される処理を表す。PNLおよびALD処理は、CVD処理とは異なっており、逆もまた同様である。
従来のタングステン蒸着は、フッ素含有タングステン前駆体WFの利用を含んでいた。しかしながら、WFの利用の結果として、蒸着されたタングステン薄膜内にフッ素がいくらか混入する。デバイスが小さくなるにつれ、フィーチャが小さくなり、エレクトロマイグレーションおよびイオン拡散の有害な影響が顕著になることにより、デバイスの欠陥が引き起こされる。フッ素の存在は、隣接する構成要素へのエレクトロマイグレーションおよび/またはフッ素拡散を引き起こす場合があり、コンタクトを損傷し、それにより、デバイスの性能を低下させる。したがって、微量のフッ素を含むタングステン薄膜は、集積化および信頼性の問題と、下層の薄膜またはデバイス構造(ビアおよびゲートなど)に関連するデバイス性能の問題とをもたらしうる。
かかる信頼性および集積化またはデバイス性能の問題を防ぐには、フッ素非含有タングステン(FFW)前駆体が有効である。現在のFFW前駆体は、金属有機前駆体を含むが、炭素、水素、窒素、および、酸素など、金属有機前駆体からの望ましくない微量の元素も、タングステン薄膜に取り込まれうる。また、いくつかの金属有機フッ素非含有前駆体は、タングステン蒸着処理で実施することも組み込むことも容易ではない。
本明細書に開示の方法は、フッ素非含有タングステン(FFW)でフィーチャを充填する工程を含む。いくつかの実施形態では、フッ素非含有塩化タングステン(WCl)前駆体を用いて、タングステン薄膜の優良なステップカバレッジが提供される。処理は、最初に部分的な蒸着を実行し、エッチングを行った後に、第2の蒸着で充填を完了することにより、FFW薄膜と、高アスペクト比トレンチの充填とを実現できる。いくつかの実施形態において、これは、蒸着前駆体およびエッチャントの両方としてWClを用いて蒸着条件からエッチング条件に処理条件を変更するだけで、単一のチャンバ内でその場で(in−situ)達成することができる。いくつかの実施形態において、フィーチャを充填するために、複数の蒸着エッチングサイクルが実行されてもよい。
タングステン含有材料でフィーチャを充填すると、充填されたフィーチャ内にシームの形成を引き起こしうる。シームは、フィーチャの側壁上に蒸着されている層がピンチポイントを形成することによって密封する点まで厚くなった時に形成されうる;この点の下方の任意のボイド空間が、処理チャンバの環境から隔離される。このピンチングは、前駆体および/またはその他の反応物質が、残ったボイド空間に入ることを妨げるため、それらのボイド空間は充填されないまま残る。ボイド空間は、フィーチャの深さ方向に沿って充填されたフィーチャの一部にわたって伸びる細長いシームでありうる。このボイド空間すなわちシームは、その形状から、キーホールと呼ばれることもある。
シーム形成には複数の潜在的な原因がある。1つは、タングステン含有材料、もしくは、より典型的には、拡散バリア層または核形成層などの他の材料の蒸着中に、フィーチャ開口部付近に形成されるオーバーハングである。図1は、特定の実施形態に従って、半導体処理の異なる段階中の高アスペクト比フィーチャを含む半導体基板の一例を示す。第1の断面101は、事前に形成されたフィーチャホール105を備えた基板103を示す。基板は、例えば、200mmウエハ、300mmウエハ、または、450mmウエハなど、シリコンウエハであってよい。フィーチャホール105は、少なくとも約2:1のアスペクト比、または、より具体的な実施形態においては少なくとも約4:1のアスペクト比、を有してよい。後に詳述するように、本明細書で開示する方法は、はるかに高いアスペクト比(例えば、少なくとも12:1または少なくとも30:1)を有するフィーチャの充填に利用できる。フィーチャホール105は、さらに、約10ナノメートルから500ナノメートルの間(例えば、約25nmから300nmの間)の開口部(例えば、開口部直径またはライン幅など)に近い断面寸法を有しうる。フィーチャホールは、未充填フィーチャまたは単にフィーチャとも呼ばれる。
次の段階(断面111)では、図に示すように、基板103は、フィーチャホール105をライニングする下層113を蒸着されており、下層113は、拡散バリア層、接着層、核形成層、それらの組み合わせ、または、任意の他の適切な材料であってよい。 多くの蒸着処理は良好なステップカバレッジ特性を持たないので、フィーチャ内部よりもフィールド領域上および開口部付近に多くの材料が蒸着され、下層113は、オーバーハング115を形成しうる。下層113の一部としてオーバーハング115を有すると、下層113は、フィーチャ内部よりも開口部付近で厚くなりうる。この説明のために、「開口部付近」は、フィールド領域から測定したフィーチャの深さの約0〜10%に対応するフィーチャ内の(すなわち、フィーチャの側壁に沿った)おおよその位置または領域と定義する。特定の実施形態において、開口部付近の領域は、開口部の領域に対応する。さらに「フィーチャ内部」は、フィーチャの上部のフィールド領域から測定したフィーチャの深さの約20〜60%に対応するフィーチャ内のおおよその位置または領域と定義する。通例は、特定のパラメータ(例えば、厚さ)の値が、「開口部付近」または「フィーチャ内部」のものと特定されている場合、これらの値は、これらの位置/領域内で得られた測定値または複数の測定値の平均を表す。特定の実施形態において、開口部付近の下層の平均厚さは、フィーチャ内部での平均厚さよりも少なくとも約10%大きい。より具体的な実施形態において、この差は、少なくとも約25%、少なくとも約50%、または、少なくとも約100%であってもよい。フィーチャ内での材料の分布は、そのステップカバレッジによって特徴付けられてもよい。この説明のために、「ステップカバレッジ」は、2つの厚さの比、すなわち、フィーチャ内部材料の厚さを開口部付近の材料の厚さで割ったものとして定義される。特定の例において、下層のステップカバレッジは、約100%未満であり、より具体的には、約75%未満またはさらに低い約50%未満である。
次の断面121は、タングステン含有材料123で充填されたフィーチャホールを示す。蒸着処理により、下層113上に材料123の共形層が積層されうる。この蒸着層は、オーバーハング115を含む下層113の形状に従う。特定の実施形態において、特に、蒸着処理の後半の段階(例えば、フィーチャを閉じる直前)に、層123は、共形性が低くなり、低いステップカバレッジを引き起こしうる(すなわち、フィーチャ内部よりも開口部付近に多くの材料が蒸着される)。層123が厚くなると、フィーチャを閉じて、ピンチポイント125を形成しうる。しばしば、蒸着処理が停止される前に、いくつかのさらなる材料が、ピンチポイント125の上方に蒸着される。オーバーハング115、および、特定の実施形態においては層123の低いステップカバレッジのために、閉じたフィーチャは、参照点125の下に未充填のボイドを有しうる。ボイドは、シーム129と呼ばれる。シーム129のサイズ、および、フィールド領域127に対する参照点125の位置は、オーバーハング115のサイズと、フィーチャのサイズ、アスペクト比、および、ボーイング(湾曲)と、蒸着処理パラメータと、その他のパラメータとによって決まる。
最後に、断面131は、基板103から最上層を除去する化学機械平坦化(CMP)の後の基板133を示す。CMPは、基板103の上面に存在した層113および123の一部などオーバーバーデン(余剰物)をフィールド領域から除去するために用いられてよい。通例、基板103も、CMP中に薄化されて、基板133を形成する。図1のようにピンチポイント125がCMP処理の平坦化レベルよりも上にある場合、シーム129が開いて、シーム開口部135を通して環境に暴露される。
図1では示していないが、シーム形成またはシームの拡大およびフィールド領域の近くへの参照点の移動につながりうる別の原因は、フィーチャホールの曲がった(すなわち湾曲した)側壁(湾曲したフィーチャとも呼ばれる)である。湾曲したフィーチャでは、開口部付近の空洞の断面寸法が、フィーチャ内部よりも小さい。湾曲したフィーチャのこれらの狭い開口部の影響は、上述のオーバーハングの問題といくぶん類似している。さらに、湾曲したフィーチャは、オーバーハングを持つ下層を有し、他のシーム形成の原因に直面して、シーム形成の悪影響を悪化させうる。
本明細書では、フッ素非含有タングステン(FFW)でフィーチャを充填する方法を提供する。方法は、前駆体およびエッチャントの両方として塩化タングステン(WCl)を用いることを含む。方法は、フィーチャ内にタングステン薄膜を形成および成形して所望のステップカバレッジを提供するために用いることができる。例えば、100%を超えるステップカバレッジ(例えば、最大150%)が提供されうる。いくつかの実施形態において、方法は、フィーチャを部分的に充填するために、WClを用いてフィーチャ内にタングステンを蒸着すること、および、非共形エッチングを実行してフィーチャ内の特定の位置からタングステンを除去することを含む。いくつかの実施形態において、さらなる蒸着エッチングサイクルが実行されてもよい。1または複数の蒸着エッチングサイクル後に、タングステンの蒸着でフィーチャ充填が完了されてよい。この方法は、リエントラント型のエッチングプロファイルまたは張り出したバリア膜を有する困難なコンタクト構造の完全な充填を可能にする。いくつかの実施形態において、充填は、ボトムアップ充填法で行われる。WClが前駆体として用いられるので、フッ素非含有W薄膜が実現され、これは、優秀な信頼性特性を有するため、デバイス性能を向上させる。方法は、現在の製造技術ノード(≧2Xnm)および先進的な開発ノード(≦2Xnm)のニーズに対処する。
塩化タングステンは、WCl、WCl、WCl、および、WCl、ならびに、これらの混合物を含む。さらに、以下の説明では、主にフッ素を利用しない方法を記載しているが、別の実施形態において、WClは、フッ化塩化タングステンWFClと、塩化タングステンWClおよびフッ化タングステンWFの混合物とを含んでもよい。
さらに、以下の説明では、タングステン(W)フィーチャ充填に焦点を置いているが、本開示の態様は、タングステン含有材料の蒸着で実施されてもよい。本明細書に記載のタングステン薄膜はいずれも、用いられる特定の前駆体および処理によっては、窒素、炭素、酸素、ホウ素、リン、硫黄、シリコン、ゲルマニウムなど、いくらかの量の他の化合物、ドーパント、および/または、不純物を含みうることを理解されたい。薄膜中のタングステン含有量は、(原子)タグステン20%から100%の範囲でありうる。多くの実装例において、薄膜は、タングステンリッチであり、少なくとも50%の(原子)タングステン、もしくは、少なくとも約60%、75%、90%、または、99%の(原子)タングステンを含む。例えば、本明細書に記載の1または複数の技術を用いるフィーチャ充填は、窒化タングステン(WN)、炭化タングステン(WC)、および、炭窒化タングステン(WC)などのタングステン含有材料でフィーチャを充填するために利用できる。いくつかの実装例において、薄膜は、金属または元素タングステン(W)と、他のタングステン含有化合物(炭化タングステン(WC)、窒化タングステン(WN)など)との混合物であってよい。炭化物および窒化物は、蒸着中に炭素含有および/または窒素含有反応物質を導入することによって、もしくは、すでに形成されたタングステン層をかかる化合物に暴露させることによって形成されうる。さらに、本明細書に記載の方法は、例えば、ブランケット層またはオーバーバーデン層を蒸着および/またはエッチングするために、フィーチャ充填の文脈を外れてタングステン蒸着に用いられてもよい。
図2は、記載された実施形態に従って実行される工程を表す処理フローチャートである。方法200は、タングステンで充填される1または複数のフィーチャを有する基板を提供する工程(ブロック201)で始まってよい。例えば、基板は、マルチステーションチャンバ内の蒸着ステーションに提供されてもよいし、単一ステーションチャンバに提供されてもよい。基板は、フィーチャをライニングする下層(拡散バリア層など)を有してよい。いくつかの基板および下層の詳細については、図1に関連して上述した。
特定の実施形態において、開口部付近の下層の平均厚さは、フィーチャ内部での平均厚さよりも少なくとも約25%大きい。より一般的に言うと、基板は、オーバーハングを持つ下層を有しうる。一部の例では、以前に蒸着されたバルクタングステンの層が、フィーチャ内に存在しうる。拡散バリア層が、基板の周囲の材料内のフィーチャを充填するために用いられた材料の拡散を防ぐ共形層を形成するために、基板上に前もって蒸着されてよい。拡散バリア層の材料は、窒化タングステン、チタン、窒化チタンなどを含んでよい。バリア層厚さの例は、約10オングストロームから500オングストロームの間の厚さ(約25オングストロームから200オングストロームの間の厚さなど)である。
方法200は、WClを用いてフィーチャ内にタングステン(W)を充填する工程(ブロック203)に進む。上述のように、WClは、任意の塩化タングステンで含んでもよいし、異なる塩化タングステン(例えば、WCl、WClなど)の混合物を含んでもよい。いくつかの実施形態において、ブロック203は、フィーチャをWClおよび還元剤に暴露させて、フィーチャを部分的に充填する工程を含んでよい。様々な実施形態に従って、ブロック203は、ALDまたはPNL型の反応(還元剤およびWClが順次導入される)、CVD反応、もしくは、両方を含みうる。例えば、核形成層が、シラン(SiH)および/またはジボラン(B)とWClとを順次蒸着チャンバに1または複数回導入して最初に形成され、その後に、WClがHによって還元されるCVD反応が実行されてよい。シランおよびボランなどの還元剤は、一般に、水素(H)よりも強い。このように、核形成層蒸着のための還元剤として、シラン、ボラン、および、ゲルマンが用いられてよく、バルク層蒸着のための還元剤として、水素が用いられてよい。
WCLを前駆体として用いるタングステン蒸着方法が、2015年5月4日出願の米国特許出願第14/703,732号「Methods of Preparing Tungsten and Tungsten Nitride Thin Films Using Tungsten Chloride Precursor」に記載されており、この出願は、参照によって本明細書に組み込まれる。CVDは、他の還元剤(ボラン、シラン、または、ゲルマンなど)を用いてもよい。WCl、WCl、WCl、WCl、および、それらの混合物を含む任意の塩化タングステン(WCl)が用いられてよい。
ブロック203で実行されるCVD処理は、(還元剤およびWClが同時に導入される)非順次のCVD反応、パルスCVD処理、または、順次CVD処理であってよい。いくつかの実施形態において、ブロック203は、これらの内の2以上(例えば、順次CVD処理の後に非順次CVD処理など)を含んでよい。
いくつかの実施形態において、ブロック203は、同時に出願された米国特許第___(代理人整理番号LAMRP184/3601−1US)に記載のような順次CVD処理を含む。順次CVD処理は、反応物質が蒸着中に同時にチャンバに流れ込まないように、各反応物質への別個の暴露を実施する。むしろ、各反応物質の流れが、時間的に離れたパルスで順番に、基板を収容するチャンバに導入され、サイクル中に1回以上繰り返される。一般に、サイクルは、一度に表面蒸着反応を実行するために用いられる動作の最小セットである。1サイクルの結果として、基板表面上に少なくとも部分的な薄膜層が形成される。これらの周期的な性質により、順次CVD処理は、ALD処理と類似する。しかしながら、順次CVDでは、反応物質は、必ずしも基板上の活性サイトに吸収するわけではなく、いくつかの実施形態では、反応物質は自己制限的ではない場合もある。例えば、順次CVDで用いられる反応物質は、低い吸着速度を有しうる。さらに、基板の表面上の反応物質は、第2の反応物質が導入される時に、必ずしも第2の反応物質と反応しうるわけではない。むしろ、順次CVDのいくつかの実施形態において、基板上の一部の反応物質が、サイクル中に未反応のまま残り、次のサイクルまで反応されない。一部の反応物質は、化学量論的特性、立体障害、または、その他の効果により反応しえない。いくつかの実施形態において、順次CVD処理は、WClおよびHの交互パルスを含む。
順次CVD処理は、非順次CVD、パルスCVD、ALD、および、核形成層蒸着とは区別される。非順次CVD処理は、2つの反応物質の同時暴露を含んでおり、両方の反応物質が蒸着中に同時に流される。例えば、バルクタングステンは、フィーチャの充填に十分な期間にわたって、水素および五塩化タングステンに基板を暴露させることによって蒸着されうる。HおよびWClは、暴露中に反応して、フィーチャ内にタングステンを蒸着させる。パルスCVD処理では、一方の反応物質が連続的に流される間に、他方の反応物質がパルス化されるが、基板は、各パルス中に材料を蒸着させるために、蒸着中に両方の反応物質に暴露される。例えば、基板は、WClがパルス化されている間に、連続的なHの流れに暴露されてよく、WClおよびHはパルス中に反応して、タングステンを蒸着させる。
図3Aは、充填処理の異なる段階でのフィーチャの断面の一例を示す概略図である。具体的には、断面321は、最初の蒸着工程203の1つを完了した後のフィーチャの一例を表す。処理のこの段階で、基板303は、下層313の上に蒸着されたタングステン含有材料の層323を有しうる。開口部付近の空洞のサイズは、例えば、下層313のオーバーハング315および/または蒸着層323の低いステップカバレッジにより、フィーチャ内部よりも狭い場合がある。これについては、図1の文脈でより詳細に上述している。
図2に戻ると、蒸着工程203は、蒸着層(例えば、層323)が特定の厚さに達するまで継続する。この厚さは、空洞プロファイルおよび開口部サイズによって決まりうる。特定の実施形態において、開口部付近の蒸着層の平均厚さは、任意の下層(存在する場合)を含むフィーチャ断面寸法の約5%から25%の間であってよい。別の実施形態(図示せず)において、フィーチャは、蒸着工程203中に完全に閉じられて、その後、WClエッチング工程中に再び開かれてもよい。様々な実施形態によると、ブロック203は、1または複数のチャンバ内もしくはチャンバの1または複数のステーション内で実行されてよい。
処理は、エッチングレジームに切り替えるために処理条件を変更する工程(ブロック205)に続く。塩化タングステン化合物(WClなど)は、蒸着されたタングステンと反応する様々な塩化タングステンWCl化合物(WCl10、WClなど)を形成することによって、蒸着されたタングステンをエッチングできる。(WClは、二量体WCl10として自然に存在するが、同じ材料であることに注意されたい)。同様に、用いられる任意のWClまたはそれらの混合物は、蒸着タングステンと反応する様々な塩化タングステン化合物を形成しうる。ブロック205は、WClがフィーチャ内に蒸着されたタングステンの蒸着ではなく正味のエッチングを行うように1または複数の処理条件を変更する工程を含んでおり、処理条件は、温度、圧力、WCl(例えば、WClまたはWCl)の濃度、Hの流量、および、Ar(または、その他のキャリアガス流量)を含むが、これらに限定されない。いくつかの実施形態において、WCl前駆体自体が、例えば、WClからWClに変更されてもよい。同様に、WCl/WClなどの混合物が用いられる場合、化合物の相対量が変更されてもよい。
様々な実施形態によると、ブロック205は、時間的な切り替えまたは空間的な切り替えを含んでよい。処理パラメータの時間的切り替えは、基板が特定の環境(チャンバまたはステーションなど)内に固定されたままである場合に実行されてよい。空間的切り替えは、基板を別の環境に移動させることを含んでよい。したがって、実装に応じて、ブロック205は、チャンバまたはステーションのペデスタル温度、チャンバ圧、ガス流量などを変更する工程、および/または、異なる処理パラメータを有する別のチャンバまたはステーションに基板を移動させる工程を含んでよい。様々な実施形態によると、ブロック205は、1または複数の処理パラメータの段階的変更、ならびに/もしくは、1または複数の処理パラメータの連続的調節を含んでよい。
次いで、方法200は、エッチャントとしてWClを用いて蒸着タングステンをエッチングする工程(ブロック207)に続く。いくつかの実施形態において、エッチングは非共形であり、フィーチャ内深くよりも開口部近くで、多くのタングステンがエッチングされる。非共形エッチングは、選択的エッチングまたは低ステップカバレッジエッチングと呼んでもよい。選択的(または、低ステップカバレッジ)エッチングを実現するために、エッチング処理条件が、適切に設計されうる;正しいエッチング温度、エッチャント流量、および、エッチング圧の組み合わせが、所望の共形性を達成するのに役立ちうる。下層(拡散バリア層など)が、エッチング停止層として用いられてよい。
ブロック203の実行の結果として、開口部付近の蒸着層の平均厚さの減少は、フィーチャ内部よりも大きくてよい。特定の実施形態において、開口部付近の減少は、フィーチャ内部の減少よりも少なくとも約10%大きく、より具体的な実施形態においては、少なくとも約25%大きい。いくつかの実施形態において、工程207は、基板または存在するなら任意の下層(存在する場合)がエッチャントに暴露される時点まで実行されてよい。工程207後に残ったタングステン層は、ステップカバレッジで特徴付けられうる。特定の実施形態において、エッチングされた層のステップカバレッジは、少なくとも約75%、より具体的には、少なくとも約100%、または、少なくとも約125%、さらに具体的には、少なくとも約150%である。
特定の実施形態において、基板は、蒸着工程203中に閉じられてエッチング工程207中に閉じたままである1または複数のフィーチャを備えうる。例えば、基板は、小型、中間サイズ、および、大型のフィーチャを備えうる。いくつかの小型フィーチャは、最初の蒸着工程中に閉じて、再び開くことがなくてよい。中間サイズのフィーチャは、後のサイクル中に閉じて、より大型の他のフィーチャが充填されている間に閉じたままであってよい。特定の実施形態において、フィーチャは、例えば、デュアルダマシン構成など、基板の異なる垂直レベルに存在しうる。より低いレベル上のフィーチャは、より高いレベルのフィーチャよりも容易に閉じうる。
特定の実施形態において、蒸着工程203は、一時的にのみフィーチャを閉じうる。最終充填工程(以下で説明する工程211など)中、もしくは、上述の異なるサイズおよび垂直位置の複数のフィーチャのある状況で、フィーチャを閉じるのと異なり、このように一時的に閉じている間のシームは、許容できないほど大きくてよく、また、フィールド領域に近すぎる場所で始まってよい。これらの実施形態において、エッチング工程207は、工程207の最初の部分がフィーチャを再び開くために用いられ、次いで、工程207の次の部分が蒸着材料の非共形エッチングに用いられるように設計されてよい。これらの2つの部分での処理条件は、同じであっても異なってもよい。例えば、エッチャント流量が、工程207の最初の部分の間に高く、その後、フィーチャが開くと下げられてよい。
WCl蒸着工程203およびWClエッチング工程207を含む蒸着エッチングサイクルは、判定ブロック208によって指示された通りに、1回以上繰り返されてよい。例えば、大きいオーバーハングを有する小型のフィーチャでは特に、1サイクル後に所望のステップカバレッジを達成するのは困難でありうる。さらなるサイクルに進むか否かの判定208における検討事項は、オーバーハングサイズ、フィーチャサイズ、フィーチャアスペクト比、フィーチャボーイング、ならびに、シームサイズ、および、シーム位置の要件を含む。
特定の実施形態において、次のサイクルの一方の工程または両方の工程のための処理パラメータが変更されてよい(工程209)。例えば、最初のサイクル中の正味の蒸着は、蒸着層がまだ薄くてエッチング中の汚染のリスクが高いので、後のサイクルよりも大きくてよい。同時に、空洞は、初期には比較的開いているため、閉じるリスクは低い。例えば、初期の蒸着サイクルは、製造途中の基板上に蒸着されるタングステン含有材料の量をより良好に制御するために、より遅い速度で実行されてよい(より低い温度で駆動されてよい)。より遅い速度は、より共形な蒸着(特定のフィーチャタイプに必要とされうる)につながりうる。後期の蒸着サイクルは、蒸着厚さの制御があまり重要でなくなりうるため、および/または、空洞の早く閉じる可能性が低いように、前の蒸着エッチングサイクルがフィーチャの空洞のプロファイルを形成しうるので、より速い蒸着速度で実行されてよい(より高温で駆動されてよい)。エッチングが、例えば、異なる前駆体を用いること、温度を制御すること、前駆体濃度を調節することなどによって制御されてもよい。
さらに、ブロック203は、サイクルごとに変更されてよい。例えば、最初のサイクルでは、上述のように、順次CVD処理を含んでよい。順次CVD処理は、一般に、非順次CVD処理よりも遅いので、より良好な制御を提供する。次のサイクルにおいて、ブロック203は、非順次CVD処理であってよい。
図3Aに戻ると、断面331は、非共形エッチング後のフィーチャを示している。したがって、断面321および331は、最初のサイクル、すなわち、より一般的には初期サイクルの内の1つを表しうる。このサイクル中の蒸着層323は、薄すぎて、様々なシーム形成の原因(オーバーハング315など)を完全には補償すなわち相殺できない。例えば、選択的除去工程の後、断面331に示した空洞は、まだ、フィーチャ内部よりも開口部付近の方が狭い。特定の実施形態において、この差は、処理が蒸着エッチングサイクルを繰り返さずに最終充填工程に進むほど十分小さい場合がある。
断面341および351は、後期のサイクルの間および後の基板303を示す。まず、断面341は、エッチング層333上に形成された新たな蒸着層343を示す。層343を有するフィーチャは、前のサイクル中に達成された良好なステップカバレッジを反映する改善されたプロファイルを有しうる。しかしながら、空洞のプロファイルは、まだ、最終充填に進むことを許容しない場合があり、この空洞をさらに成形するために、さらなるエッチング工程が必要になりうる。断面351は、充填を完了するための最終蒸着の前の段階の基板303を表す。空洞は、空洞内部よりも開口部付近で広くなっている。特定の実施形態において、新たな蒸着層のステップカバレッジは、最初に蒸着された層よりも少なくとも約10%大きく、少なくとも約20%または少なくとも約30%大きい場合もある。
1または複数の蒸着エッチングサイクルが、フィーチャを部分的に充填してフィーチャプロファイルを成形するために実行された後、処理は、最終充填工程211に進んでよい。この工程は、一部の態様では、蒸着工程203と類似する。主な差異は、フィーチャが完全に閉じられるまで工程211が継続し、その後にフィーチャを開くためのエッチング工程が実行されないことである。図3Aに戻ると、断面361は、シームが存在しない最終充填工程後の基板303の一例を表す。特定の実施形態において、フィーチャは、まだ、シームを有しているが、それは、比較的小さく、従来の充填フィーチャよりもフィールド領域から離れて位置する参照点を有する。いくつかの実施例において、充填は、ボトムアップで進行してよい。図3Bは、かかる充填の一例を示す。
いくつかの実施形態では、充填工程203およびエッチング工程207の両方が、非プラズマ工程である。いくつかの実施形態では、エッチング工程207は、エッチング種生成を支援する遠隔プラズマまたはその場プラズマで、プラズマ強化されてもよい。さらに、特定の実施形態では、イオンビーム(例えば、Arイオンビーム)が備えられてもよい。例えば、様々な塩素種が、蒸着タングステン上に吸収されてよく、その後、WCl副生成物を脱着させるためにArイオンが導入される。
いくつかの実施形態において、蒸着工程およびエッチング工程203および207は、部分的に重複してもよいし、同時であってもよい。例えば、フィーチャ底部で正味の蒸着が生じると共にフィーチャの上部で正味のエッチングが生じる処理条件が設定されてよい。様々な実施形態によると、ブロック205は、処理に応じて、実行されてもよいし実行されなくてもよい。例えば、処理条件は、前駆体およびエッチャント種が同時にチャンバ内に存在して、蒸着およびエッチング反応の両方が同時に起きることを可能にするような条件であってよい。開口部付近よりもフィーチャ内部で大きい正味の蒸着を達成するために、処理条件は、エッチング反応が物質移行によって制限され、したがって、エッチャント濃度に依存するような条件であってよい。同時に、蒸着反応は、物質移行で制限されず、フィーチャ内部および開口部でおよそ同じ速度で進行する。還元剤または他の反応物質の流量、プラズマ種の導入、温度など、様々な処理条件が、(例えば、徐々にまたは段階的に)調節されてよい。さらなるサイクルが必要なくなると、処理は、任意選択的に最終フィーチャ工程(ブロック211)に移行してよい。
特定の実施形態において、処理チャンバは、蒸着工程203およびその後のエッチング工程207の程度を確認するためのその場測定を実行するために、様々なセンサを備えてよい。その場測定の例は、蒸着された薄膜の厚さを決定するための光学顕微鏡法および蛍光X線分析法(XRF)を含む。さらに、赤外線(IR)分光法が、エッチング工程中に生成された塩化タングステン(WCl)の量を検出するために用いられてもよい。残留ガス分析(RGA)が、質量分析でガス(反応物質/副生成物)を検出するために用いられてよい。
様々な実施形態によると、基板温度、チャンバ圧、および、キャリア流量を含む処理条件が、蒸着レジームおよびエッチングレジームを切り替えてエッチングを調整するために変更されてよい。図7に関して後述するように、塩化タングステン前駆体の濃度が、蒸着レジームおよびエッチングレジームを切り替えてエッチングを調整するために変更されてよい。基板温度の例は、300℃から650℃の範囲であってよく、圧力の例は、5Torrから760Torrの範囲または5Torrから100Torrの範囲であってよく、前駆体(WCl)温度の例は、110℃から180℃の範囲であってよい。様々な処理条件で、Wは、蒸着、部分エッチング、または、誘電体層までバリアと共にエッチングされうる。
例えば、いくつかの実施形態において、高エッチングかつ非蒸着のために、高いWCl流束を引き起こす条件を用いてもよい。いくつかの実施形態において、より安定した蒸着のために、温度を高くしてもよい。以下の表1は、様々な温度、キャリア流量、および、圧力でのWCl/HCVDの結果を示す。(WCl/H暴露工程はCVDと呼ばれるが、以下に示すいくつかの条件では、その処理は、蒸着レジームではなくエッチングレジームにある)。WCl/HCVD工程の前に、450℃でB/WClの2回のPNLサイクルを用いて、100オングストロームのTiN層上にタングステン核形成層を蒸着した。各WCl/HCVD工程は、10分間実行したタングステンの厚さおよびTiNの減少量を測定し、表1に示した。温度は℃、Arキャリア流量はsccm、圧力はTorrで示している。
核形成膜は、クーポンすべてに対して同じ条件で成長され、約50オングストロームが蒸着された;エッチング条件が起きると、核形成層およびその下にあるTiN層がエッチングされる。
表1の結果は、450℃が550℃よりも蒸着にとって安定性が低いことを示している。450℃および20Torrの低圧力では、キャリア流量にかかわらず、蒸着がなく、強いエッチングが起きる。60Torrの高圧力では、50sccmの低キャリア流量でのみ蒸着が起こり、高キャリア流量では蒸着がない。
550℃および20Torrの低圧力では、高キャリア流量でのみエッチングが起きるが、そのエッチングは、450℃、20Torr、および、高キャリア流量の場合ほどは強くない(クーポン7とクーポン3との比較)。キャリア流量にかかわらず、60Torrではエッチングがない。
低圧力および高キャリア流量は、最高の流束および最大のエッチングを引き起こす。エッチング効果は、上述のように450℃の方が強い。圧力曲線が取得され、それによると、低キャリア流量を用いて550℃および10Tの低圧力で、最高の蒸着速度が達成される。図6を参照すると、450℃および550℃でWCl/H暴露の圧力の関数としてタングステン(W)の厚さおよび窒化チタン(TiN)のエッチング厚さが示されている。
WCl流束は、WCl濃度を大きくすることによって増加されうる。図7は、WClおよびWClについて前駆体濃度の関数としてCVD蒸着速度を示すグラフである。変曲点が、蒸着レジームからエッチングレジームへの切り替わりを示している。これらの前駆体の内、WClの方が低いエッチング速度を有しており、そのため、同じ濃度では、WClの方が多くエッチングする。両方の前駆体で、濃度を上げると、蒸着レジームからエッチングレジームへ切り替えることができる。図7に示した実験結果において、エッチングは、おそらく中央での温度が高いことにより、ウエハの中央で開始する。しかしながら、これは、この処理の実験的性質によるものであり、温度およびガス流量の適切な制御でウエハにわたる均一な蒸着/エッチングを達成できることに注意されたい。前駆体濃度とは、前駆体の体積流量を全流量の割合で表したものである。濃度の例は、0.5%から5%の範囲である。多くのシステムにおいて、適切に濃度を変化させることにより、最も適度な温度および圧力(例えば、上記の範囲内のもの)で蒸着またはエッチングを達成することができる。
いくつかの実施例において、エッチングレジームへの切り替えは、温度を下げることを含みうるが、蒸着レジームへの切り替えは、温度を上げることを含みうる。いくつかの実施例において、蒸着からエッチングまたはエッチングから蒸着に切り替えるために、他の処理パラメータを変更することで、温度を一定に維持してもよい。いくつかの実施例において、温度は、1または複数の他の処理パラメータと共に、単独で変更されてもよい。
いくつかの実施例において、エッチングレジームへの切り替えは、圧力を下げることを含みうるが、蒸着レジームへの切り替えは、圧力を上げることを含みうる。いくつかの実施例において、蒸着からエッチングまたはエッチングから蒸着に切り替えるために、他の処理パラメータを変更することで、圧力を一定に維持してもよい。いくつかの実施例において、圧力は、単独で変更されてもよいし、1または複数の他の処理パラメータと共に変更されてもよい。
いくつかの実施例において、エッチングレジームへの切り替えは、キャリア流量を上げることを含みうるが、蒸着レジームへの切り替えは、キャリア流量を下げることを含みうる。いくつかの実施例において、蒸着からエッチングまたはエッチングから蒸着に切り替えるために、他の処理パラメータを変更することで、キャリア流量を一定に維持してもよい。いくつかの実施において、キャリア流量は、1または複数の他の処理パラメータと共に変更されてもよい。
いくつかの実施形態において、エッチングレジームへの切り替えは、WCl濃度を上げることを含みうるが、蒸着レジームへの切り替えは、WCl濃度を下げることを含みうる。いくつかの実施例において、蒸着からエッチングまたはエッチングから蒸着に切り替えるために、他の処理パラメータを変更することで、WCl濃度を一定に維持してもよい。いくつかの実施例において、WCl濃度は、単独で変更されてもよいし、1または複数の他の処理パラメータと共に変更されてもよい。
装置
任意の適切なチャンバを用いて、開示した実施形態を実施することができる。蒸着装置の例としては、例えば、カリフォルニア州フレモントのLam Research社製のALTUS(登録商標)およびALTUS(登録商標)Max、もしくは、様々な他の市販の処理システムのいずれかなど、様々なシステムが挙げられる。処理は、複数の蒸着ステーションで並行して実行できる。
いくつかの実施形態において、タングステン核形成処理は、単一の蒸着チャンバ内に配置された2、5、または、さらに多くの蒸着ステーションの内の1つである第1のステーションで実行される。いくつかの実施形態において、核形成処理の様々な工程が、蒸着チャンバの2つの異なるステーションで実行される。例えば、基板は、基板表面に局所的な雰囲気を形成する個々のガス供給システムを用いて、第1のステーション内でジボラン(B)に暴露されてよく、次いで、基板は、第2のステーションに移送され、核形成層を蒸着するために六塩化タングステン(WCl)または五塩化タングステン(WCl)などのFFW前駆体に暴露される。いくつかの実施形態において、基板は、その後、第2のジボランへの暴露のために第1のステーションに戻されてよい。次いで、WCl(または、その他の塩化タングステン)への暴露のために基板を第2のステーションに移送して、タングステン核形成を完了し、同じまたは異なるステーションでバルクタングステン蒸着を進めてよい。次いで、1または複数のステーションを用いて、上述のように化学蒸着(CVD)を実行できる。次いで、1または複数のステーションを用いて、上述のようにエッチングを実行できる。
図4は、本発明の実施形態に従って、タングステン薄膜蒸着およびエッチング処理を実行するのに適した処理システムを示すブロック図である。システム400は、搬送モジュール403を備える。搬送モジュール403は、処理中の基板が様々なリアクタモジュール間で移動される時の汚染のリスクを最小限に抑えるために、清浄な加圧環境を提供する。本発明の実施形態に従って、PNL蒸着ならびにCVD蒸着およびエッチングを実行できるマルチステーションリアクタ409が、搬送モジュール403上に取り付けられる。チャンバ409は、これらの動作を順に実行しうる複数のステーション411、413、415、および、417を備えてよい。例えば、チャンバ409は、ステーション411および413がPNL蒸着を実行し、ステーション413および415がCVDを実行するように構成されてよい。各蒸着ステーションは、加熱されたウエハペデスタルと、シャワーヘッド、拡散プレート、または、その他のガス流入口と、を備える。蒸着ステーションの一例500が、図5に示されており、ウエハ支持体502およびシャワーヘッド503を備える。ヒータが、ペデスタル部分501内に設けられてよい。
また、プラズマ前洗浄または化学的な(非プラズマ)前洗浄を実行できる1または複数の単一モジュールまたはマルチステーションモジュール407が、搬送モジュール403上に取り付けられてよい。モジュールは、様々な他の処理(例えば、還元剤浸漬)に用いられてもよい。システム400は、ウエハが処理前後に収容される1または複数(この例では2つ)のウエハソースモジュール401も備える。大気搬送チャンバ419内の大気ロボット(図示せず)が、まず、ソースモジュール401からロードロック421にウエハを取り出す。搬送モジュール403内のウエハ搬送装置(一般に、ロボットアームユニット)が、ロードロック421から搬送モジュール403上に取り付けられたモジュールに、そして、モジュールの間で、ウエハを移動させる。
特定の実施形態において、システムコントローラ429が、蒸着中の処理条件を制御するために用いられる。コントローラは、通例、1または複数のメモリデバイスと、1または複数のプロセッサとを備える。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッパモータコントローラボードなどを備えてよい。
コントローラは、蒸着装置の動作すべてを制御してよい。システムコントローラは、タイミング、ガスの混合、チャンバ圧、チャンバ温度、ウエハ温度、用いられる場合には高周波(RF)電力レベル、ウエハチャックまたはペデスタルの位置、ならびに、特定の処理の他のパラメータを制御するための一連の命令を含むシステム制御ソフトウェアを実行する。コントローラに関連するメモリデバイスに格納された他のコンピュータプログラムが、いくつかの実施形態において用いられてもよい。
通例は、コントローラに関連したユーザインターフェースが存在する。ユーザインターフェースは、表示スクリーン(装置および/または処理条件のグラフィカルソフトウェアディスプレイ)と、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスと、を備えてよい。
システム制御ロジックは、任意の適切な方法で構成されてよい。一般に、ロジックは、ハードウェアおよび/またはソフトウェアで設計または構成されうる。駆動回路を制御するための命令は、ハードコードされてもよいし、ソフトウェアとして提供されてもよい。命令は、「プログラミング」によって提供されうる。かかるプログラミングは、デジタル信号プロセッサ、特定用途向け集積回路、および、ハードウェアとして実装された特定のアルゴリズムを有する他のデバイス内にハードコードされたロジックなど、任意の形態のロジックを含むと理解される。また、プログラミングは、汎用プロセッサ上で実行できるソフトウェア命令またはファームウェア命令を含むと理解される。システム制御ソフトウェアは、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。あるいは、制御ロジックはコントローラにハードコードされてもよい。これらの目的で、特定用途向け集積回路、プログラム可能論理デバイス(例えば、フィールドプログラマブルゲートアレイすなわちFPGA)などが用いられてもよい。以下では、「ソフトウェア」または「コード」が利用される場合、機能的に同等のハードコードされたロジックが代わりに利用されうる。
処理手順内の蒸着処理およびその他の処理を制御するためのコンピュータプログラムコードは、例えば、アセンブリ言語、C、C++、パスカル、フォートランなど、任意の従来のコンピュータ読み取り可能なプログラミング言語で書かれうる。コンパイルされたオブジェクトコードまたはスクリプトが、プラグラム内に特定されたタスクを実行するために、プロセッサによって実行される。
制御パラメータは、例えば、処理ガスの組成および流量、温度、圧力、プラズマ条件(RF電力レベルおよび低周波RF周波数など)、冷却ガス圧、ならびに、チャンバ壁の温度などの処理条件に関連する。これらのパラメータは、レシピの形態でユーザに提供され、ユーザインターフェースを用いて入力されうる。
処理を監視するための信号が、システムコントローラのアナログおよび/またはデジタル入力接続によって提供されてよい。処理を制御するための信号が、蒸着装置のアナログおよびデジタル出力接続で出力される。
いくつかの実施例において、コントローラ429は、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラ429は、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラ429は、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウエア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラ429に伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する。動作パラメータは、いくつかの実施形態において、1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハのダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラ429は、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラ429は、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、複数の製造動作からの傾向または性能指標を調べる、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、もしくは、新たな処理を開始するために、システムへのリモートアクセスを可能にしうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラ429は、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラ429がインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラ429は、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラ429は、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
システムソフトウェアは、多くの異なる方法で設計または構成されうる。例えば、本発明の蒸着処理を実行するのに必要なチャンバ構成要素の動作を制御するために、様々なチャンバ構成要素サブルーチンまたは制御オブジェクトが書かれてよい。このためのプログラムまたはプログラムセクションの例は、基板配置コード、処理ガス制御コード、圧力制御コード、ヒータ制御コード、および、プラズマ制御コードを含む。
基板配置プログラムは、ペデスタルまたはチャック上に基板をロードするため、および、基板とチャンバの他の部品(ガス流入口および/またはガスターゲットなど)との間の間隔を制御するために用いられるチャンバ構成要素を制御するためのプログラムコードを備えてよい。処理ガス制御プログラムは、ガス組成および流量を制御するため、ならびに、任意選択的に、チャンバ内の圧力を安定させるために蒸着の前にチャンバ内にガスを流すためのコードを備えてよい。圧力制御プログラムは、例えば、チャンバの排気システムのスロットルバルブを調節することにより、チャンバ内の圧力を制御するためのコードを備えてよい。ヒータ制御プログラムは、基板を加熱するために用いられる加熱ユニットへの電流を制御するためのコードを備えてよい。あるいは、ヒータ制御プログラムは、ウエハチャックへの熱伝導ガス(ヘリウムなど)の供給を制御してもよい。
蒸着中に監視されうるチャンバセンサの例は、マスフローコントローラ、圧力センサ(マノメータなど)、ならびに、ペデスタルまたはチャック内に配置された熱電対を含む。適切にプログラムされたフィードバックアルゴリズムおよび制御アルゴリズムが、所望の処理条件を維持するためにこれらのセンサからのデータと共に用いられてよい。以上、単一チャンバまたはマルチチャンバの半導体処理ツールにおける本発明の実施形態の実施について説明した。
以上、単一チャンバまたはマルチチャンバの半導体処理ツールにおける開示の実施形態の実施について説明した。本明細書に記載の装置および処理は、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの加工または製造のために、リソグラフィパターニングツールまたは処理と共に用いられてもよい。通例、必ずしもそうとは限らないが、かかるツール/処理は、共通の製造施設で一緒に利用または実行されている。薄膜のリソグラフィパターニングは、通例、以下の工程の一部または全部を含み、各工程は、複数の可能なツールで提供される:(1)スピンオンまたはスプレーオンツールを用いて、ワークピース(すなわち、基板)上にフォトレジストを塗布する工程;(2)ホットプレートまたは炉またはUV硬化ツールを用いて、フォトレジストを硬化させる工程;(3)ウエハステッパなどのツールで可視光またはUVまたはX線にフォトレジストを暴露させる工程;(4)ウェットベンチなどのツールを用いて、選択的にレジストを除去することによってパターニングするためにレジストを現像する工程;(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いて、下層の膜またはワークピースにレジストパターンを転写する工程;ならびに、(6)RFプラズマまたはマイクロ波プラズマレジストストリッパなどのツールを用いて、レジストを除去する工程。
結論
理解を深めるために、本実施形態について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。本発明の処理、システム、および、装置を実施する多くの他の方法が存在することに注意されたい。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されない。本発明は以下の適用例としても実現できる。
[適用例1]
基板上にタングステンを蒸着させる方法であって、
第1のセットの条件で前記基板を塩化タングステン(WCl )前駆体および還元剤に暴露させて、化学蒸着(CVD)によって基板のフィーチャ内に第1のタングステン層を蒸着させる工程と、
第2のセットの条件で前記基板をWCl 前駆体および前記還元剤に暴露させて、前記第1のタングステン層をエッチングする工程と、
を備える、方法。
[適用例2]
適用例1に記載の方法であって、塩化タングステンは、WCl 、WCl 、WCl 、WCl 、および、それらの混合物から選択される、方法。
[適用例3]
適用例1に記載の方法であって、前記第1のタングステン層をエッチングする工程は、前記フィーチャの開口部付近の前記第1のタングステン層の平均厚さの減少が前記フィーチャの内部の前記第1のタングステン層の平均厚さの減少よりも大きくなるような非共形エッチングを含む、方法。
[適用例4]
適用例1の方法であって、前記還元剤は水素である、方法。
[適用例5]
適用例1に記載の方法であって、前記第1のセットの条件から前記第2のセットの条件に移行することは、温度を下げることを含む、方法。
[適用例6]
適用例1に記載の方法であって、前記第1のセットの条件から前記第2のセットの条件に移行することは、WCl の流束を上げることを含む、方法。
[適用例7]
適用例1に記載の方法であって、前記蒸着工程のWCl は、前記エッチング工程のWCl 前駆体と同じである、方法。
[適用例8]
適用例1に記載の方法であって、前記第1のセットの条件から前記第2のセットの条件に移行することは、WCl 前駆体を変更することを含む、方法。
[適用例9]
適用例1に記載の方法であって、前記第1のセットの条件から前記第2のセットの条件に移行することは、WCl の濃度を上げることを含む、方法。
[適用例10]
タングステンでフィーチャを充填する方法であって、
タングステンで部分的に充填されたフィーチャをWCl に暴露させることにより、前記部分的に充填されたフィーチャ内の前記タングステンの一部を除去する工程を備える、方法。
[適用例11]
適用例10に記載の方法であって、前記フィーチャの開口部付近の前記タングステンの平均厚さの減少が、前記フィーチャの内部の前記タングステンの平均厚さの減少よりも大きい、方法。
[適用例12]
適用例10に記載の方法であって、さらに、前記部分的に充填されたフィーチャを水素に暴露させる工程を備える、方法。
[適用例13]
基板を処理するための装置であって、
(a)基板を保持するよう構成されたペデスタルを備えた1または複数の処理チャンバと、
(b)真空に接続するための少なくとも1つの流出口と、
(c)1または複数の処理ガス源に接続された1または複数の処理ガス流入口と、
(d)前記装置内の動作を制御するためのコントローラと、
を備え、
前記コントローラは、
(i)前記1または複数の処理チャンバの内の1つに塩化タングステンおよび還元剤を導入し、
(ii)(i)の後に、前記1または複数の処理チャンバの内の1つに塩化タングステンおよび還元剤を導入するためのマシン読み取り可能な命令を備え、
(i)から(ii)への移行は、蒸着レジームからエッチングレジームに切り替えるための命令を含む、装置。
[適用例14]
適用例13に記載の装置であって、前記コントローラは、塩化タングステンの濃度を上げることによって(i)から(ii)に移行するための命令を備える、装置。
[適用例15]
適用例13に記載の装置であって、前記コントローラは、前記基板の温度を下げることによって(i)から(ii)に移行するための命令を備える、装置。
[適用例16]
適用例13に記載の装置であって、前記コントローラは、塩化タングステン前駆体を変更することによって(i)から(ii)に移行するための命令を備える、装置。
[適用例17]
適用例13に記載の装置であって、前記コントローラは、塩化タングステンの流量を上げることによって(i)から(ii)に移行するための命令を備える、装置。

Claims (12)

  1. 基板上にタングステンを蒸着させる方法であって、
    第1のセットの条件で前記基板を塩化タングステン(WCl)前駆体および還元剤に暴露させて、化学蒸着(CVD)によって基板のフィーチャ内に第1のタングステン層を蒸着させる工程と、
    第2のセットの条件で前記基板をWCl前駆体および前記還元剤に暴露させて、前記第1のタングステン層をエッチングする工程と、
    を備え、
    前記第1のセットの条件から前記第2のセットの条件に移行することは、前記基板の温度を下げることを含む、
    方法。
  2. 請求項1に記載の方法であって、塩化タングステンは、WCl、WCl、WCl、WCl、および、それらの混合物から選択される、方法。
  3. 請求項1に記載の方法であって、前記第1のタングステン層をエッチングする工程は、前記フィーチャの開口部付近の前記第1のタングステン層の平均厚さの減少が前記フィーチャの内部の前記第1のタングステン層の平均厚さの減少よりも大きくなるような非共形エッチングを含む、方法。
  4. 請求項1の方法であって、前記還元剤は水素である、方法。
  5. 請求項1に記載の方法であって、前記第1のセットの条件から前記第2のセットの条件に移行することは、WClの流束を上げることを含む、方法。
  6. 請求項1に記載の方法であって、前記蒸着工程のWClは、前記エッチング工程のWCl前駆体と同じである、方法。
  7. 基板上にタングステンを蒸着させる方法であって、
    第1のセットの条件で前記基板を塩化タングステン(WCl)前駆体および還元剤に暴露させて、化学蒸着(CVD)によって基板のフィーチャ内に第1のタングステン層を蒸着させる工程と、
    第2のセットの条件で前記基板をWCl前駆体および前記還元剤に暴露させて、前記第1のタングステン層をエッチングする工程と、
    を備え、
    前記第1のセットの条件から前記第2のセットの条件に移行することは、WCl前駆体を変更することを含む、方法。
  8. 請求項1に記載の方法であって、前記第1のセットの条件から前記第2のセットの条件に移行することは、WClの濃度を上げることを含む、方法。
  9. 基板を処理するための装置であって、
    (a)基板を保持するよう構成されたペデスタルを備えた1または複数の処理チャンバと、
    (b)真空に接続するための少なくとも1つの流出口と、
    (c)1または複数の処理ガス源に接続された1または複数の処理ガス流入口と、
    (d)前記装置内の動作を制御するためのコントローラと、
    を備え、
    前記コントローラは、
    (i)前記1または複数の処理チャンバの内の1つに塩化タングステンおよび還元剤を導入し、
    (ii)(i)の後に、前記1または複数の処理チャンバの内の1つに塩化タングステンおよび還元剤を導入するためのマシン読み取り可能な命令を備え、
    (i)から(ii)への移行は、蒸着レジームからエッチングレジームに切り替えるための命令を含み、
    前記コントローラは、前記基板の温度を下げることによって(i)から(ii)に移行するための命令を含む、
    装置。
  10. 請求項9に記載の装置であって、前記コントローラは、塩化タングステンの濃度を上げることによって(i)から(ii)に移行するための命令を備える、装置。
  11. 基板を処理するための装置であって、
    (a)基板を保持するよう構成されたペデスタルを備えた1または複数の処理チャンバと、
    (b)真空に接続するための少なくとも1つの流出口と、
    (c)1または複数の処理ガス源に接続された1または複数の処理ガス流入口と、
    (d)前記装置内の動作を制御するためのコントローラと、
    を備え、
    前記コントローラは、
    (i)前記1または複数の処理チャンバの内の1つに塩化タングステンおよび還元剤を導入し、
    (ii)(i)の後に、前記1または複数の処理チャンバの内の1つに塩化タングステンおよび還元剤を導入するためのマシン読み取り可能な命令を備え、
    (i)から(ii)への移行は、蒸着レジームからエッチングレジームに切り替えるための命令を含み、
    前記コントローラは、塩化タングステンを他の塩化タングステンに変更することによって(i)から(ii)に移行するための命令を備える、装置。
  12. 請求項9に記載の装置であって、前記コントローラは、塩化タングステンの流量を上げることによって(i)から(ii)に移行するための命令を備える、装置。
JP2015109333A 2014-05-31 2015-05-29 フッ素非含有タングステンで高アスペクト比フィーチャを充填する方法 Active JP6742077B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201462006117P 2014-05-31 2014-05-31
US62/006,117 2014-05-31
US201462075092P 2014-11-04 2014-11-04
US62/075,092 2014-11-04
US14/723,353 US20150348840A1 (en) 2014-05-31 2015-05-27 Methods of filling high aspect ratio features with fluorine free tungsten
US14/723,353 2015-05-27

Publications (2)

Publication Number Publication Date
JP2015232177A JP2015232177A (ja) 2015-12-24
JP6742077B2 true JP6742077B2 (ja) 2020-08-19

Family

ID=54702643

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015109333A Active JP6742077B2 (ja) 2014-05-31 2015-05-29 フッ素非含有タングステンで高アスペクト比フィーチャを充填する方法

Country Status (5)

Country Link
US (1) US20150348840A1 (ja)
JP (1) JP6742077B2 (ja)
KR (1) KR102403860B1 (ja)
CN (1) CN105280549A (ja)
TW (1) TWI707973B (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
JP6478813B2 (ja) * 2015-05-28 2019-03-06 東京エレクトロン株式会社 金属膜の成膜方法
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10214807B2 (en) * 2016-06-02 2019-02-26 Lam Research Corporation Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9899258B1 (en) * 2016-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Metal liner overhang reduction and manufacturing method thereof
TW201833991A (zh) * 2016-11-08 2018-09-16 美商應用材料股份有限公司 自對準圖案化之方法
US9935173B1 (en) * 2016-11-29 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
DE102017127208A1 (de) 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet-strukturen und verfahren zu deren herstellung
US10497811B2 (en) 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
WO2018111547A1 (en) * 2016-12-15 2018-06-21 Applied Materials, Inc. Nucleation-free gap fill ald process
JP6719416B2 (ja) * 2017-03-30 2020-07-08 東京エレクトロン株式会社 凹部の埋め込み方法および処理装置
JP7224335B2 (ja) 2017-04-10 2023-02-17 ラム リサーチ コーポレーション モリブデンを含有する低抵抗膜
KR102367848B1 (ko) 2017-04-27 2022-02-25 주식회사 레이크머티리얼즈 저 불소 함량을 갖는 텅스텐 박막의 제조 방법
CN116377420A (zh) * 2017-06-23 2023-07-04 默克专利有限公司 用于选择性膜生长的原子层沉积方法
WO2019099997A1 (en) * 2017-11-20 2019-05-23 Lam Research Corporation Self-limiting growth
US11011413B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US10867905B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
KR102476262B1 (ko) * 2017-12-14 2022-12-08 어플라이드 머티어리얼스, 인코포레이티드 에칭 잔류물이 더 적게 금속 산화물들을 에칭하는 방법들
JP7023150B2 (ja) * 2018-03-26 2022-02-21 東京エレクトロン株式会社 タングステン膜の成膜方法及び制御装置
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
WO2020041213A1 (en) * 2018-08-24 2020-02-27 Lam Research Corporation Metal-containing passivation for high aspect ratio etch
JP7047117B2 (ja) * 2018-09-14 2022-04-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
JP7149788B2 (ja) * 2018-09-21 2022-10-07 東京エレクトロン株式会社 成膜方法及び成膜装置
CN109545741B (zh) * 2018-12-05 2020-11-24 上海华力集成电路制造有限公司 钨填充凹槽结构的方法
JP2022523689A (ja) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション 金属膜の蒸着
WO2020185618A1 (en) 2019-03-11 2020-09-17 Lam Research Corporation Precursors for deposition of molybdenum-containing films
KR20220126745A (ko) * 2020-01-16 2022-09-16 엔테그리스, 아이엔씨. 에칭 또는 침착 방법
JP7496725B2 (ja) 2020-07-20 2024-06-07 東京エレクトロン株式会社 エッチング方法およびエッチング装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61224313A (ja) * 1985-03-29 1986-10-06 Hitachi Ltd 気相薄膜成長方法
KR100272523B1 (ko) * 1998-01-26 2000-12-01 김영환 반도체소자의배선형성방법
JP5550843B2 (ja) * 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US8124531B2 (en) * 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
WO2014052642A1 (en) * 2012-09-28 2014-04-03 Advanced Technology Materials, Inc. Fluorine free tungsten ald/cvd process
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
WO2015023404A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback
JP6297884B2 (ja) * 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法

Also Published As

Publication number Publication date
JP2015232177A (ja) 2015-12-24
KR20150138116A (ko) 2015-12-09
KR102403860B1 (ko) 2022-05-30
TWI707973B (zh) 2020-10-21
US20150348840A1 (en) 2015-12-03
TW201610201A (zh) 2016-03-16
CN105280549A (zh) 2016-01-27

Similar Documents

Publication Publication Date Title
JP6742077B2 (ja) フッ素非含有タングステンで高アスペクト比フィーチャを充填する方法
JP7224335B2 (ja) モリブデンを含有する低抵抗膜
KR102641077B1 (ko) 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
TWI706509B (zh) 包含多段式抑制成核之特徵部塡充
KR102678471B1 (ko) 저 불소 함량을 가진 텅스텐 막들
KR20230161400A (ko) 텅스텐 갭충진 퍼포먼스를 향상시키기 위해 에칭 프로세스에서 rf 전력의 펄싱
JP6494940B2 (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
CN107845572B (zh) 用于蚀刻金属的连续rf等离子体和脉冲rf等离子体
TWI747825B (zh) 藉由順序化學汽相沉積製程所進行的低氟含量之鎢的沉積
JP6273257B2 (ja) タングステンによるフィーチャ充填
TW201936966A (zh) 自限制生長
KR20210027507A (ko) 순수 금속 막의 증착
JP2023113892A (ja) 3d nand及び他の用途のためのモリブデン充填
KR20220047333A (ko) 텅스텐 증착
KR20210141762A (ko) 고 단차 커버리지 (step coverage) 텅스텐 증착
TW201920748A (zh) 氮化鎢阻障層沉積
JP2022545217A (ja) 金属充填プロセス中のラインベンディングの低減
KR20240052872A (ko) 반도체 프로세싱 동안 프로세스 가스 램핑

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180517

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190219

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190513

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190807

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20191224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200422

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20200507

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200630

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200728

R150 Certificate of patent or registration of utility model

Ref document number: 6742077

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250