CN108461374A - 用于远程等离子体处理的室调节 - Google Patents

用于远程等离子体处理的室调节 Download PDF

Info

Publication number
CN108461374A
CN108461374A CN201711372325.2A CN201711372325A CN108461374A CN 108461374 A CN108461374 A CN 108461374A CN 201711372325 A CN201711372325 A CN 201711372325A CN 108461374 A CN108461374 A CN 108461374A
Authority
CN
China
Prior art keywords
plasma
room
nitrogen
adjusting
remote plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201711372325.2A
Other languages
English (en)
Other versions
CN108461374B (zh
Inventor
王德琪
刘刚
阿南德·查德拉什卡
杨宗翰
约翰·W·格里斯沃尔德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202110637340.5A priority Critical patent/CN113594017A/zh
Publication of CN108461374A publication Critical patent/CN108461374A/zh
Application granted granted Critical
Publication of CN108461374B publication Critical patent/CN108461374B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0254Physical treatment to alter the texture of the surface, e.g. scratching or polishing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及用于远程等离子体处理的室调节。本文描述的方法、系统和装置涉及用于远程等离子体处理的室调节,特别地涉及远程的基于氮的等离子体处理。本公开的某些实现方式涉及用于特征填充的包括室调节的远程等离子体抑制处理。本公开的实施方式涉及在衬底(诸如半导体晶片)的基于氮的远程等离子体处理之前将远程等离子体处理室暴露于氟物质。晶片内均匀性和晶片间均匀性得到改善。

Description

用于远程等离子体处理的室调节
技术领域
本发明涉及半导体制造工艺,更具体地涉及用于远程等离子体处理的室调节。
背景技术
用各种材料填充接触孔、沟槽线和其他特征是半导体制造工艺的不可分割的一部分。例如,为了形成水平互连、相邻金属层之间的通孔、第一金属层和器件之间的接触,可以使用化学气相沉积(CVD)技术来沉积诸如钨之类的金属。在传统的沉积工艺中,在沉积室中将衬底加热到预定的工艺温度,并沉积用作晶种或成核层的含钨材料的薄层。之后,剩余的含钨材料(体层)沉积在成核层上。常规地,通过用氢气(H2)还原六氟化钨(WF6)来形成含钨材料。含钨材料被沉积在衬底的包括特征和场区域的整个暴露表面区域上。
将材料沉积到成小的且高深宽比的特征中可能导致在填充的特征内部形成空隙和接缝。大的接缝可能导致高电阻、污染、填充材料的损失,并且另外降低集成电路的性能。例如,接缝可以在填充过程之后接近场区域延伸,然后在化学机械平面化过程中打开。同样,空隙可能会导致集成和性能方面的问题。
发明内容
本公开的一个方面涉及一种在远程等离子体处理室上执行调节处理的方法,所述调节处理包括:将含氟气体引入等离子体发生器以产生含氟调节等离子体;将所述含氟调节等离子体引入所述远程等离子体处理室,其中所述远程等离子体处理室包括衬底支撑件和喷头,并且所述喷头布置在所述衬底支撑件和所述等离子体发生器之间,并且其中在所述调节处理期间,所述远程等离子体处理室中不存在制造衬底;在执行所述调节处理之后,将制造衬底引入所述远程等离子体处理室;并将所述制造衬底暴露于远程产生的基于氮的等离子体。在一些实施方式中,所述制造衬底包括待填充的一个或多个特征。
在一些实施方式中,由N2气体产生所述远程产生的基于氮的等离子体。在一些实施方式中,所述远程产生的基于氮的等离子体由含氮化合物产生,并且调节处理进一步包括将含氮化合物引入等离子体发生器以产生不含氟化物的基于氮的调节等离子体,以及将所述不含氟化物的基于氮的调节等离子体引入到所述远程等离子体处理室。
在一些实施方式中,该方法进一步包括将一个或多个额外的制造衬底顺序地引入到所述远程等离子体处理室并且将每个附加的制造衬底暴露于远程产生的基于氮的等离子体。例如,在执行另一个调节处理之前,可以将至少三个制造衬底引入到所述远程等离子体处理室。
在一些实施方式中,远程产生的基于氮的等离子体相对于特征的内部选择性地抑制特征开口附近的成核。在一些这样的实施方式中,该方法还可以包括:在特征中,相对于特征开口附近,在特征内部选择性地沉积钨或钴。在一些实施方式中,调节处理可以进一步包括在一个或多个室部件上形成含氟层。在一些实施方式中,调节处理可以进一步包括在一个或多个室部件上形成氟化铝层。
本公开的另一方面涉及一种方法,其包括执行多个调节和处理循环以在远程等离子体处理室中顺序地处理多个衬底。每个循环可以包括:a)执行调节处理,其包括:i)将含氟气体引入等离子体发生器以产生含氟调节等离子体;和ii)将所述含氟调节等离子体引入所述远程等离子体处理室,其中所述远程等离子体处理室包括衬底支撑件和喷头,并且所述喷头设置在所述衬底支撑件和所述等离子体发生器之间,并且其中在所述调节处理期间在远程等离子体处理室中不存在制造衬底;b)在所述调节处理之后且在重复所述调节处理之前,执行多个氮等离子体暴露处理,其中每个氮等离子体暴露处理均包括:i)将制造衬底引入所述远程等离子体处理室;ii)将制造衬底暴露于远程产生的基于氮的等离子体;和iii)从远程等离子体处理室移除制造衬底。
在一些实施方式中,由N2气体产生远程产生的基于氮的等离子体。在一些实施方式中,远程产生的基于氮的等离子体由含氮化合物产生,并且调节处理进一步包括将含氮化合物引入等离子体发生器以产生不含氟化物的基于氮的调节等离子体,以及将所述不含氟化物的基于氮的调节等离子体引入到远程等离子体处理室。在一些实施方式中,该方法进一步包括:将一个或多个额外的制造衬底顺序地引入到远程等离子体处理室,以及将每个额外的制造衬底暴露于远程产生的基于氮的等离子体。例如,在执行另一个调节处理之前,可以将至少三个制造衬底引入远程等离子体处理室。在一些实施方式中,远程产生的基于氮的等离子体相对于特征的内部选择性地抑制特征开口附近的成核。在一些这样的实施方式中,该方法还可以包括在特征中相对于特征开口附近在特征内部选择性地沉积钨或钴。在一些实施方式中,调节处理可以进一步包括在一个或多个室部件上形成含氟层。在一些实施方式中,调节处理可以进一步包括在一个或多个室部件上形成氟化铝层。
本公开的另一方面涉及通过将远程等离子体处理室暴露于原子氟来调节远程等离子体处理室的方法;在调节远程等离子体处理室之后,将具有一个或多个特征的衬底引入远程等离子体处理室,每个特征包括特征开口;将衬底暴露于氮自由基;选择性地抑制所述一个或多个特征的在所述特征开口处或特征开口附近的表面上的成核,从而形成每个特征中的差别抑制轮廓;以及根据差别抑制轮廓在特征中沉积材料。材料的例子包括钨和钴。在一些实施方式中,在远程等离子体处理室中沉积材料。在一些实施方式中,在与远程等离子体处理室分开的室中沉积材料。
具体而言,本发明的一些方面可以阐述如下:
1.一种方法,其包括:
在远程等离子体处理室上执行调节处理,所述调节处理包括:
将含氟气体引入等离子体发生器以产生含氟调节等离子体;
将所述含氟调节等离子体引入所述远程等离子体处理室,其中所述远程等离子体处理室包括衬底支撑件和喷头,并且所述喷头布置在所述衬底支撑件和所述等离子体发生器之间,并且其中在所述调节处理期间,在所述远程等离子体处理室中不存在制造衬底;
在执行所述调节处理之后,将制造衬底引入所述远程等离子体处理室;以及
将所述制造衬底暴露于远程产生的基于氮的等离子体。
2.根据条款1所述的方法,其中所述制造衬底包括待填充的一个或多个特征。
3.根据条款1所述的方法,其中所述远程产生的基于氮的等离子体由N2气体产生。
4.根据条款1所述的方法,其中所述远程产生的基于氮的等离子体由含氮化合物产生,并且所述调节处理进一步包括:将所述含氮化合物引入所述等离子体发生器以产生不含氟化物的基于氮的调节等离子体,以及将所述不含氟化物的基于氮的调节等离子体引入到所述远程等离子体处理室。
5.根据条款1至4中任一项所述的方法,其还包括:将一个或多个附加的制造衬底顺序地引入到所述远程等离子体处理室,以及将每个附加的制造衬底暴露于远程产生的基于氮的等离子体。
6.根据条款5所述的方法,其中在执行另一调节处理之前将至少三个制造衬底引入到所述远程等离子体处理室。
7.根据条款1-4中任一项所述的方法,其中所述远程产生的基于氮的等离子体相对于所述特征的内部选择性地抑制在特征开口附近的成核。
8.如条款6所述的方法,其还包括:在所述特征中,相对于所述特征开口附近,在所述特征的内部选择性地沉积钨或钴。
9.根据条款1-4中任一项所述的方法,其中所述调节处理包括在一个或多个室部件上形成含氟层。
10.根据条款1-4中任一项所述的方法,其中所述调节处理包括在一个或多个室部件上形成氟化铝层。
11.一种方法,其包括:
执行多个调节和处理循环以在远程等离子体处理室中顺序地处理多个衬底,其中每个循环包括:
a)执行调节处理,其包括:
i)将含氟气体引入等离子体发生器以产生含氟调节等离子体;以及
ii)将所述含氟调节等离子体引入所述远程等离子体处理室,其中所述远程等离子体处理室包括衬底支撑件和喷头,并且所述喷头布置在所述衬底支撑件和所述等离子体发生器之间,并且其中在所述调节处理期间,所述远程等离子体处理室中不存在制造衬底;以及
b)在所述调节处理之后且在重复所述调节处理之前,执行多个氮等离子体暴露处理,每个所述氮等离子体暴露处理包括:
i)将制造衬底引入所述远程等离子体处理室;
ii)将所述制造衬底暴露于远程产生的基于氮的等离子体;以及
iii)从所述远程等离子体处理室移除所述制造衬底。
12.根据条款11所述的方法,其中所述制造衬底包括待填充的一个或多个特征。
13.根据条款11所述的方法,其中所述远程产生的基于氮的等离子体是由N2气体产生的。
14.根据条款11-13中任一项所述的方法,其中所述远程产生的基于氮的等离子体由含氮化合物产生,并且所述调节处理还包括:将所述含氮化合物引入所述等离子体发生器以产生不含氟化物的基于氮的调节等离子体,以及将所述不含氟化物的基于氮的调节等离子体引入到所述远程等离子体处理室。
15.一种方法,其包括:
通过将远程等离子体处理室暴露于原子氟来调节所述远程等离子体处理室;
在调节所述远程等离子体处理室之后,将具有一个或多个特征的衬底引入所述远程等离子体处理室,每个特征包括特征开口;
将所述衬底暴露于氮自由基;
选择性地抑制所述一个或多个特征的在所述特征开口处或所述特征开口附近的表面上的成核,从而形成每个特征中的差别抑制轮廓;以及
根据所述差别抑制轮廓在所述特征中沉积材料。
16.如条款15所述的方法,其中所述材料是钨或钴。
17.根据条款15或16所述的方法,其中在所述远程等离子体处理室中沉积所述材料。
18.根据条款15或16所述的方法,其中在与所述远程等离子体处理室分开的室中沉积所述材料。
参考相应附图进一步详细描述所公开的实施方式的这些和其他方面。
附图说明
图1示出了可采用远程等离子体处理的特征填充序列的示意性表示的示例。
图2和图3示出了用于远程等离子体处理的装置的示意性示例。
图4是根据某些实施方式示出了远程等离子体处理的方法的示例的流程图。
图5是示出用于远程等离子体处理室的室调节(chamber conditioning)方法的示例的流程图。
图6是显示在各种室调节处理之后在衬底上的钨(W)生长延迟的图表。
图7是说明在基于氮的处理之前进行和不进行氟调节操作的情况下晶片间(wafer-to-wafer)的均匀性的图。
图8是示出在基于氮的处理之前进行和不进行氟调节操作的情况下晶片内均匀性的图。
图9是示出了在多个晶片的基于氮的处理之前进行和不进行周期性的氟调节操作的情况下晶片间均匀性的图。
图10是根据某些实施方式示出用材料填充特征的方法的示例的流程图。
图11A示出了多站装置的示意性示例。
图11B展示多室装置的示意性示例。
具体实施方式
在以下描述中,阐述了许多具体细节以便提供对所公开实施方式的透彻理解。可以在没有这些具体细节中的一些或全部的情况下实践所公开的实施方式。在其他情况下,众所周知的处理操作没有被详细描述,以免不必要地模糊所公开的实施方式。虽然所公开的实施方式将结合具体实施方式进行描述,但是应该理解的是,这不意图限制所公开的实施方式。
本文描述的方法、系统和装置涉及用于远程等离子体处理(特别是基于氮的远程等离子体处理)的室调节。本公开的某些实现方式涉及用于包括室调节的特征填充的远程等离子体抑制处理。然而,应当理解,本文所述的室调节方法可以用于各种其他应用。
用材料填充特征可能导致在填充特征内形成空隙和接缝。空隙是特征中的未填充的区域。例如,当沉积的材料在特征内形成夹点(pinch point),从而封闭特征内的未填充空间,防止反应物进入和沉积时,可以形成空隙。接缝是特征中的细长区域,接缝的特点是细长的空隙、一系列较小的空隙或晶界。
空隙和接缝形成有多种可能的原因。一个是在特征开口的悬垂部(overhang)。可以由先前层(例如,扩散阻挡层)或在填充材料的沉积的初始阶段中形成悬垂部。空隙或接缝形成的另一个原因是特征孔的弯曲或弓形的侧壁,这也被称为弓形特征。在具有悬垂部的特征中或在弓形特征中,待在开口附近要被填充的腔的横截面尺寸小于特征内部的横截面尺寸。特征内的收缩也为填充带来了挑战。
即使实现无空隙填充,接缝也可以穿过通孔、沟槽、线或其他特征的中心轴线。这是因为生长可能在侧壁处开始,直到晶粒(grain)随着从相对的侧壁生长而接触。该接缝可以允许俘获包括含氟化合物(如氢氟酸(HF))的杂质。在化学机械平坦化(CMP)期间,晶内偏析(coring)可以从接缝传播。根据各种实施方式,本文描述的方法可以减少或消除空隙和接缝形成。
本公开的实施方式涉及优先抑制在特征的部分处的膜生长。这种也可称为选择性抑制、选择性钝化、差别抑制或差别钝化的优先抑制包括抑制在特征的一部分处的成核,而不抑制在特征的其余部分处的成核(或在较小的程度上抑制成核)。例如,在一些实施方式中,特征优先在特征开口处被抑制,而在特征内部不抑制进一步的成核。在一些实施方式中,特征优先在特征收缩处被抑制,而在特征内进一步的成核不被抑制。
下文进一步描述选择性抑制,并且可涉及例如选择性地将特征的一部分暴露于等离子体的活化物质。在某些实施方式中,例如,特征开口选择性地暴露于由分子氮气(N2)产生的等离子体。如以下进一步讨论的,可以通过适当选择抑制化学、衬底偏置功率、等离子体功率、处理压力、暴露时间和其他处理参数中的一个或多个来形成特征中的期望的抑制轮廓。
图1示出了可采用远程等离子体处理的特征填充序列的示意性表示的示例。在图1的示例中,示出了用于制造字线(WL)的钨(W)沉积。WL结构100设有衬层(liner layer)表面102。衬层表面102可以是例如氮化钛(TiN)或氮化钨(WN)。接下来,在整个结构100中,W成核层104共形地沉积在衬层102上。注意,在一些工艺中,可以省略沉积共形成核层的这个操作。接下来,将该结构暴露于抑制化学物质以选择性地抑制结构100的部分106。在该示例中,超出柱收缩部151的部分104a不被抑制。如图中所示,抑制涉及暴露于由诸如N2、形成气体(N2+H2)或NH3之类的气体产生的远程产生的等离子体。
接下来,根据抑制轮廓执行化学气相沉积(CVD)工艺以选择性地沉积钨:块状钨108优先沉积在成核层的未被抑制部分104a上使得在收缩部后面的难以填充的区域被填充,而不沉积在被抑制部分106上。特征的其余部分然后被填充块状钨110。用于选择性沉积钨的相同的CVD工艺可以被用于特征的剩余部分,使用不同化学物质或工艺条件和/或在成核层沉积之后进行的不同CVD工艺可以被使用。
图1是可以使用基于氮的远程等离子体的特征填充过程的示例。其他实例可以在美国专利公开No.20130171822、No.20160056077和No.20160190008以及美国专利No.9,349,637中找到,其通过引用并入本文。具体而言,为了描述使用基于氮的远程等离子体的钨特征填充的方法,美国专利公开No.2013017182和No.20160190008通过引用并入,并且为了描述使用基于氮的远程等离子体的钴特征填充的方法,美国专利公开No.20160056077和美国专利No.9,349,637通过引用并入本文。本公开的实施方式包括使用基于氮的远程抑制处理的这些和其他材料的特征填充。
图2和图3示出了用于远程等离子体处理的装置的示意性示例。首先,在图2中,装置200包括具有基座220的室218、喷头214和远程等离子体发生器206。在一些实施方式中,该装置可以包括原位等离子体发生器(未示出)。装置200还包括用于接收输入和/或供应控制信号的系统控制器222。系统控制器在下面进一步描述。
将气体(例如基于氮的气体、含氟气体、惰性气体(诸如氩气、氦气等)等)作为来自一个或多个源202的处理气体供应到远程等离子体发生器206,一个或多个源202可以是一个或多个储罐。可以使用任何合适的远程等离子体发生器来在将处理气体引入室218之前激活处理气体。例如,可以使用远程等离子体清洁(RPC)单元,例如,全部可从马萨诸塞州安多弗的MKS Instruments获得的 i Type AX7670、 e TypeAX7680、 ex Type AX7685、 hf-s Type AX7645。RPC装置通常是使用供应的处理气体产生弱离子化等离子体的独立装置。
在某些实施方式中,处理气体通过连接线208从远程等离子体发生器206流入室218,其中混合物通过喷头214分配。在某些实施方式中,一个或多个惰性气体源212可以连接到混合碗210,然后在远程等离子体发生器206的下游与等离子体物质混合。
室218可以包括用于感测各种工艺参数(例如沉积或蚀刻的程度、浓度、压力、温度等)的传感器224。传感器224可以在处理期间向系统控制器222提供关于室条件的信息。传感器224的示例包括质量流量控制器、压力传感器、热电偶等。传感器224还可以包括红外检测器或光学检测器以监测室中气体的存在和控制措施。
各种挥发性物质可以从室218中排出。而且,在室218中以某些预定的压力水平进行处理。可以是真空泵的真空出口226可以用于根据情况控制压力并将气体排出。
远程等离子体发生器中产生的等离子体物质可以包括离子物质和中性物质(例如原子和自由基)。喷头214可以有效地阻止离子物质的流动,使得只有中性物质进入室218。喷头可以是铝(Al)喷头或含铝喷头。
图3示出了根据某些公开的实施方式的用于远程等离子体处理的另一示例装置。图3示意性地示出了适于实施本文的某些实施方式的电感耦合等离子体蚀刻装置390的横截面图,其实例是由加利福尼亚州弗里蒙特的Lam Research Corp.生产的 MaxExtremeFillTM反应器。尽管在此描述了ICP反应器,但是在一些实施方式中,应该理解的是也可以使用电容耦合等离子体反应器。
电感耦合等离子体装置390包括由室壁391和用于点燃等离子体的圆顶392在结构上限定的整个处理室。室壁391可以由不锈钢或Al制成。用于等离子体产生的元件包括线圈394,线圈394定位在圆顶392周围并且在喷头395上方。在一些实施方式中,不使用线圈。线圈394由导电材料制成并且包括至少一个完整的匝。图3所示的线圈394的示例包括三匝。线圈394的横截面用符号表示,并且具有“X”的线圈旋转地延伸到页面中,而具有“●”的线圈旋转地延伸出页面。用于等离子体生成的元件还包括被配置为向线圈394供应RF功率的RF电源341。一般而言,RF电源341通过连接345连接到匹配电路339。匹配电路339通过连接333连接到线圈394。以这种方式,RF电源341连接到线圈394。将射频电源从RF电源341供应到线圈394,以使RF电流流过线圈394。流过线圈394的RF电流在线圈494周围产生电磁场。电磁场在圆顶392内产生电感耦合等离子体,从而产生离子物质和中性物质(例如自由基)。
RF电源341可以提供任何合适频率的RF功率。在一些实施方式中,RF电源341可以被配置为彼此独立地控制高频和低频RF功率源。
喷头395朝向衬底397分配处理气体。在图3所示的实施方式中,衬底397位于喷头395的下方并且被示出为搁置在底座396上。喷头395可以具有任何合适的形状,并且可以具有用于将处理气体分配到衬底397的任何适当数量和布置的端口。如图2的示例中那样,喷头395可以用作离子过滤器,从而只允许中性物质到达衬底397。喷头可以是Al喷头或含铝喷头。基座396构造成接收并保持衬底397。
气体(例如,含氮气体、惰性气体或含氟气体)可以通过位于圆顶中的一个或多个主气流入口393和/或通过一个或多个侧气流入口(未示出))流入处理室。类似地,虽然未明确示出,但是可以使用类似的气流入口将处理气体供应到电容耦合等离子体处理室。在用于电容耦合等离子体处理室的一些实施方式中,气体可以通过喷头经由喷头的中心和/或边缘注射。可使用真空泵(例如,一级或两级机械干泵和/或涡轮分子泵398a)将处理气体抽出处理室391并保持处理室391内的压力。阀控制管道可用于将真空泵流体连接到处理室391,以选择性地控制由真空泵提供的真空环境的施加。这可以在操作等离子体处理期间采用闭环控制流量限制装置(例如节流阀(未示出)或摆动阀(未示出))来完成。同样地,也可以采用真空泵和与电容耦合等离子体处理室连接的阀控制的流体连接。挥发性副产物可以通过端口398b从处理室391中去除。装置390还包括用于接收输入和/或提供控制信号的系统控制器399。系统控制器在下面进一步描述。
图4根据某些实施方式图示了表示远程等离子体处理的方法400的一般处理流程图。首先,调节(condition)室。框401。下面进一步描述调节室的方法。上面关于图2和3描述了室的示例。在图4的实例中,室没有待处理的晶片或其它衬底。在一些实施方式中,不需要另外处理的虚设衬底(也称为测试衬底)可以被定位在室中。这里使用术语“制造衬底”来将正在经历处理以制造成器件(例如半导体逻辑和存储器件)的晶片和其他衬底与虚设衬底或测试衬底区分开来。
然后将第n个衬底定位在室中(框403),在室调节后的第一衬底为n=1。如下面进一步描述的,可以每隔nmax个衬底执行室调节。然后将第n个衬底暴露于远程产生的基于氮的等离子体。(框405)。基于氮的等离子体是其中主要化学反应性物质是氮(通常以氮自由基的形式存在)的等离子体。其他等离子体物质(例如,惰性气体物质)可以存在。在一些实现方式中,除了氮自由基之外,在产生等离子体的气体中不存在非惰性组分,除了存在痕量的非惰性组分以外。在一些实施方式中,基于氮的等离子体是无卤素的。在许多实施方式中,基于氮的等离子体由N2气体形成,但是也可以使用其他氮气体,例如形成气体、NH3或N2H2。将第n个衬底从室中取出。(框407)。应该注意的是,在一些实施方式中,可以在第n个衬底在室中的同时执行各种其他处理操作。这些包括在衬底上的材料的沉积或沉积材料的蚀刻。
在判定框409,确定是否n=nmax。如果不是,则该处理返回到框403,其中将另一衬底放置在室中。如果n=nmax,则在判定框411,确定是否要处理更多的衬底。如果是,则该处理返回到框401以进行室调节。否则,处理完成,运行中的所有衬底都被处理。
室调节包括将室暴露于远程产生的含氟等离子体。诸如三氟化氮(NF3)、氟(F2)、六氟化硫(SF6)或四氟化碳(CF4)之类的含氟气体可以提供给远程等离子体发生器。氟物质,通常是中性物质如原子氟,调节室。在一些实施方式中,调节室的等离子体不具有离子物质。由惰性气体产生的物质可能存在。
在一些实施方式中,室调节处理包括在暴露于含氟等离子体之前和/或之后暴露于远程产生的不含氟的氮等离子体。图5是示出包括这些操作的用于远程等离子体处理室的室调节的方法500的示例的流程图。将该室暴露于由N2气体远程产生的等离子体。框501。尽管在图5中提供了N2作为示例,但是在图5的框501和505中使用了用于衬底的远程的基于氮的等离子体处理的相同气体(例如,图4中的框405)。因此在其他实施方式中,这些框中的等离子体可以如上所述由其他含氮气体产生。接下来,将室暴露于由含F气体远程产生的等离子体。框503。然后将室暴露于远程产生的N2等离子体。框505。通常,框505的持续时间比框501或503的持续时间短。方法500中的操作在下面参照图6-8进一步描述。
如以上关于图1所指示的,在一些实施方式中,使用N2等离子体来抑制钨或钴生长。图6是显示在各种室调节处理之后在衬底上的钨(W)生长延迟的图表。远程等离子体处理室在不存在衬底的情况下进行调节,随后在远程等离子体处理室中将衬底暴露于N2远程等离子体(即,由N2气体远程产生的等离子体),随后将衬底暴露于钨沉积化学物质例如WF6/H2。测量钨生长延迟,即N2等离子体处理效率的度量。
进行了三种室调节方案:(1)N2/NF3,(2)N2/NF3/N2(短),和(3)仅N2。仅N2处理导致非常低的增长延迟。相比之下,NF3处理显著增加了生长延迟(从不到10s到超过100s)。
不受特定理论的约束,认为氟与室中的部件反应并在室中的该部件上形成钝化层,例如氟化铝(AlF3)。在没有这种钝化层的情况下,在基于氮的远程等离子体处理中使用的氮自由基(N*)可能由于与喷头相互作用以及在一些情况下与室的其他部件相互作用而丧失。回到图6,在NF3之后的短的N2等离子体降低了N2等离子体功效,如由W生长延迟所测量的。然而,在一些实施方式中,在NF3之后的短的N2等离子体可能有助于使室返回到如在N2等离子体处理期间经历的那些条件。晶片间均匀性可以得到改善。
根据各种实施方式,室调节可钝化室中的喷头、室壁和其他硬件部件中的一个或多个。这些组件的表面可能有助于氮自由基损失速率,这导致晶片间不均匀性以及晶片内不均匀性。在随后的基于氮的远程产生的等离子体处理操作中,结果是更均匀和更稳定的氮自由基通量。此外,通过控制氮自由基的损失速率,可以将调节与其他结果有效的变量(例如时间、功率和温度)一起用于在氮抑制处理中控制衬底上的抑制总量。
虽然上述室调节使用远程等离子,但在一些实施方式中,调节处理可在基于氮的远程等离子体处理操作之前采用非等离子体处理。在一些其它实施方式中,调节处理可在基于氮的远程等离子体处理操作之前采用非等离子体处理。例如,在使用氮自由基处理之前,可以使用含氟热沉积工艺在室部件上沉积钝化层。钝化层可以是限制N自由基损失的含氟层。在一些实施方式中,如果调节处理不影响晶片或者如果室调节处理可以用于处理晶片,则晶片可以在调节处理期间存在于室中。
本文所述的工艺提供了改善的晶片间均匀性和改进的晶片内(中心到边缘)均匀性。图7是说明在基于氮的处理之前进行和不进行氟调节操作的情况下的晶片间均匀性的图。处理五个晶片(远程产生的N2等离子体+随后的钨沉积)以产生曲线701和703中的每一个曲线。曲线701表示在不进行含氟(NF3)调节的情况下处理的五个晶片的钨生长延迟。曲线703代表在进行含氟(NF3)调节后处理的五个晶片的钨生长延迟。未经NF3调节的晶片的晶片间不均匀性(WtW Nu%)为18%,而在NF3调节后处理的晶片的晶片间不均匀性仅为2%。
图8是示出在基于氮的处理之前进行和不进行氟调节操作的情况下的晶片内均匀性的图。曲线801表示在不进行含氟(NF3)调节的情况下处理的300mm晶片的钨厚度。曲线803表示在进行含氟(NF3)调节之后加工的300mm晶片上的钨厚度。晶片内不均匀性(WiWNu%)对于在不进行NF3调节的情况下处理的晶片而言是6%,对于在NF3调节之后处理的晶片而言仅为3%。
返回参照图4,可以每隔nmax个晶片调节室一次。适当的nmax将取决于室、特定的调节处理、特定的处理过程,以及取决于晶片间不均匀性和晶片内不均匀性的容差。在一些实施方式中,可以在每个晶片之后(使得nmax是1)调节室。更通常地,室可以在多个晶片(例如,每3、4、5、7、10、15个晶片等)的远程等离子体处理之后仅被调节一次。图9是示出了在多个晶片的基于氮的处理之前进行和不进行周期性的氟调节操作的情况下晶片间均匀性的图。处理25个晶片(远程产生的N2等离子体+随后的钨沉积)以产生曲线901和903中的每一个。曲线901表示在单个含氟(NF3)调节之后处理的二十五个晶片的钨生长延迟。曲线903表示在每五片晶片进行含氟(NF3)调节的情况下处理的二十五个晶片的钨生长延迟。对于在没有进行周期性NF3调节的情况下处理的晶片,晶片间不均匀性(WtW Nu%)为36.5%,对于进行周期性NF3调节的情况下处理的晶片,晶片间不均匀性仅为5.7%。
图9的曲线901还提供了证据,即在一些实施方式中,不结束用含氟的远程产生的等离子体进行的调节处理是有用的。这是因为从晶片1到晶片7的钨生长延迟有一个急剧的下降,这表明在室部件上存在的一定量的氟化物会消耗N*自由基,从而引起该处理中的漂移(drift)。通过用短的N2等离子体终止室调节,可以减轻这种漂移效应。
以上参考图2和3描述了可以根据本文所述的方法进行调节的室的实例。如上文关于图1所述,可使用远程产生的基于氮的等离子体来选择性地抑制特征中的钨、钴或其他材料的生长。图10是示出根据某些实施方式的用材料填充特征的方法的示例的流程图。本文描述的方法可以用于填充诸如钨通孔之类的竖直特征以及诸如竖直NAND(VNAND)字线之类的水平特征。这些方法可以用于保形填充和自下而上填充/自内向外填充。应用实例包括逻辑和存储器接触填充、DRAM掩埋字线填充、竖直集成存储器栅极和字线填充、以及使用硅通孔的3-D集成。
首先,在被调节的室中执行远程等离子体处理以选择性地抑制特征中的沉积。(框1001)。在如上所述用含氟化合物调节的室中进行框1001。在一些实施方式中,室部件包括其表面上的含氟钝化层(例如,AlF3)。该操作在该特征中创建“抑制轮廓”,其中在特征的一个或多个位置处相对于一个或多个其他位置优先地抑制沉积。
接下来,根据抑制轮廓进行材料的选择性沉积。框1003。框1003可以包括一个或多个化学气相沉积(CVD)和/或原子层沉积(ALD)工艺,包括热CVD工艺、等离子体增强CVD工艺和/或ALD工艺。沉积是选择性的,因为材料优先在特征的较小部分和非抑制部分上生长。在一些实施方式中,框1003涉及选择性地将材料沉积在特征的底部或内部部分中,直到达到或经过收缩部。在根据抑制轮廓进行选择性沉积之后,该方法可以在框1005处用填充特征的其余部分来继续。在一些实施方式中,框1005可以涉及继续在框1003处开始的CVD沉积工艺。这样的CVD工艺可以导致沉积在特征的被抑制部分上,其中在被抑制部分上的成核比在特征的非抑制部分上的成核更慢地发生。在一些实施方式中,框1005可涉及在特征的至少被抑制部分上沉积成核层。
对于钨沉积,可以使用钨前体和还原剂。前体的实例包括六氟化钨(WF6)、六氯化钨(WCl6)、五氯化钨(WCl5)、有机金属前体和不含氟的前体(例如,MDNOW(甲基环戊二烯基-二羰基亚硝酰基-钨)和EDNOW(乙基环戊二烯基-二羰基亚硝酰基-钨))。另外,虽然氢可以用作沉积中的还原剂,但是除了氢之外或代替氢,也可以使用包括硅烷的其他还原剂。在另一个实施方式中,在有还原剂或没有还原剂的情况下,可以使用六羰基钨(W(CO)6)。在CVD技术中,将WF6和H2或其他反应物同时引入到反应室中。这会产生混合反应物气体的连续化学反应,从而在衬底表面上连续形成钨膜。在美国专利申请No.12/202,126、No.12/755,248和No.12/755,259中描述了使用CVD沉积钨膜的方法,为了描述钨沉积工艺,这些专利申请全部内容通过引用并入本文。根据各种实施方式,本文描述的方法不限于填充特征的特定方法,而是可以包括任何适当的沉积技术。在某些实施方式中,使用PNL技术沉积成核层。在PNL技术中,还原剂、任选的净化气体和含钨前体的脉冲可以顺序地注入反应室以及从其中清除。该处理以循环方式重复,直到达到所需的厚度。PNL广泛地体现了连续添加用于在半导体衬底上反应的反应物的任何循环过程,包括ALD技术。用于沉积钨成核层的PNL技术在美国专利6,635,965、7,589,017、7,141,494、7,772,114、8,058,170和8,623,733以及美国专利公开No.20100267230中描述,为了描述钨沉积工艺的目的,这些专利文献全部内容通过引用并入本文
在一些实施方式中,框1005包括重复框1001和1003一次或多次。此外,在一些实施方式中,框1005可以包括非保形蚀刻,如美国专利公开No.20130302980中所描述的,为了描述填充工艺中的非共形蚀刻的目的,其通过引用全部并入本文。
美国专利No.9,349,637中描述了可用于钴沉积的前体,为了描述钴特征填充的目的,其全部内容通过引用并入本文。
其他材料的CVD和ALD沉积可以包括使用任何适当的前体。例如,氮化钨的CVD和ALD沉积可以包括使用下面进一步描述的含卤素化合物和不含卤素的含钨化合物和含氮化合物。含钛层的CVD和ALD沉积可以包括使用包含钛的前体,其示例包括四(二甲基氨基)钛(TDMAT)和氯化钛(TiCl4)以及合适的话一种或多种共反应物。含钽层的CVD和ALD沉积可以包括使用诸如五(二甲基氨基钽)(PDMAT)和TaF5以及如果合适的话一种或多种共反应物之类的前体。含钴层的CVD和ALD沉积可以包括使用前体,该前体例如三(2,2,6,6-四甲基-3,5-庚二酮)钴(Tris(2,2,6,6-tetramethyl-3,5-heptanedionato)cobalt)、双(环戊二烯基)钴和二钴六羰基丁基乙炔以及一种或多种共反应物。含镍层的CVD和ALD沉积可以包括使用诸如环戊二烯基烯丙基镍(CpAllylNi)和MeCp2Ni之类的前体。共反应物的实例可以包括N2,NH3、N2H4、N2H6、SiH4、Si3H6、B2H6、H2和AlCl3
返回到图10,根据各种实施方式,框1003中的沉积可以与框1001的远程等离子体处理发生在相同或不同的室中。在后者的情况下,晶片或其他衬底在框1003之前被转移到另一室。此外,在一些实施方式中,可以在多站室的相同站或不同站中执行框1001和1003。
图11A示出了多站装置1100的示例。装置1100包括处理室1101和用于保持待处理的衬底和已经完成处理的衬底的一个或多个盒1103(例如正面开口标准箱)。室1101可具有多个站,例如两个站、三个站、四个站、五个站、六个站、七个站、八个站、十个站或任何其他数目的站。通常由处理操作的复杂性和可以在共享环境中执行的这些操作的数量来确定站的数量。图11A示出了具有标记为1111至1116的六个站的处理室1101。具有单个处理室1103的多站装置1100中的所有站暴露于相同的压力环境。然而,每个站可以具有指定的反应物分配系统以及由专用的等离子体发生器和基座(例如如图2和3所示的等离子体发生器和基座)实现的本地等离子体和加热条件。
待处理的衬底从盒1103中的一个通过装载锁装置1105装载到站1111中。外部机械手1107可以用于将衬底从盒1103转移到装载锁1105中。在所描绘的实施方式中,存在两个单独的装载锁1105。这些通常配备有衬底传送装置以(一旦压力平衡到对应于处理室1103的内部环境的水平)将衬底从装载锁1105移动到站1111以及从站1116移动返回到装载锁1105中以从处理室1103移除。内部机械手1109用于在处理站1111-1116之间传送衬底并且在处理期间支撑衬底中的一些,如下面所描述的。
在某些实施方式中,可以保留一个或多个站来加热衬底。这样的站可以具有位于衬底上方的加热灯(未示出)和/或支撑衬底的加热基座(类似于图2和3所示的)。例如,站1111可以从装载锁接收衬底并且用于在被进一步处理之前预热衬底。其他站可用于填充高深宽比特征,包括远程等离子体处理、沉积或选择性去除操作。
在衬底被加热或以其他方式在站1111处理之后,衬底被连续地移动到处理站1112、1113、1114、1115和1116,处理站1112、1113、1114、1115和1116可以顺序地布置或可以不顺序地布置。多站装置1100被配置为使得所有站暴露于相同的压力环境。这样,衬底从站1111传送到室1101中的其它站而不需要诸如装载锁之类的传送口。
内部机械手1109被用于在站1111-1116之间传送衬底。在图11的示例中,机械手1109包括具有用于每个处理站的至少一个臂的鳍(示出为在站之间延伸)。可以使用任何合适的传送机构。
在某些实施方式中,可以使用一个或多个站来用含钨材料填充特征。例如,站1112可以用于初始沉积操作,站1113可以用于选择性抑制操作,并且站1114用于选择性沉积操作。在使用沉积-去除循环的实施方式中,站1114可以用于另一个沉积操作,并且站1115可以用于选择性去除操作。站1116可以用于最后的填充操作。应该理解的是,可以使用针对具体处理(例如,加热、抑制、沉积和去除)的站指定的任何配置。
图11B是根据某些实施方式的可使用的多室装置1120的示意图。如图所示,装置1120具有三个单独的室1121、1123和1125。这些室中的每一个都示出为具有两个基座。应当理解的是,装置可以具有任何数量(例如,一个、两个、三个、四个、五个、六个等)的室,并且每个室可以具有任何数量(例如,一个、两个、三个、四个、五个、六个等)的站。每个室1121-1125具有其自身的压力环境,该压力环境不在室之间共享。每个室可以具有一个或多个相应的传输端口(例如装载锁)。该装置还可以具有用于在一个或多个盒1129的传送端口之间传送衬底的共享的衬底搬运机械手1127。
如上所述,单独的室可以用于远程等离子体处理和沉积含钨材料和/或在随后的操作中选择性去除这些沉积材料。将操作分离到不同的室中可以通过在每个室中保持相同的环境条件来帮助显著提高处理速度。换句话说,室不需要将其环境从用于远程等离子体处理的条件改变为用于沉积或选择性去除的条件以及改变回来,这可能涉及不同的反应物、不同的温度、压力和其他工艺参数。在某些实施方式中,在两个或两个以上不同的室之间传输部分制造的半导体衬底比改变这些室的环境条件更快。
回到图2,在某些实施方式中,采用系统控制器222来控制工艺参数。类似地,在图3中,可以使用系统控制器399来控制工艺参数。
在一些实施方式中,系统控制器(例如,系统控制器222或系统控制器399,其可包括一个或多个物理或逻辑控制器)控制处理室的一些或全部操作。系统控制器可以包括一个或多个存储器设备和一个或多个处理器。在一些实施方式中,当执行所公开的实施方式时,装置(例如,装置200或装置390)包括用于控制流速和持续时间的开关系统(switchingsystem)。在一些实施方式中,该装置可具有高达约500ms或高达约750ms的开关时间。开关时间可能取决于流动化学物质、选择的配方、反应器架构和其他因素。
在一些实现方式中,系统控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
概括地说,系统控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,系统控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能度量,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,系统控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,系统控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、CVD室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
上文所述的装置/处理可结合光刻图案化工具或工艺使用,例如用于制备或制造半导体器件、显示器、LED、光伏板等。典型地,虽然不一定,但是这样的工具/处理将在共同的制造设施中执行或使用。对膜进行光刻图案化通常包括以下步骤中的一些或全部步骤,每个步骤使用许多可能的工具实现:(1)使用旋涂或喷涂工具在工件(即衬底)上涂覆光致抗蚀剂;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)用诸如晶片步进机之类的工具将光致抗蚀剂暴露于可见光或UV光或X射线光;(4)使抗蚀剂显影以选择性地去除抗蚀剂,从而使用诸如湿台之类的工具使其图案化;(5)通过使用干式蚀刻工具或等离子体辅助蚀刻工具将抗蚀剂图案转移到底层膜或工件中;和(6)使用诸如RF或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
结论
虽然为了清楚理解的目的,前述实施方式已经在一些细节进行了描述,但明显的是,某些变化和修改可在所附权利要求的范围内实施。应该注意的是,实现所公开的实施方式的工艺、系统和装置的许多替代方式。因此,本发明的实施方式应被认为是说明性的而不是限制性的,并且所公开的实施方式并不限于本文所给出的细节。

Claims (10)

1.一种方法,其包括:
在远程等离子体处理室上执行调节处理,所述调节处理包括:
将含氟气体引入等离子体发生器以产生含氟调节等离子体;
将所述含氟调节等离子体引入所述远程等离子体处理室,其中所述远程等离子体处理室包括衬底支撑件和喷头,并且所述喷头布置在所述衬底支撑件和所述等离子体发生器之间,并且其中在所述调节处理期间,在所述远程等离子体处理室中不存在制造衬底;
在执行所述调节处理之后,将制造衬底引入所述远程等离子体处理室;以及
将所述制造衬底暴露于远程产生的基于氮的等离子体。
2.根据权利要求1所述的方法,其中所述制造衬底包括待填充的一个或多个特征。
3.根据权利要求1所述的方法,其中所述远程产生的基于氮的等离子体由N2气体产生。
4.根据权利要求1所述的方法,其中所述远程产生的基于氮的等离子体由含氮化合物产生,并且所述调节处理进一步包括:将所述含氮化合物引入所述等离子体发生器以产生不含氟化物的基于氮的调节等离子体,以及将所述不含氟化物的基于氮的调节等离子体引入到所述远程等离子体处理室。
5.根据权利要求1至4中任一项所述的方法,其还包括:将一个或多个附加的制造衬底顺序地引入到所述远程等离子体处理室,以及将每个附加的制造衬底暴露于远程产生的基于氮的等离子体。
6.根据权利要求5所述的方法,其中在执行另一调节处理之前将至少三个制造衬底引入到所述远程等离子体处理室。
7.根据权利要求1-4中任一项所述的方法,其中所述远程产生的基于氮的等离子体相对于所述特征的内部选择性地抑制在特征开口附近的成核。
8.如权利要求6所述的方法,其还包括:在所述特征中,相对于所述特征开口附近,在所述特征的内部选择性地沉积钨或钴。
9.根据权利要求1-4中任一项所述的方法,其中所述调节处理包括在一个或多个室部件上形成含氟层。
10.根据权利要求1-4中任一项所述的方法,其中所述调节处理包括在一个或多个室部件上形成氟化铝层。
CN201711372325.2A 2016-12-19 2017-12-19 用于远程等离子体处理的室调节 Active CN108461374B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110637340.5A CN113594017A (zh) 2016-12-19 2017-12-19 用于远程等离子体处理的室调节

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/384,175 2016-12-19
US15/384,175 US10211099B2 (en) 2016-12-19 2016-12-19 Chamber conditioning for remote plasma process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202110637340.5A Division CN113594017A (zh) 2016-12-19 2017-12-19 用于远程等离子体处理的室调节

Publications (2)

Publication Number Publication Date
CN108461374A true CN108461374A (zh) 2018-08-28
CN108461374B CN108461374B (zh) 2021-06-29

Family

ID=62561964

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201711372325.2A Active CN108461374B (zh) 2016-12-19 2017-12-19 用于远程等离子体处理的室调节
CN202110637340.5A Pending CN113594017A (zh) 2016-12-19 2017-12-19 用于远程等离子体处理的室调节

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202110637340.5A Pending CN113594017A (zh) 2016-12-19 2017-12-19 用于远程等离子体处理的室调节

Country Status (4)

Country Link
US (1) US10211099B2 (zh)
KR (2) KR102496626B1 (zh)
CN (2) CN108461374B (zh)
TW (1) TWI769204B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022232997A1 (en) * 2021-05-06 2022-11-10 Applied Materials, Inc. Processing system and methods to improve productivity of void-free and seam-free tungsten gapfill process

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP6273257B2 (ja) 2012-03-27 2018-01-31 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステンによるフィーチャ充填
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CN110923659B (zh) * 2018-09-20 2022-07-08 东京毅力科创株式会社 成膜方法及基板处理系统
JP2022510428A (ja) 2018-12-05 2022-01-26 ラム リサーチ コーポレーション ボイドフリーの低応力充填
JP2022552845A (ja) * 2019-10-23 2022-12-20 ラム リサーチ コーポレーション 半導体を製造するためのレシピの決定
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1798867A (zh) * 2003-01-09 2006-07-05 微米技术股份有限公司 沉积室表面增强和最后得到的沉积室
CN101313085A (zh) * 2005-08-02 2008-11-26 麻省理工学院 除去化学气相沉积(cvd)腔内的表面沉积物和钝化内表面的方法
CN102892922A (zh) * 2010-03-17 2013-01-23 应用材料公司 用于远程等离子体源辅助的含硅膜沉积的方法和装置
CN104272440A (zh) * 2012-03-27 2015-01-07 诺发系统公司 用核化抑制的钨特征填充
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber

Family Cites Families (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
EP1069208A3 (en) 1990-01-08 2003-05-21 Lsi Logic Corporation Method of diffusing gas into a CVD chamber and gas diffusing means
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
JPH05226280A (ja) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
JP3216345B2 (ja) 1993-04-06 2001-10-09 ソニー株式会社 半導体装置及びその作製方法
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP2881371B2 (ja) 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
JP3014019B2 (ja) 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100323874B1 (ko) 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
EP1290746B1 (en) 2000-05-18 2012-04-25 Corning Incorporated High performance solid electrolyte fuel cells
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP2002016066A (ja) 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
JP2002343787A (ja) * 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
DE10130340A1 (de) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US20030203123A1 (en) * 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
EP1608791A2 (en) 2002-12-23 2005-12-28 Applied Thin Films, Inc. Aluminum phosphate coatings
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4256763B2 (ja) * 2003-11-19 2009-04-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
EP1774548A1 (en) 2004-07-23 2007-04-18 Sundew Technologies, LLP Capacitors with high energy storage density and low esr
EP1784690A2 (en) 2004-09-01 2007-05-16 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus with cooling means
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20060189171A1 (en) 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
JP2009503905A (ja) * 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー 表面沈着物の除去および化学蒸着(cvd)チャンバーの内部の内部表面の不動態化方法
US7977149B2 (en) 2005-08-31 2011-07-12 Sumitomo Chemical Company, Limited Transistor, organic semiconductor device, and method for manufacture of the transistor or device
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
EP1989335A4 (en) 2005-11-23 2010-04-07 Surface Comb Inc SURFACE TREATMENT OF METAL OBJECTS IN AN ATMOSPHERIC OVEN
JP4967354B2 (ja) 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
KR100757418B1 (ko) 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
US20080118663A1 (en) 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US20080174021A1 (en) 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
US7691755B2 (en) 2007-05-15 2010-04-06 Applied Materials, Inc. Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
CN102969240B (zh) 2007-11-21 2016-11-09 朗姆研究公司 控制对含钨层的蚀刻微负载的方法
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US7968439B2 (en) 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
WO2011062560A1 (en) 2009-11-19 2011-05-26 National University Of Singapore Method for producing t cell receptor-like monoclonal antibodies and uses thereof
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
TW201210058A (en) 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
JP6273257B2 (ja) 2012-03-27 2018-01-31 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステンによるフィーチャ充填
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US10777438B2 (en) 2013-10-18 2020-09-15 Brooks Automation, Inc. Processing apparatus
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
US9653352B2 (en) 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1798867A (zh) * 2003-01-09 2006-07-05 微米技术股份有限公司 沉积室表面增强和最后得到的沉积室
CN101313085A (zh) * 2005-08-02 2008-11-26 麻省理工学院 除去化学气相沉积(cvd)腔内的表面沉积物和钝化内表面的方法
CN102892922A (zh) * 2010-03-17 2013-01-23 应用材料公司 用于远程等离子体源辅助的含硅膜沉积的方法和装置
CN104272440A (zh) * 2012-03-27 2015-01-07 诺发系统公司 用核化抑制的钨特征填充
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022232997A1 (en) * 2021-05-06 2022-11-10 Applied Materials, Inc. Processing system and methods to improve productivity of void-free and seam-free tungsten gapfill process

Also Published As

Publication number Publication date
KR102496626B1 (ko) 2023-02-03
KR20180071174A (ko) 2018-06-27
US20180174901A1 (en) 2018-06-21
CN113594017A (zh) 2021-11-02
KR20230021690A (ko) 2023-02-14
TWI769204B (zh) 2022-07-01
US10211099B2 (en) 2019-02-19
TW202236363A (zh) 2022-09-16
TW201837969A (zh) 2018-10-16
KR102609125B1 (ko) 2023-12-01
CN108461374B (zh) 2021-06-29

Similar Documents

Publication Publication Date Title
CN108461374A (zh) 用于远程等离子体处理的室调节
TWI831756B (zh) 形成金屬薄膜的方法及儀器
JP6971539B2 (ja) フッ素含有量が少ないタングステン膜
US9349637B2 (en) Method for void-free cobalt gap fill
US12014928B2 (en) Multi-layer feature fill
CN106169440A (zh) 用多阶段核化抑制填充特征
CN105097446A (zh) 使用氯化钨前体制备钨和氮化钨薄膜的方法
US11972952B2 (en) Atomic layer deposition on 3D NAND structures
US10438847B2 (en) Manganese barrier and adhesion layers for cobalt
US12002679B2 (en) High step coverage tungsten deposition
US20220364232A1 (en) Tungsten deposition
JP2022546404A (ja) 金属の堆積
US20220186370A1 (en) Rapid flush purging during atomic layer deposition
WO2023038905A1 (en) Process gas ramp during semiconductor processing

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant