KR20230021690A - 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝 - Google Patents

리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝 Download PDF

Info

Publication number
KR20230021690A
KR20230021690A KR1020230013752A KR20230013752A KR20230021690A KR 20230021690 A KR20230021690 A KR 20230021690A KR 1020230013752 A KR1020230013752 A KR 1020230013752A KR 20230013752 A KR20230013752 A KR 20230013752A KR 20230021690 A KR20230021690 A KR 20230021690A
Authority
KR
South Korea
Prior art keywords
plasma
fluorine
conditioning
chamber
nitrogen
Prior art date
Application number
KR1020230013752A
Other languages
English (en)
Other versions
KR102609125B1 (ko
Inventor
더기 왕
강 리우
아난드 찬드라쉐카
충-한 양
존 더블유. 그리스올드
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230021690A publication Critical patent/KR20230021690A/ko
Application granted granted Critical
Publication of KR102609125B1 publication Critical patent/KR102609125B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0254Physical treatment to alter the texture of the surface, e.g. scratching or polishing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

리모트 플라즈마 프로세스, 특히 리모트 질소계 플라즈마 프로세스들을 위한 챔버 컨디셔닝과 관련된 방법들, 시스템들 및 장치가 본 명세서에 기술된다. 본 개시의 특정한 구현예들은 챔버 컨디셔닝을 포함하는 피처 충진을 위한 리모트 플라즈마 억제 프로세스들에 관한 것이다. 본 개시의 실시예들은 반도체 웨이퍼들과 같은 기판들의 질소계 리모트 플라즈마 프로세싱 전에 불소 종에 리모트 플라즈마 프로세싱 챔버들을 노출하는 것에 관한 것이다. 웨이퍼-내 균일도 및 웨이퍼-대-웨이퍼 균일도가 개선된다.

Description

리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝{CHAMBER CONDITIONING FOR REMOTE PLASMA PROCESS}
다양한 재료들로 콘택트 홀들, 트렌치 라인들 및 다른 피처들을 충진하는 것은 반도체 제조 프로세스들 중 없어서는 안되는 부분이다. 예를 들어, 수평 상호 접속부들, 인접한 금속 층들 사이의 비아들, 제 1 금속층들과 디바이스들 사이의 콘택트들을 형성하기 위해, 텅스텐과 같은 금속들이 CVD (chemical vapor deposition) 기법들을 사용하여 증착될 수도 있다. 종래의 증착 프로세스에서, 기판은 증착 챔버 내에서 미리 결정된 프로세스 온도로 가열되고, 씨드 또는 핵생성 층으로 기능하는 텅스텐 함유 재료들의 박층이 증착된다. 그 후, 텅스텐 함유 재료 (벌크 층) 의 나머지가 핵생성 층 상에 증착된다. 관습적으로, 텅스텐 함유 재료들이 수소 (H2) 를 사용한 텅스텐 헥사플루오라이드 (WF6) 의 환원에 의해 형성되었다. 텅스텐 함유 재료들이 피처들 및 필드 영역을 포함하여 기판의 전체 노출된 표면적 위에 증착되었다.
소형이고 고 종횡비 피처들 내로 재료들을 증착하는 것은 충진된 피처들 내부에 보이드들 및 심들의 형성을 유발할 수도 있다. 대형 심들은 고 레지스턴스, 오염, 충진된 재료들의 손실, 그렇지 않으면 집적 회로들의 성능 열화를 야기할 수도 있다. 예를 들어, 심은 충진 프로세스 후 필드 영역에 가깝게 연장할 수도 있고 이어서 CMP (chemical-mechanical planarization) 동안 개방될 수도 있다. 유사하게, 보이드가 집적 및 성능에 문제들을 유발할 수도 있다.
본 개시의 일 양태는 리모트 플라즈마 프로세싱 챔버 상에서 컨디셔닝 프로세스를 수행하는 방법에 관한 것이고, 컨디셔닝 프로세스는: 불소 함유 컨디셔닝 플라즈마를 생성하도록 플라즈마 생성기로 불소 함유 가스를 도입하는 단계; 및 불소 함유 컨디셔닝 플라즈마를 리모트 플라즈마 프로세싱 챔버로 유입하는 단계로서, 리모트 플라즈마 프로세싱 챔버는 기판 지지부 및 샤워헤드를 포함하고, 그리고 샤워헤드는 기판 지지부와 플라즈마 생성기 사이에 배치되고, 그리고 컨디셔닝 프로세스 동안, 리모트 플라즈마 프로세싱 챔버 내에 제조 기판이 존재하지 않는, 불소 함유 컨디셔닝 플라즈마를 리모트 플라즈마 프로세싱 챔버로 유입하는 단계; 컨디셔닝 프로세스를 수행한 후, 제조 기판을 리모트 플라즈마 프로세싱 챔버로 도입하는 단계; 및 제조 기판을 리모트로 생성된 질소계 플라즈마에 노출하는 단계를 포함한다. 일부 실시예들에서, 제조 기판은 충진될 하나 이상의 피처들을 포함한다.
일부 실시예들에서, 리모트로 생성된 질소계 플라즈마가 N2 가스로부터 생성된다. 일부 실시예들에서, 리모트로 생성된 질소계 플라즈마는 질소 함유 화합물로부터 생성되고 그리고 컨디셔닝 프로세스는 불소-프리 질소계 컨디셔닝 플라즈마를 생성하도록 질소 함유 화합물을 플라즈마 생성기로 도입하는 단계, 및 불소-프리 질소계 컨디셔닝 플라즈마를 리모트 플라즈마 프로세싱 챔버로 유입하는 단계를 더 포함한다.
일부 실시예들에서, 방법은 하나 이상의 부가적인 제조 기판들을 리모트 플라즈마 프로세싱 챔버로 순차적으로 도입하고 기판 각각을 리모트로 생성된 질소계 플라즈마에 노출하는 단계를 더 포함한다. 예를 들어, 적어도 3 개의 제조 기판들이 또 다른 컨디셔닝 프로세스를 수행하기 전에 리모트 플라즈마 프로세싱 챔버로 도입될 수도 있다.
일부 실시예들에서, 리모트로 생성된 질소계 플라즈마는 피처의 내부에 대해 피처 개구부 근방에서 핵생성을 선택적으로 억제한다. 일부 이러한 실시예들에서, 방법은 피처 개구부 근방에 대해 피처의 내부에서 피처에 텅스텐 또는 코발트를 선택적으로 증착하는 단계를 더 포함할 수도 있다. 일부 실시예들에서, 컨디셔닝 프로세스는 하나 이상의 챔버 컴포넌트들 상에 불소 함유 층을 형성하는 단계를 더 포함할 수도 있다. 일부 실시예들에서, 컨디셔닝 프로세스는 하나 이상의 챔버 컴포넌트들 상에 알루미늄 플루오라이드 층을 형성하는 단계를 더 포함할 수도 있다.
본 개시의 또 다른 양태는 리모트 플라즈마 프로세싱 챔버 내에서 복수의 기판들을 순차적으로 프로세싱하도록 복수의 컨디셔닝 및 프로세싱 사이클들을 수행하는 단계를 포함하는 방법에 관한 것이다. 사이클 각각은, a) 컨디셔닝 프로세스를 수행하는 단계로서, i) 불소 함유 컨디셔닝 플라즈마를 생성하도록 플라즈마 생성기로 불소 함유 가스를 도입하는 단계; 및 ii) 불소 함유 컨디셔닝 플라즈마를 리모트 플라즈마 프로세싱 챔버로 유입하는 단계로서, 리모트 플라즈마 프로세싱 챔버는 기판 지지부 및 샤워헤드를 포함하고, 그리고 샤워헤드는 기판 지지부와 플라즈마 생성기 사이에 배치되고, 그리고 컨디셔닝 프로세스 동안, 리모트 플라즈마 프로세싱 챔버 내에 제조 기판이 존재하지 않는, 불소 함유 컨디셔닝 플라즈마를 리모트 플라즈마 프로세싱 챔버로 유입하는 단계; b) 컨디셔닝 프로세스 후 그리고 컨디셔닝 프로세스를 반복하기 전에, 각각 i) 제조 기판을 리모트 플라즈마 프로세싱 챔버로 도입하는 단계; ii) 제조 기판을 리모트로 생성된 질소계 플라즈마에 노출하는 단계; 및 iii) 리모트 플라즈마 프로세싱 챔버로부터 제조 기판을 제거하는 단계를 포함하는, 복수의 질소 플라즈마 노출 프로세스들을 수행하는 단계를 포함할 수도 있다.
일부 실시예들에서, 리모트로 생성된 질소계 플라즈마는 N2 가스로부터 생성된다. 일부 실시예들에서, 리모트로 생성된 질소계 플라즈마는 질소 함유 화합물로부터 생성되고 그리고 컨디셔닝 프로세스는 불소-프리 질소계 컨디셔닝 플라즈마를 생성하도록 질소 함유 화합물을 플라즈마 생성기로 도입하는 단계, 및 불소-프리 질소계 컨디셔닝 플라즈마를 리모트 플라즈마 프로세싱 챔버로 유입하는 단계를 더 포함한다. 일부 실시예들에서, 방법은 하나 이상의 부가적인 제조 기판들을 리모트 플라즈마 프로세싱 챔버로 순차적으로 도입하고 기판 각각을 리모트로 생성된 질소계 플라즈마에 노출하는 단계를 더 포함한다. 예를 들어, 적어도 3 개의 제조 기판들이 또 다른 컨디셔닝 프로세스를 수행하기 전에 리모트 플라즈마 프로세싱 챔버로 도입될 수도 있다. 일부 실시예들에서, 리모트로 생성된 질소계 플라즈마는 피처의 내부에 대해 피처 개구부 근방에서 핵생성을 선택적으로 억제한다. 일부 이러한 실시예들에서, 방법은 피처 개구부 근방에 대해 피처의 내부에서 피처에 텅스텐 또는 코발트를 선택적으로 증착하는 단계를 더 포함할 수도 있다. 일부 실시예들에서, 컨디셔닝 프로세스는 하나 이상의 챔버 컴포넌트들 상에 불소 함유 층을 형성하는 단계를 더 포함할 수도 있다. 일부 실시예들에서, 컨디셔닝 프로세스는 하나 이상의 챔버 컴포넌트들 상에 알루미늄 플루오라이드 층을 형성하는 단계를 더 포함할 수도 있다.
본 개시의 또 다른 양태는 리모트 플라즈마 프로세싱 챔버를 원자 불소에 노출함으로써 리모트 플라즈마 프로세싱 챔버를 컨디셔닝하는 단계; 리모트 플라즈마 프로세싱 챔버를 컨디셔닝한 후, 하나 이상의 피처들을 갖는 기판을 리모트 플라즈마 프로세싱 챔버로 도입하는 단계로서, 피처 각각은 피처 개구부를 포함하는, 기판을 리모트 플라즈마 프로세싱 챔버로 도입하는 단계; 기판을 질소 라디칼들에 노출하는 단계; 피처 각각에 차동 억제 프로파일이 형성되도록 피처 개구부들에 또는 피처 개구부들 근방에 있는 하나 이상의 피처들의 표면들 상에서 핵생성을 선택적으로 억제하는 단계; 및 차동 억제 프로파일에 따라 피처 내에 재료를 증착하는 단계를 포함하는, 방법에 관한 것이다. 재료들의 예들은 텅스텐 또는 코발트를 포함한다. 일부 실시예들에서, 재료는 리모트 플라즈마 프로세싱 챔버 내에 증착된다. 일부 실시예들에서, 재료는 리모트 플라즈마 프로세싱 챔버로부터 분리되어 챔버 내에 증착된다.
개시된 실시예들의 이들 및 다른 양태들은 대응하는 도면들을 참조하여 보다 상세히 더 기술된다.
도 1은 리모트 플라즈마 프로세스를 채용할 수도 있는 피처 충진 시퀀스의 개략적인 표면의 예를 도시한다.
도 2 및 도 3은 리모트 플라즈마 프로세싱을 위한 장치들의 개략적인 예들을 도시한다.
도 4는 특정한 실시예들에 따른 리모트 플라즈마 프로세싱 방법의 예를 도시하는 플로우차트이다.
도 5는 리모트 플라즈마 프로세싱 챔버에 대한 챔버 컨디셔닝 방법의 예를 도시하는 플로우차트이다.
도 6은 다양한 챔버 컨디셔닝 프로세스들 후에 기판 상에서 텅스텐 (W) 성장 지연을 도시하는 차트이다.
도 7은 질소 기반 프로세싱 전에 수행된 불소 컨디셔닝 동작을 사용한 그리고 사용하지 않은 웨이퍼-대-웨이퍼 균일도를 예시하는 플롯이다.
도 8은 질소 기반 프로세싱 전에 수행된 불소 컨디셔닝 동작을 사용한 그리고 사용하지 않은 웨이퍼 내 균일도를 예시하는 플롯이다.
도 9는 복수의 웨이퍼들의 질소 기반 프로세싱 전에 수행된 주기적인 불소 컨디셔닝 동작을 사용한 그리고 사용하지 않은 웨이퍼-대-웨이퍼 균일도를 예시하는 플롯이다.
도 10은 특정한 실시예들에 따른 재료로 피처를 충진하는 방법의 예를 도시하는 플로우차트이다.
도 11a는 멀티-스테이션 장치의 개략적인 예를 도시한다.
도 11b는 멀티-챔버 장치의 개략적인 예를 도시한다.
이하의 기술에서, 다수의 구체적인 상세들이 개시된 실시예들의 전체적인 이해를 제공하기 위해 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 특정한 실시예와 함께 기술될 것이지만, 개시된 실시예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
본 명세서에 기술된 방법들, 시스템들 및 장치는 리모트 플라즈마 프로세스들, 특히 리모트 질소계 플라즈마 프로세스들을 챔버 컨디셔닝하는 것과 관련된다. 본 개시의 특정한 구현예들은 챔버 컨디셔닝을 포함하는 피처 충진을 위해 리모트 플라즈마 억제 프로세스와 관련된다. 그러나, 본 명세서에 기술된 챔버 컨디셔닝 방법들은 다양한 다른 적용예들에 대해 구현될 수도 있다.
재료들로 피처들을 충진하는 것은 충진된 피처들 내부에 보이드들 및 심들의 형성을 유발할 수도 있다. 보이드는 충진되지 않은 채 남는 피처 내 영역이다. 보이드는, 예를 들어, 증착된 재료가 피처 내 핀치 지점을 형성할 때, 반응물질 진입 및 증착을 방지하는 피처 내 충진되지 않은 공간으로부터 (off) 실링을 형성할 수 있다. 심은 연장된 보이드, 일련의 보다 작은 보이드들, 또는 입자 경계를 특징으로 할 수도 있는 피처 내 연장된 영역이다.
보이드 및 심 형성의 복수의 잠재적인 원인들이 있다. 일 원인은 피처 개구부의 오버행 (overhang) 이다. 오버행은 이전 층 (예를 들어, 확산 배리어 층) 에 의해 또는 충진 재료의 증착의 최초 스테이지들에서 형성될 수도 있다. 보이드 또는 심 형성의 또 다른 원인은 보잉된 (bowed) 피처들로 지칭되는, 피처 홀들의 커브된 또는 보잉된 측벽들이다. 오버행을 갖는 피처 내 또는 보잉된 피처 내에서, 개구부 근방의 충진될 캐비티의 단면 치수는 피처의 내부보다 작다. 피처 내 협착부들이 또한 충진할 과제들을 제시한다.
보이드-프리 충진이 달성되더라도, 심은 비아, 트렌치, 라인 또는 다른 피처의 중심 축을 통해 진행할 수도 있다. 이는 성장이 측벽에서 시작될 수 있고 입자들이 반대되는 측벽으로부터 성장과 만날 때까지 계속될 수 있다. 이 심은 플루오르화 수소산 (HF) 과 같은 불소 함유 화합물들을 포함하는 불순물들의 트랩을 허용할 수 있다. CMP (chemical mechanical planarization) 동안, 코어링 (coring) 이 심으로부터 전파될 수 있다. 다양한 실시예들에 따라, 본 명세서에 기술된 방법들은 보이드 및 심 형성을 감소시키거나 제거할 수 있다.
본 개시의 실시예들은 피처의 일부에서 막 성장을 우선적으로 억제하는 것을 수반한다. 선택적인 억제, 선택적인 패시베이션, 차동 억제, 또는 차동 패시베이션으로 지칭될 수도 있는, 이 우선적 억제는 피처의 나머지 부분에서 핵생성을 억제하지 않는 (또는 보다 적은 정도로 핵생성을 억제하는) 동안 피처의 부분에서 핵생성을 억제하는 것을 수반한다. 예를 들어, 일부 실시예들에서, 피처는 피처의 더 내부에서 핵생성이 억제되지 않는 동안, 피처 개구부에서 우선적으로 억제된다. 일부 실시예들에서, 피처는 피처의 더 내부에서 핵생성이 억제되지 않는 동안, 피처 협착부에서 우선적으로 억제된다.
선택적인 억제는 이하에 더 기술되고, 예를 들어, 플라즈마의 활성화된 종의 일부를 선택적으로 노출하는 것을 수반할 수 있다. 특정한 실시예들에서, 예를 들어, 피처 개구부는 분자 질소 가스 (N2) 로부터 생성된 플라즈마에 선택적으로 노출된다. 이하에 더 논의된 바와 같이, 피처 내 바람직한 억제 프로파일은 억제 화학물질, 기판 바이어스 전력, 플라즈마 전력, 프로세스 압력, 노출 시간, 및 다른 프로세스 파라미터들 중 하나 이상을 적절히 선택함으로써 형성될 수 있다.
도 1은 리모트 플라즈마 프로세스를 채용할 수도 있는 피처 충진 시퀀스의 개략적인 표면의 예를 도시한다. 도 1의 예에서, 워드 라인 (WL) 을 제조하기 위한 텅스텐 (W) 증착이 예시된다. WL 구조체 (100) 에 선형 층 표면 (102) 이 제공된다. 선형 층 표면 (102) 은 예를 들어, 티타늄 나이트라이드 (TiN) 또는 텅스텐 나이트라이드 (WN) 일 수도 있다. 다음에, W 핵생성 층 (104) 은 구조체 (100) 전체에 선형 층 (102) 상에 컨포멀하게 증착된다. 일부 프로세스들에서, 컨포멀한 핵생성 층의 이 증착 동작은 생략될 수도 있다는 것을 주의한다. 다음에, 구조체는 구조체 (100) 의 부분들 (106) 을 선택적으로 억제하기 위한 억제 화학물질에 노출된다. 이 예에서, 필라 협착부 (151) 을 넘어선 부분들 (104a) 은 억제되지 않는다. 도면에 나타낸 바와 같이, 억제는 N2, 형성 가스 (N2 + H2), 또는 NH3와 같은 가스로부터 생성된 리모트 생성된 플라즈마에 대한 노출을 수반한다.
다음에, CVD (chemical vapor deposition) 프로세스는 억제 프로파일에 따라 텅스텐을 선택적으로 증착하도록 수행되고: 벌크 텅스텐 (108) 이 핵생성 층의 비억제된 부분들 (104a) 상에 우선적으로 증착되어, 협착부 뒤의 충진이 어려운 (hard-to-fill) 영역들이 충진되는 한편, 억제된 부분들 (106) 상에 증착되지 않는다. 이어서 피처의 나머지 부분이 벌크 텅스텐 (110) 으로 충진된다. 텅스텐을 선택적으로 증착하도록 사용된 동일한 CVD 프로세스가 피처의 나머지 부분에 사용될 수도 있고, 또는 상이한 화학물질 또는 프로세스 조건들을 사용하여 그리고/또는 핵생성 층이 증착된 후에 수행된 상이한 CVD 프로세스가 사용될 수도 있다.
도 1은 질소계 리모트 플라즈마를 채용할 수도 있는 피처 충진 프로세스의 예이다. 다른 예들인 본 명세서에 참조로서 인용된, 미국 특허 공개 번호 제 20130171822 호, 제 20160056077 호, 및 제 20160190008 호 및 미국 특허 번호 제 9,349,637 호에서 찾을 수도 있다. 특히, 미국 특허 공개 번호 제 2013017182 호 및 제 20160190008 호는 질소계 리모트 플라즈마들을 사용한 텅스텐 피처 충진 방법들을 기술할 목적으로 참조로서 인용되고, 미국 특허 공개 번호 제 20160056077 호 및 미국 특허 번호 제 9,349,637 호는 질소계 리모트 플라즈마들을 사용한 코발트 피처 충진 방법들을 기술할 목적으로 참조로서 인용된다. 본 개시의 실시예들은 질소계 리모트 억제 프로세스들을 사용하여 이들 및 다른 재료들의 피처 충진을 포함한다.
도 2 및 도 3은 리모트 플라즈마 프로세싱을 위한 장치들의 개략적인 예들을 도시한다. 먼저, 도 2에서, 장치 (200) 는 페데스탈 (220), 샤워헤드 (214), 및 리모트 플라즈마 생성기 (206) 와 함께 챔버 (218) 를 포함한다. 장치는 일부 실시예들에서 인시츄 플라즈마 생성기 (미도시) 를 포함할 수도 있다. 장치 (200) 는 또한 입력을 수신하고 그리고/또는 제어 신호들을 공급하기 위한 시스템 제어기 (222) 를 포함한다. 시스템 제어기들은 이하에 더 기술된다.
가스들 (예를 들어, 질소계 가스, 불소 함유 가스, 아르곤, 헬륨과 같은 불활성 가스, 등) 이 프로세스 가스로서, 하나 이상의 저장 탱크들일 수도 있는, 하나 이상의 소스들 (202) 로부터 리모트 플라즈마 생성기 (206) 로 공급된다. 임의의 적합한 리모트 플라즈마 생성기는 챔버 (218) 내로 도입하기 전에 프로세스 가스를 활성화하기 위해 사용될 수도 있다. 예를 들어, RPC (Remote Plasma Cleaning) 유닛들, 예컨대 ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf-s Type AX7645이 사용될 수도 있고, 모두 Massachusetts, Andover 소재의 MKS Instruments로부터 입수가능하다. RPC 유닛은 통상적으로 공급된 프로세스 가스를 사용하여 약하게 이온화된 플라즈마를 생성하는 독립된 (self-contained) 디바이스이다.
특정한 실시예들에서, 프로세스 가스는 리모트 플라즈마 생성기 (206) 로부터 연결 라인 (208) 을 통해, 챔버 (218) 내로 흐르고, 혼합물이 샤워헤드 (214) 를 통해 분배된다. 특정한 실시예들에서, 하나 이상의 불활성 가스 소스 (212) 가 혼합 보울 (210) 에 연결될 수도 있고 이어서 리모트 플라즈마 생성기 (206) 의 다운스트림에서 플라즈마 종과 혼합될 수도 있다.
챔버 (218) 는 다양한 프로세스 파라미터들, 예컨대 증착도 또는 에칭도, 농도들, 압력, 온도 등을 센싱하기 위한 센서 (224) 를 포함할 수도 있다. 센서 (224) 는 프로세스 동안 시스템 제어기 (222) 로 챔버 조건들에 대한 정보를 제공할 수도 있다. 센서 (224) 의 예들은 질량 유량 제어기들, 압력 센서들, 써모커플들, 등을 포함한다. 센서 (224) 는 또한 챔버 내 가스들의 존재를 모니터링하고 측정들을 제어하기 위한 적외선 검출기 또는 광 검출기를 포함할 수도 있다.
다양한 휘발성 종이 챔버 (218) 로부터 배기될 수도 있다. 더욱이, 프로세싱은 챔버 (218) 내 특정한 미리 결정된 압력 레벨들에서 수행된다. 진공 펌프일 수도 있는 진공 유출부 (226) 가 압력을 제어하고 가스들을 적절하게 배기하도록 사용될 수도 있다.
리모트 플라즈마 생성기 내에서 생성된 플라즈마 종은 원자들 및 라디칼들과 같은 중성 종 및 이온 종을 포함할 수도 있다. 샤워헤드 (214) 는 중성 종만이 챔버 (218) 로 들어가도록 이온 종의 플로우를 효과적으로 중단시킬 수도 있다. 샤워헤드는 알루미늄 (Al) 샤워헤드 또는 Al-함유 샤워헤드일 수도 있다.
도 3은 특정한 개시된 실시예들에 따른 것일 수도 있는 리모트 플라즈마 프로세싱을 위한 또 다른 예시적인 장치를 도시한다. 도 3은 본 명세서의 특정한 실시예들을 구현하기 적절한 유도 결합된 플라즈마 에칭 장치 (390) 의 단면도를 개략적으로 도시하고, CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 ALTUS® Max ExtremeFill™ 반응기가 예이다. ICP 반응기들이 본 명세서에 기술되지만, 일부 실시예들에서, CCP (capacitively coupled plasma) 반응기들이 또한 사용될 수도 있다.
ICP 장치 (390) 는 플라즈마를 점화하기 위해 챔버 벽들 (391) 및 돔 (392) 에 의해 구조적으로 규정된 전체 프로세스 챔버를 포함한다. 챔버 벽들 (391) 은 스테인리스 스틸 또는 Al로 제조될 수도 있다. 플라즈마 생성을 위한 엘리먼트들은 샤워헤드 (395) 위 그리고 돔 (392) 둘레에 위치된 코일 (394) 을 포함한다. 일부 실시예들에서 코일은 사용되지 않는다. 코일 (394) 은 전기적으로 도전성 재료로 제조되고, 적어도 1 회의 완전한 턴 (turn) 을 포함한다. 도 3에 도시된 코일 (394) 의 예는 3 회의 턴들을 포함한다. 코일 (394) 의 단면도들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"을 갖는 코일들은 페이지로부터 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (394) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (341) 를 포함한다. 일반적으로, RF 전력 공급부 (341) 는 연결부 (345) 를 통해 매칭 회로 (339) 에 연결된다. 매칭 회로 (339) 는 연결부 (333) 를 통해 코일 (394) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (341) 가 코일 (394) 에 연결된다. RF 전력은 RF 전류로 하여금 코일 (394) 을 통해 흐르게 하도록, RF 전력 공급부 (341) 로부터 코일 (394) 로 공급된다. 코일 (394) 을 통해 흐르는 RF 전류는 코일 (394) 을 중심으로 전자기장을 생성한다. 전자기장은 돔 (392) 내에 ICP 플라즈마를 생성하고, 이온 종 및 라디칼들과 같은 중성 종을 생성한다.
RF 전력 공급부 (341) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (341) 는 고주파수 및 저주파수 RF 전력 소스들을 서로 독립적으로 제어하도록 구성될 수도 있다.
샤워헤드 (395) 는 기판 (397) 을 향해 프로세스 가스들을 분배한다. 도 3에 도시된 실시예에서, 기판 (397) 은 샤워헤드 (395) 밑에 위치되고 페데스탈 (396) 상에 놓이는 것으로 도시된다. 샤워헤드 (395) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (397) 에 프로세스 가스들을 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다. 도 2의 예에서와 같이, 샤워헤드 (395) 는 이온들에 대한 필터로서 작용할 수도 있고, 중성 종만이 기판 (397) 에 도달하게 한다. 샤워헤드는 Al 또는 Al-함유 샤워헤드일 수도 있다. 페데스탈 (396) 은 기판 (397) 을 수용하고 홀딩하도록 구성된다.
가스들 (예를 들어, 질소-함유 가스들, 불활성 가스들, 또는, 불소 함유 가스들) 은 돔 내에 위치된 하나 이상의 주 가스 플로우 유입부들 (393) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입부들 (미도시) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입부들이 CCP 프로세싱 챔버로 프로세스 가스들을 공급하도록 사용될 수도 있다. CCP 프로세싱 챔버에 대한 일부 실시예들에서, 가스는 샤워헤드의 중심 및/또는 에지를 통해 샤워헤드를 통해 주입될 수도 있다. 진공 펌프, 예를 들어, 하나 이상의 2 스테이지 기계적 건식 펌프 및/또는 터보분자 펌프 (398a) 는 프로세스 챔버 (391) 로부터 프로세스 가스들을 인출하고 프로세스 챔버 (391) 내 압력을 유지하도록 사용될 수도 있다. 밸브 제어된 도관은 진공 펌프에 의해 제공된 진공 분위기의 인가를 선택적으로 제어하도록, 진공 펌프를 프로세스 챔버 (391) 에 유체적으로 연결하도록 사용될 수도 있다. 이는 동작 플라즈마 프로세싱 동안, 쓰로틀 밸브 (미도시) 또는 펜둘럼 밸브 (미도시) 와 같은 폐루프 제어된 플로우 제한된 디바이스를 채용하여 이루어질 수도 있다. 유사하게, CCP 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체 연결부가 또한 채용될 수도 있다. 휘발성 부산물들이 프로세스 챔버 (391) 로부터 포트 (398b) 를 통해 제거될 수도 있다. 장치 (390) 는 또한 입력을 수신하고 그리고/또는 제어 신호들을 공급하기 위한 시스템 제어기 (399) 를 포함한다. 시스템 제어기들은 이하에 더 기술된다.
도 4는 특정한 실시예들에 따른 리모트 플라즈마 프로세싱 방법 (400) 을 나타내는 일반적인 프로세스 플로우차트를 예시한다. 먼저, 챔버가 컨디셔닝된다. 블록 401. 챔버를 컨디셔닝하는 방법들은 이하에 더 기술된다. 챔버들의 예들은 도 2 및 도 3에 대해 상기 기술되었다. 도 4의 예에서, 챔버는 프로세싱될 웨이퍼 또는 다른 기판이 없다. 달리 프로세싱되지 않는 더미 기판 (또한 테스트 기반으로 지칭됨) 이 일부 실시예들에서 챔버 내에 위치될 수도 있다. 용어 "제조 기판"은 프로세스들을 겪는 디바이스들 (예를 들어, 반도체 로직 및 메모리 디바이스들) 로 제조될 웨이퍼들 및 다른 기판들을 더미 기판 또는 테스트 기판으로부터 구별하는 것으로 사용된다.
이어서 챔버 컨디셔닝 후에 제 1 기판은 n = 1이고, n 번째 기판이 챔버 내에 위치된다 (블록 403). 이하에 더 기술된 바와 같이, 챔버 컨디셔닝은 n max 기판들마다 수행될 수도 있다. 이어서 n 번째 기판은 리모트로 생성된 질소계 플라즈마에 노출된다. (블록 405). 질소계 플라즈마는 주 화학적으로 반응성인 종이 통상적으로 질소 라디칼들의 형태의 질소인 플라즈마이다. 다른 플라즈마 종 (예를 들어, 불활성 가스 종) 이 존재할 수도 있다. 일부 구현예들에서, 질소 라디칼들 이외의, 미량을 제외하고 플라즈마가 생성되는 가스에 비-불활성 컴포넌트들이 존재하지 않는다. 일부 실시예들에서, 질소계 플라즈마는 할로겐 프리이다. 많은 실시예들에서, 질소계 플라즈마는 N2 가스로부터 형성되지만, 형성 가스, NH3, 또는 N2H2와 같은 다른 질소 가스들이 사용될 수도 있다. n 번째 기판이 챔버로부터 제거된다. (블록 407). 일부 실시예들에서 n 번째 기판이 챔버 내에 있는 동안 다양한 다른 프로세싱 동작들이 수행될 수도 있다는 것을 주의해야 한다. 이들은 기판 상에 증착된 재료의 증착 또는 재료의 에칭을 포함한다.
결정 블록 409에서, n = n max 인지 결정된다. 그렇지 않다면, 프로세스는 챔버 내에 또 다른 기판을 위치시키는 블록 403으로 리턴한다. n = n max 이면, 결정 블록 411에서, 보다 많은 기판들이 프로세싱되어야 하는지 결정된다. 그렇다면, 프로세스는 챔버 컨디셔닝을 위해 블록 401로 리턴한다. 그렇지 않으면 모든 기판들이 프로세싱된 프로세스가 완료된다.
챔버 컨디셔닝은 리모트로 생성된 불소 함유 플라즈마에 챔버를 노출시키는 것을 수반한다. 질소 트리플루오라이드 (NF3), 불소 (F2), 설퍼 헥사플루오라이드 (SF6), 또는 탄소 테트라플루오라이드 (CF4) 와 같은 불소 함유 가스가 리모트 플라즈마 생성기로 제공될 수도 있다. 불소 종, 통상적으로 원자 불소와 같은 중성 종이 챔버를 컨디셔닝한다. 일부 실시예들에서, 챔버를 컨디셔닝하는 플라즈마는 이온 종을 갖지 않는다. 불활성 가스들로부터 생성된 종이 존재할 수도 있다.
일부 실시예들에서, 챔버 컨디셔닝 프로세스는 불소 함유 플라즈마로의 노출 전 그리고/또는 노출 후 리모트로 생성된 비-불소 함유 질소 플라즈마로의 노출을 포함한다. 도 5는 이들 동작들을 포함하는 리모트 플라즈마 프로세싱 챔버에 대한 챔버 컨디셔닝 방법 (500) 의 예를 도시하는 플로우차트이다. 챔버는 N2 가스로부터 리모트로 생성된 플라즈마에 노출된다. 블록 501. N2가 예로서 도 5에 제공되지만, 기판의 리모트 질소계 플라즈마 프로세싱 (예를 들어, 도 4의 블록 405) 에 사용된 동일한 가스가 도 5의 블록들 501 및 505에 사용된다. 이에 따라, 다른 실시예들에서, 이들 블록들 내 플라즈마는 상기 기술된 바와 같이 다른 질소-함유 가스들로부터 생성될 수도 있다. 다음에, 챔버는 F-함유 가스로부터 리모트로 생성된 플라즈마에 노출된다. 블록 503. 이어서 챔버는 리모트로 생성된 N2 플라즈마에 노출된다. 블록 505. 통상적으로, 블록 505의 지속 기간은 블록 501 또는 503의 지속 기간보다 짧다. 방법 (500) 의 동작들은 도 6 내지 도 8에 대해 이하에 더 기술된다.
도 1에 대해 상기에 나타낸 바와 같이, 일부 실시예들에서, N2 플라즈마는 텅스텐 또는 코발트 성장을 억제하도록 사용된다. 도 6은 다양한 챔버 컨디셔닝 프로세스들 후에 기판 상의 텅스텐 (W) 성장 지연을 도시하는 차트이다. 리모트 플라즈마 프로세싱 챔버는 기판이 존재하지 않을 때 컨디셔닝되고, 리모트 플라즈마 프로세싱 챔버 내에서 N2 리모트 플라즈마 (즉, N2 가스로부터 리모트로 생성된 플라즈마) 로의 기판 노출이 이어지고, WF6/H2와 같은 텅스텐 증착 화학물질로의 기판 노출이 이어진다. N2 플라즈마 처리가 얼마나 효과적인가의 메트릭인 텅스텐 성장 지연이 측정된다.
(1) N2/NF3, (2) N2/NF3/N2 (짧은), 및 (3) N2 만, 3 개의 챔버 컨디셔닝 프로토콜들이 수행된다. N2 만 처리는 매우 낮은 성장 지연을 발생시킨다. NF3 처리와 비교하여 성장 지연은 상당히 상승된다 (10 초 미만에서 100 초 이상으로).
특정한 이론에 매이지 않고, 불소는 챔버 내 컴포넌트들 상에서 반응하여 패시베이션 층, 예컨대 알루미늄 플루오라이드 (AlF3) 을 형성한다. 이러한 패시베이션 층 없이, 질소계 리모트 플라즈마 프로세싱에서 사용된 질소 라디칼들 (N*) 은 샤워헤드, 및 일부 경우들에서, 챔버의 다른 컴포넌트들과 상호작용하도록 손실될 수도 있다. 도 6을 다시 참조하면, NF3 후 짧은 N2 플라즈마는 W 성장 지연으로 측정될 때 N2 플라즈마 효능을 감소시킨다. 그러나, 일부 실시예들에서, NF3 후 짧은 N2 플라즈마는 N2 플라즈마 프로세싱 동안 경험되는 조건들로 챔버를 리턴하는데 유용할 수도 있다. 웨이퍼-대-웨이퍼 균일도가 개선될 수도 있다.
다양한 실시예들에 따라, 챔버 컨디셔닝은 샤워헤드, 챔버 벽들, 및 챔버 내의 다른 하드웨어 컴포넌트들 중 하나 이상을 패시베이팅할 수도 있다. 이들 컴포넌트들의 표면들은 웨이퍼-대-웨이퍼 불균일도 뿐만 아니라 웨이퍼 내 불균일도 양자를 발생시키는 질소 라디칼 손실 레이트에 기여할 수도 있다. 결과는 후속하는 리모트로 생성된 질소계 플라즈마 프로세싱 동작들에서 보다 균일하고 안정한 질소 라디칼 플럭스이다. 또한, N 라디칼들의 손실 레이트를 제어함으로써, 질소 억제 프로세스들에서 기판 상에서 총 억제량을 제어하기 위한 다른 결과 효과적인 변수들 (예컨대 시간, 전력 및 온도) 과 함께 사용될 수 있다.
상기 기술된 챔버 컨디셔닝이 리모트 플라즈마를 사용하지만, 일부 실시예들에서, 컨디셔닝 프로세스는 질소계 리모트 플라즈마 프로세싱 동작 전에 비플라즈마 프로세스를 채용할 수도 있다. 일부 다른 실시예들에서, 컨디셔닝 프로세스는 질소계 리모트 플라즈마 프로세싱 동작 전에 비플라즈마 프로세스를 채용할 수도 있다. 예를 들어, 불소 함유 열적 증착 프로세스는 질소 라디칼들을 사용한 프로세싱 전에 챔버 컴포넌트들 상에 패시베이션 층을 증착하도록 사용될 수도 있다. 패시베이션 층은 N 라디칼 손실을 제한하는 불소 함유 층일 수도 있다. 일부 실시예들에서, 웨이퍼는 컨디셔닝 프로세스가 웨이퍼에 영향을 주지 않거나 챔버 컨디셔닝 프로세스가 웨이퍼를 처리하기 위해 사용될 수도 있다면 컨디셔닝 프로세스 동안 챔버 내에 존재할 수도 있다.
본 명세서에 기술된 프로세스들은 개선된 웨이퍼-대-웨이퍼 균일도 및 개선된 웨이퍼 내 (중심-대-에지) 균일도를 제공한다. 도 7은 질소 기반 프로세싱 전에 수행된 불소 컨디셔닝 동작을 사용한 그리고 사용하지 않은 웨이퍼-대-웨이퍼 균일도를 예시하는 플롯이다. 커브들 701 및 703 각각을 생성하도록 5 개의 웨이퍼들이 프로세싱되었다 (리모트로 생성된 N2 플라즈마 + 후속하는 텅스텐 증착). 커브 701은 불소 함유 (NF3) 컨디셔닝을 사용하지 않고 프로세싱된 5 개의 웨이퍼들에 대한 텅스텐 성장 지연을 나타낸다. 커브 703은 불소 함유 (NF3) 컨디셔닝 후 프로세싱된 5 개의 웨이퍼들에 대한 텅스텐 성장 지연을 나타낸다. T웨이퍼-대-웨이퍼 불균일도 (WtW Nu %) 는 NF3 컨디셔닝을 사용하지 않고 프로세싱된 웨이퍼들에 대해 18 %이고 NF3 컨디셔닝 후에 프로세싱된 웨이퍼들에 대해 단지 2 %이다.
도 8은 질소 기반 프로세싱 전에 수행된 불소 컨디셔닝 동작을 사용한 그리고 사용하지 않은 웨이퍼 내 균일도를 예시하는 플롯이다. 커브 801은 불소 함유 (NF3) 컨디셔닝을 사용하지 않고 프로세싱된 300 ㎜ 웨이퍼의 텅스텐 두께를 나타낸다. 커브 803은 불소 함유 (NF3) 컨디셔닝 후에 프로세싱된 300 ㎜ 웨이퍼에 걸친 텅스텐 두께를 나타낸다. 웨이퍼 내 불균일도 (WiW Nu %) 는 NF3 컨디셔닝을 사용하지 않고 프로세싱된 웨이퍼에 대해 6 %이고 NF3 컨디셔닝 후에 프로세싱된 웨이퍼에 대해 단지 3 %이다.
도 4를 다시 참조하면, 챔버는 n max 개의 웨이퍼들마다 한번씩 컨디셔닝될 수 있다. 적절한 n max 은 챔버, 특정한 컨디셔닝 프로세스, 특정한 처리 프로세스, 뿐만 아니라 웨이퍼-대-웨이퍼 불균일도 및 웨이퍼 내 불균일도에 대한 허용 오차에 의존할 것이다. 일부 실시예들에서, 챔버는 웨이퍼 각각 (n max 이 1 이도록) 후에 컨디셔닝될 수도 있다. 보다 통상적으로, 챔버는 복수의 웨이퍼들, 예를 들어, 매 3, 4, 5, 7, 10, 15 개의 웨이퍼들, 등마다 리모트 플라즈마 프로세싱 후에 한번만 컨디셔닝될 수도 있다. 도 9는 복수의 웨이퍼들의 질소 기반 프로세싱 전에 수행된 주기적인 불소 컨디셔닝 동작을 사용한 그리고 사용하지 않은 웨이퍼-대-웨이퍼 균일도를 예시하는 플롯이다. 커브 901 및 커브 903 각각을 생성하기 위해 25 개의 웨이퍼들이 프로세싱된다 (리모트로 생성된 N2 플라즈마 + 후속하는 텅스텐 증착). 커브 901은 단일 불소 함유 (NF3) 컨디셔닝 후에 프로세싱된 25 개 웨이퍼들에 대한 텅스텐 성장 지연을 나타낸다. 커브 903은 5 개의 웨이퍼들마다 수행된 불소 함유 (NF3) 컨디셔닝으로 프로세싱된 25 개의 웨이퍼들에 대한 텅스텐 성장 지연을 나타낸다. 웨이퍼-대-웨이퍼 불균일도 (WtW Nu %) 는 주기적인 NF3 컨디셔닝을 사용하지 않고 프로세싱된 웨이퍼들에 대해 36.5 %이고 주기적인 NF3 컨디셔닝을 사용하여 프로세싱된 웨이퍼들에 대해 단지 5.7 %이다.
도 9의 커브 901은 또한 일부 실시예들에서, 불소 함유 리모트로 생성된 플라즈마를 사용하여 컨디셔닝 프로세스를 종료하지 않는데 유용한 증거를 제공한다. 이는 챔버 컴포넌트 상에 존재하는 특정한 양의 불소가 프로세스에서 드리프트를 유발하는 라디칼들을 소비할 것이라는 것을 나타내는, 웨이퍼 1로부터 웨이퍼 7로의 텅스텐 성장 지연에 가파른 하락이 존재하기 때문이다. 짧은 N2 플라즈마를 사용한 챔버 컨디셔닝을 종료함으로써, 이 드리프트 효과는 완화될 수 있다.
본 명세서에 기술된 방법들에 따라 컨디셔닝될 수도 있는 챔버들의 예들은 도 2 및 도 3에 대해 상시 기술되었다. 도 1에 대해 상기 기술된 바와 같이, 리모트로 생성된 질소계 플라즈마는 피처 내 텅스텐, 코발트, 또는 다른 재료의 성장을 선택적으로 억제하도록 사용될 수도 있다. 도 10은 특정한 환경들에 따른 재료로 피처를 충진하는 방법의 예를 도시하는 플로우차트이다. 본 명세서에 기술된 방법들은 텅스텐 비아들과 같은 수직 피처들 및 VNAND (vertical NAND) 워드라인과 같은 수평 피처들을 충진하도록 사용될 수 있다. 방법들은 컨포멀한 충진 및 보텀-업/인사이드-아웃 충진 모두에 사용될 수도 있다. 적용예들의 예들은 로직 및 메모리 콘택트 충진, DRAM 매립된 워드라인 충진, 수직으로 집적된 메모리 게이트 및 워드라인 충진 및 TSV들 (through-silicon vias) 을 사용한 3-D 집적을 포함한다.
먼저, 피처 내에서 증착을 선택적으로 억제하기 위한 리모트 플라즈마 프로세스는 컨디셔닝된 챔버 내에서 수행된다. (블록 1001). 블록 1001은 상기 기술된 바와 같은 플루오라이드 함유 화합물을 사용하여 컨디셔닝된 챔버 내에서 수행된다. 일부 실시예들에서, 챔버 컴포넌트들은 표면들 상의 불소 함유 층들 (예를 들어, AlF3) 을 패시베이팅하는 것을 포함한다. 이 동작은 피처의 하나 이상의 위치들에서 하나 이상의 다른 위치들에 대해 증착이 우선적으로 억제되는, 피처 내 "억제 프로파일"을 생성한다.
다음에, 억제 프로파일에 따른 재료의 선택적인 증착이 수행된다. 블록 1003. 블록 1003은 열적, 플라즈마 향상된 CVD 및/또는 ALD 프로세스들을 포함하여, 하나 이상의 CVD (chemical vapor deposition) 및/또는 ALD (atomic layer deposition) 프로세스들을 수반할 수도 있다. 재료가 피처의 보다 적은 부분들 및 억제되지 않은 부분들 상에서 우선적으로 성장하여 증착은 선택적이다. 일부 실시예들에서, 블록 1003은 협착부에 도달하거나 통과될 때까지 피처의 하단 또는 내부 부분에 재료를 선택적으로 증착하는 것을 수반한다. 억제 프로파일에 따른 선택적인 증착이 수행된 후, 방법은 피처의 나머지를 충진하는 블록 1005에서 계속될 수 있다. 일부 실시예들에서, 블록 1005는 블록 1003에서 시작되는 CVD 증착 프로세스를 계속하는 것을 수반할 수도 있다. 이러한 CVD 프로세스는 피처의 억제되지 않은 부분들 상에서보다 느리게 발생하는 핵생성과 함께, 피처의 억제된 부분들 상에서 증착을 발생시킬 수도 있다. 일부 실시예들에서, 블록 1005는 적어도 피처의 억제되지 않은 부분들 위에 핵생성 층의 증착을 수반할 수도 있다.
텅스텐 증착을 위해, 텅스텐 전구체 및 환원제가 채용될 수도 있다. 전구체들의 예들은 텅스텐 헥사플루오라이드 (WF6), 텅스텐 헥사클로라이드 (WCl6), 텅스텐 펜타클로라이드 (WCl5), 유기 금속성 전구체들, 및 MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 및 EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 와 같은 불소 프리 전구체들을 포함한다. 이에 더하여, 수소가 증착시 환원제로서 사용될 수 있지만, 실란을 포함하는 다른 환원제들이 수소 대신 또는 수소에 더하여 사용될 수도 있다. 또 다른 실시예들에서, 텅스텐 헥사카르보닐 (W(CO)6) 이 환원제와 함께 또는 환원제 없이 사용될 수도 있다. CVD 기법에서, WF6 및 H2 또는 다른 반응물질들이 반응 챔버 내로 동시에 도입된다. 이는 기판 표면 상에 텅스텐 막을 연속적으로 형성하는 혼합 반응물질 가스들의 연속적인 화학 반응을 생성한다. CVD를 사용하여 텅스텐 막들을 증착하는 방법들은 미국 특허 출원 번호 제 12/202,126 호, 제 12/755,248 호 및 제 12/755,259 호에 기술되고, 텅스텐 증착 프로세스들을 기술할 목적들을 위해 전체가 본 명세서에 참조로서 인용된다. 다양한 실시예들에 따라, 본 명세서에 기술된 방법들은 피처를 충진하는 특정한 방법으로 제한되지 않고 임의의 적절한 증착 기법을 포함할 수도 있다. 특정한 실시예들에서, 핵생성 층은 PNL 기법을 사용하여 증착된다. PNL 기법에서, 환원제, 선택가능한 퍼지 가스들, 및 텅스텐-함유 전구체의 펄스들은 순차적으로 반응 챔버로 주입되고 그리고 반응 챔버로부터 퍼지될 수 있다. 프로세스는 목표된 두께가 달성될 때까지 순환적인 방식으로 반복된다. PNL은 ALD 기법들을 포함하여, 반도체 기판 상에서의 반응을 위해 반응물질들을 순차적으로 첨가하는 임의의 순환적인 프로세스를 광범위하게 구현한다. 텅스텐 핵생성 층들을 증착하기 위한 PNL 기법들은 미국 특허 제 6,635,965 호; 제 7,589,017 호; 제 7,141,494 호; 제 7,772,114 호; 제 8,058,170 호; 및 제 8,623,733 호 그리고 미국 특허 공개 번호 제 20100267230 호에 기술되고, 이들은 텅스텐 증착 프로세스들을 기술할 목적들을 위해 전체가 본 명세서에 참조로서 인용된다.
일부 실시예들에서, 블록 1005는 블록들 1001 및 1003을 1 회 이상 반복하는 것을 수반한다. 게다가, 일부 실시예들에서, 블록 1005는 미국 특허 공개 번호 제 20130302980 호에 기술된 바와 같이 컨포멀하지 않은 에칭을 수반할 수도 있고, 이는 충진 프로세스들에서 컨포멀하지 않은 에칭을 기술할 목적들을 위해 전체가 본 명세서에 참조로서 인용된다.
코발트 증착에 사용될 수도 있는 전구체들은 코발트 피처 충진을 기술할 목적들을 위해 전체가 본 명세서에 참조로서 인용된 미국 특허 제 9,349,637 호에 기술된다.
다른 재료들의 CVD 및 ALD 증착은 임의의 적절한 전구체들을 사용하는 것을 포함할 수 있다. 예를 들어, 텅스텐 나이트라이드의 CVD 및 ALD 증착은 이하에 더 기술된 바와 같이 할로겐 함유 및 할로겐 프리 텅스텐-함유 및 질소 함유 화합물들을 사용하는 것을 포함할 수 있다. 티타늄 함유 층들의 CVD 및 ALD 증착은 TDMAT (tetrakis(dimethylamino)titanium) 및 티타늄 클로라이드 (TiCl4) 를 포함하는 예들과 함께 티타늄을 함유하는 전구체들 및 적절하다면 하나 이상의 공반응물질들을 사용하는 것을 포함할 수 있다. 탄탈륨 함유 층들의 CVD 및 ALD 증착은 PDMAT (pentakis-dimethylamino tantalum) 및 TaF5와 같은 전구체들 및 적절하다면, 하나 이상의 공반응물질들을 사용하는 것을 포함할 수 있다. 코발트 함유 층들의 CVD 및 ALD 증착은 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)코발트 (Tris(2,2,6,6-tetramethyl-3,5-heptanedionato)cobalt), 비스(사이클로펜타디에닐)코발트, 및 디코발트 헥사카르보닐 부틸아세틸렌 및 하나 이상의 공반응물질들을 사용하는 것을 포함할 수 있다. 니켈 함유 층들의 CVD 및 ALD 증착은사이클로펜타디에닐아릴니켈 (CpAllylNi) 및 MeCp2Ni과 같은 전구체들을 사용하는 것을 포함할 수 있다. 공반응물질들의 예들은 N2, NH3, N2H4, N2H6, SiH4, Si3H6, B2H6, H2, 및 AlCl3을 포함할 수 있다.
도 10을 다시 참조하면, 다양한 실시예들에 따라, 블록 1003에서의 증착은 블록 1001의 리모트 플라즈마 프로세싱과 동일하거나 상이한 챔버에서 일어날 수도 있다. 후자의 경우, 블록 1003 전에 웨이퍼 또는 다른 기판이 또 다른 챔버로 전이된다. 게다가, 일부 실시예들에서, 블록들 1001 및 1003은 멀티-스테이션 챔버의 동일한 스테이션 또는 상이한 스테이션들에서 수행될 수도 있다.
도 11a는 멀티-스테이션 장치 (1100) 의 예를 도시한다. 장치 (1100) 는 프로세싱될 기판들 및 프로세싱이 완료된 기판들을 홀딩하기 위한 프로세스 챔버 (1101) 및 하나 이상의 카세트들 (1103) (예를 들어, Front Opening Unified Ports) 을 포함한다. 챔버 (1101) 는 다수의 스테이션들, 예를 들어, 2 스테이션들, 3 스테이션들, 4 스테이션들, 5 스테이션들, 6 스테이션들, 7 스테이션들, 8 스테이션들, 10 스테이션들 또는 임의의 다른 수의 스테이션들을 가질 수도 있다. 스테이션들의 수는 보통 공유 분위기에서 수행될 수 있는 다수의 이들 동작들 프로세싱 동작들의 복잡도에 의해 결정된다. 도 11a는 1111 내지 1116으로 라벨링된, 6 개의 스테이션들을 갖는 프로세스 챔버 (1101) 를 예시한다. 단일 프로세스 챔버 (1103) 를 갖는 멀티-스테이션 장치 (1100) 내 모든 스테이션들은 동일한 압력 분위기에 노출된다. 그러나, 스테이션 각각은 도 2 및 도 3에 예시된 바와 같은, 전용 플라즈마 생성기 및 페데스탈에 의해 달성된 국부적인 플라즈마 및 가열 조건들 및 지정된 반응물질 분배 시스템을 가질 수도 있다.
프로세싱될 기판은 카세트들 (1103) 중 하나로부터 로드록 (1105) 을 통해 스테이션 (1111) 내로 로딩된다. 외부 로봇 (1107) 은 카세트 (1103) 로부터 그리고 로드록 (1105) 내로 기판을 이송하도록 사용될 수도 있다. 도시된 실시예들에서, 2 개의 별도의 로드록들 (1105) 이 있다. 이들은 통상적으로 로드록 (1105) 으로부터 (일단 프로세스 챔버 (1103) 의 내부 분위기에 대응하는 레벨로 균등화됨) 스테이션 (1111) 내로 그리고 프로세싱 챔버 (1103) 로부터의 제거를 위해 다시 스테이션 (1116) 으로부터 로드록 (1105) 으로 기판들을 이동시키기 위한 기판 이송 디바이스들을 구비한다. 내부 로봇 (1109) 은 이하에 기술된 바와 같은 프로세스 동안 프로세싱 스테이션들 (1111 내지 1116) 사이에서 기판들을 이송하고 기판들 중 일부를 지지하도록 사용된다.
특정한 실시예들에서, 하나 이상의 스테이션들이 기판을 가열하기 위해 보유될 수도 있다. 이러한 스테이션들은 도 2 및 도 3에 예시된 것과 유사한 기판을 지지하는 가열 페데스탈 및/또는 기판 위에 위치된 가열 램프 (미도시) 를 가질 수도 있다. 예를 들어, 스테이션 (1111) 은 로드록으로부터 기판을 수용하고 더 프로세싱되기 전에 기판을 예열 (pre-heat) 하도록 사용될 수도 있다. 다른 스테이션들은 리모트 플라즈마 처리, 증착 또는 선택적인 제거 동작들을 포함하여 고 종횡비 피처들을 충진하기 위해 사용될 수도 있다.
스테이션 (1111) 에서 기판이 가열된 후 또는 달리 프로세싱된 후, 기판은 순차적으로 배열될 수도 있고 또는 배열되지 않을 수도 있는, 프로세싱 스테이션들 (1112, 1113, 1114, 1115, 및 1116) 로 연속적으로 이동된다. 멀티-스테이션 장치 (1100) 는 모든 스테이션들이 동일한 압력 분위기에 노출되도록 구성된다. 이렇게 하여, 기판들은 이송 포트들, 예컨대 로드록들을 필요로 하지 않고, 챔버 (1101) 내 스테이션 (1111) 으로부터 다른 스테이션들로 이송된다.
내부 로봇 (1109) 이 스테이션들 (1111 내지 1116) 사이에서 기판들을 이송하도록 사용된다. 도 11a의 예에서, 로봇 (1109) 은 프로세싱 스테이션 각각에 대한 적어도 하나의 암을 갖는 핀 (fin) (스테이션들 사이에서 연장하는 것으로 도시됨) 을 포함한다. 임의의 적합한 이송 메커니즘이 사용될 수도 있다.
특정한 실시예들에서, 하나 이상의 스테이션들은 텅스텐 함유 재료들로 피처들을 충진하도록 사용될 수도 있다. 예를 들어, 스테이션 (1112) 은 최초 증착 동작을 위해 사용될 수도 있고, 스테이션 (1113) 은 선택적인 억제 동작에 사용될 수도 있고, 그리고 스테이션 (1114) 은 선택적인 증착 동작을 위해 사용될 수도 있다. 증착-제거 사이클이 사용되는 실시예들에서, 스테이션 (1114) 은 또 다른 증착 동작을 위해 사용될 수도 있고 스테이션 (1115) 은 선택적인 제거 동작을 위해 사용될 수도 있다. 스테이션 (1116) 이 최종 충진 동작을 위해 사용될 수도 있다. 특정한 프로세스들 (예를 들어, 가열, 억제, 증착 및 제거) 에 대한 임의의 구성들의 스테이션 지정들이 사용될 수도 있다는 것이 이해되어야 한다.
도 11b는 특정한 실시예들에 따라 사용될 수도 있는 멀티-챔버 장치 (1120) 의 개략적인 예시이다. 도시된 바와 같이, 장치 (1120) 는 3 개의 분리된 챔버들 (1121, 1123, 및 1125) 을 갖는다. 이들 챔버들 각각은 2 개의 페데스탈들을 갖는 것으로 예시된다. 장치는 임의의 수 (예를 들어, 1, 2, 3, 4, 5, 6, 등) 의 챔버들을 가질 수도 있고 챔버 각각은 임의의 수 (예를 들어, 1, 2, 3, 4, 5, 6, 등) 의 챔버들을 가질 수도 있다. 챔버 (1121 내지 1125) 각각은 챔버들 사이에 공유되지 않은 고유의 압력 분위기를 갖는다. 챔버 각각은 하나 이상의 대응하는 이송 포트들 (예를 들어, 로드록들) 을 가질 수도 있다. 장치는 또한 이송 포트들과 하나 이상의 카세트들 (1129) 사이에서 기판들을 이송하기 위한 공유된 기판 핸들링 로봇 (1127) 을 가질 수도 있다.
상기 주지된 바와 같이, 분리된 챔버들은 리모트 플라즈마 프로세싱 및 텅스텐 함유 재료들을 증착하고 그리고/또는 나중의 동작들에서 이들 증착된 재료들의 선택적인 제거를 위해 사용될 수도 있다. 상이한 챔버들로 동작들을 분리하는 것은 챔버 각각에서 동일한 분위기 조건들을 유지함으로써 실질적으로 프로세싱 속도들을 개선하는 것을 도울 수 있다. 즉, 챔버는 리모트 플라즈마 프로세싱에 사용된 조건들로부터 상이한 반응물질들, 상이한 온도들, 압력들 및 다른 프로세스 파라미터들을 수반할 수도 있는, 증착 또는 선택적인 제거 및 백킹 (back) 에 사용된 조건들로 챔버의 분위기를 변화시킬 필요가 없다. 특정한 실시예들에서, 2 이상의 상이한 챔버들 사이에서 부분적으로 제조된 반도체 기판들을 이송하는 것이 이들 챔버들의 분위기 조건들을 변화시키는 것보다 고속이다.
도 2를 다시 참조하면, 특정한 실시예들에서, 시스템 제어기 (222) 는 프로세스 파라미터들을 제어하도록 채용된다. 유사하게 도 3에 대해서, 시스템 제어기 (399) 는 프로세스 파라미터들을 제어하도록 사용될 수도 있다.
일부 실시예들에서, 시스템 제어기 (예를 들어, 하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는, 시스템 제어기 (222) 또는 시스템 제어기 (399)) 는 프로세스 챔버의 동작들 중 일부 또는 전부를 제어한다. 시스템 제어기는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시예들에서, 장치 (예를 들어, 장치 (200) 또는 장치 (390)) 는 개시된 실시예들이 수행될 때 플로우 레이트들 및 지속기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시예들에서, 장치는 최대 약 500 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학물질, 선택된 레시피, 반응기 아키텍처, 및 다른 인자들에 따를 수도 있다.
일부 구현예들에서, 시스템 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 시스템 제어기 내로 통합될 수도 있다. 시스템 제어기는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 또는 제거 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 상기 기술된 장치 및 프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LEDs, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그런 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 이용되거나 수행될 것이다. 막의 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 퍼니스 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 UV 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스에 레지스트 패턴을 전사하는 단계, 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 단계를 포함할 수 있다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 개시된 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 개시된 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (16)

  1. 리모트 플라즈마 프로세싱 챔버 상에서 컨디셔닝 프로세스를 수행하는 단계를 포함하고,
    상기 컨디셔닝 프로세스는,
    불소 함유 컨디셔닝 플라즈마를 생성하도록 불소 함유 가스를 플라즈마 생성기에 도입하고 상기 불소 함유 컨디셔닝 플라즈마를 상기 리모트 프로세싱 챔버로 유입시키는 단계; 및
    상기 리모트 플라즈마 프로세싱 챔버의 표면들 상에 불소 함유 패시베이션 층을 형성하도록 상기 불소 함유 컨디셔닝 플라즈마 내의 불소 종을 상기 리모트 플라즈마 프로세싱 챔버의 상기 표면들과 반응시키는 단계를 포함하고,
    상기 컨디셔닝 프로세스 동안, 상기 리모트 플라즈마 프로세싱 챔버 내에 제조 기판이 존재하지 않는, 방법.
  2. 제 1 항에 있어서,
    상기 불소 함유 패시베이션 층은 알루미늄 플루오라이드 층인, 방법.
  3. 제 1 항에 있어서,
    불소-프리 질소 함유 컨디셔닝 플라즈마를 생성하기 위해 상기 플라즈마 생성기에 불소-프리 질소 함유 화합물을 도입하는 단계 및 상기 리모트 플라즈마 프로세싱 챔버로 상기 불소-프리 질소 함유 컨디셔닝 플라즈마를 유입시키는 단계를 더 포함하는, 방법.
  4. 제 3 항에 있어서,
    상기 불소-프리 질소 함유 컨디셔닝 플라즈마를 도입하는 단계는 상기 리모트 플라즈마 프로세싱 챔버의 상기 표면들 상에 불소 함유 패시베이션 층을 형성한 후 수행되는, 방법.
  5. 제 1 항에 있어서,
    상기 불소 함유 가스는 질소 트리플루오라이드 (NF3), 불소 (F2), 황 헥사플루오라이드 (SF6), 또는 탄소 테트라플루오라이드 (CF4) 로부터 선택되는, 방법.
  6. 제 1 항에 있어서,
    상기 컨디셔닝 프로세스 후에, 금속 핵생성을 억제하도록 제조 기판을 플라즈마로 처리하는 단계를 더 포함하는, 방법.
  7. 제 6 항에 있어서,
    상기 제조 기판은 금속으로 충진될 하나 이상의 피처들을 포함하고, 피처 각각은 피처 개구부를 포함하고 상기 제조 기판을 처리하는 단계는 상기 피처 개구부들 근방에서 금속 핵생성을 선택적으로 억제하는 단계를 포함하는, 방법.
  8. 제 6 항에 있어서,
    금속 핵생성을 억제하기 위한 상기 플라즈마는 질소 함유 가스로부터 생성되는, 방법.
  9. 제 6 항에 있어서,
    금속 핵생성을 억제하도록 상기 제조 기판을 상기 플라즈마로 처리하는 단계 후, 상기 제조 기판 상에 금속을 증착하는 단계를 더 포함하는, 방법.
  10. 제 9 항에 있어서,
    상기 금속은 텅스텐 또는 코발트인, 방법.
  11. 유입부 및 유출부를 갖는 플라즈마 생성기를 제공하는 단계로서, 상기 플라즈마 생성기는 상기 유입부에서 하나 이상의 소스들로부터 프로세스 가스들을 수용하고, 상기 프로세스 가스들로부터 플라즈마를 생성하고, 그리고 연결 라인으로 플라즈마를 유출시키도록 구성되고, 상기 연결 라인은 프로세싱 챔버로 연결을 제공하는, 상기 플라즈마 생성기를 제공하는 단계;
    제조 기판이 상기 챔버 내에 존재하지 않는 동안 불소 함유 가스를 상기 플라즈마 생성기로 유입시키고, 불소 함유 플라즈마를 생성하고, 그리고 상기 불소 함유 플라즈마를 상기 플라즈마 생성기로부터 상기 프로세싱 챔버로 유출시키는 단계; 및
    상기 플라즈마 생성기로부터 상기 불소 함유 플라즈마를 유출시키는 단계 후, 질소 함유 가스를 상기 플라즈마 생성기로 유입시키는 단계를 포함하는, 방법.
  12. 제 11 항에 있어서,
    상기 불소 함유 가스는 질소 트리플루오라이드 (NF3), 불소 (F2), 황 헥사플루오라이드 (SF6), 또는 탄소 테트라플루오라이드 (CF4) 로부터 선택되는, 방법.
  13. 제 11 항에 있어서,
    상기 질소 함유 가스는 불소-프리인, 방법.
  14. 제 11 항에 있어서,
    상기 질소 함유 가스는 N2 또는 NH3인, 방법.
  15. 제 11 항에 있어서,
    상기 제조 기판 상에 금속을 증착하는 단계를 더 포함하는, 방법.
  16. 제 15 항에 있어서,
    상기 금속은 텅스텐 또는 코발트인, 방법.
KR1020230013752A 2016-12-19 2023-02-01 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝 KR102609125B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/384,175 US10211099B2 (en) 2016-12-19 2016-12-19 Chamber conditioning for remote plasma process
US15/384,175 2016-12-19
KR1020170172906A KR102496626B1 (ko) 2016-12-19 2017-12-15 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170172906A Division KR102496626B1 (ko) 2016-12-19 2017-12-15 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝

Publications (2)

Publication Number Publication Date
KR20230021690A true KR20230021690A (ko) 2023-02-14
KR102609125B1 KR102609125B1 (ko) 2023-12-01

Family

ID=62561964

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170172906A KR102496626B1 (ko) 2016-12-19 2017-12-15 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
KR1020230013752A KR102609125B1 (ko) 2016-12-19 2023-02-01 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170172906A KR102496626B1 (ko) 2016-12-19 2017-12-15 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝

Country Status (4)

Country Link
US (1) US10211099B2 (ko)
KR (2) KR102496626B1 (ko)
CN (2) CN113594017A (ko)
TW (2) TWI769204B (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN110004429B (zh) 2012-03-27 2021-08-31 诺发系统公司 钨特征填充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CN110923659B (zh) * 2018-09-20 2022-07-08 东京毅力科创株式会社 成膜方法及基板处理系统
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US11836429B2 (en) * 2019-10-23 2023-12-05 Lam Research Corporation Determination of recipes for manufacturing semiconductor devices
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
KR20240005861A (ko) * 2021-05-06 2024-01-12 어플라이드 머티어리얼스, 인코포레이티드 무공극 및 무시임 텅스텐 갭충전 프로세스의 생산성을 개선하기 위한 프로세싱 시스템 및 방법들

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130302980A1 (en) * 2009-08-04 2013-11-14 Anand Chandrashekar Tungsten feature fill
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber

Family Cites Families (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
EP1069610A2 (en) 1990-01-08 2001-01-17 Lsi Logic Corporation Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
JPH05226280A (ja) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
JP3216345B2 (ja) 1993-04-06 2001-10-09 ソニー株式会社 半導体装置及びその作製方法
JP2881371B2 (ja) 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP3014019B2 (ja) 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100323874B1 (ko) 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
EP1290746B1 (en) 2000-05-18 2012-04-25 Corning Incorporated High performance solid electrolyte fuel cells
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP2002016066A (ja) 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
JP2002343787A (ja) * 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
DE10130340A1 (de) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US20030203123A1 (en) * 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7311944B2 (en) 2002-12-23 2007-12-25 Applied Thin Films, Inc. Aluminum phosphate coatings
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4256763B2 (ja) * 2003-11-19 2009-04-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
WO2006014753A1 (en) 2004-07-23 2006-02-09 Sundew Technologies, Llp Capacitors with high energy storage density and low esr
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20060189171A1 (en) 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
TW200711757A (en) * 2005-08-02 2007-04-01 Massachusetts Inst Technology Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor
US20070028944A1 (en) * 2005-08-02 2007-02-08 Sawin Herbert H Method of using NF3 for removing surface deposits
WO2007026778A1 (ja) 2005-08-31 2007-03-08 Sumitomo Chemical Company, Limited トランジスタ、有機半導体素子及びこれらの製造方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
EP2541179A3 (en) 2005-11-23 2014-09-24 Surface Combustion, Inc. Gas generator for an atmospheric furnace for treating one or more articles
JP4967354B2 (ja) 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
KR100757418B1 (ko) 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
US20080118663A1 (en) 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US20080174021A1 (en) 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
US7691755B2 (en) 2007-05-15 2010-04-06 Applied Materials, Inc. Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
CN101952944B (zh) 2007-11-21 2013-01-02 朗姆研究公司 控制对含钨层的蚀刻微负载的方法及其设备
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US7968439B2 (en) 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
WO2011062560A1 (en) 2009-11-19 2011-05-26 National University Of Singapore Method for producing t cell receptor-like monoclonal antibodies and uses thereof
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
CN102892922A (zh) * 2010-03-17 2013-01-23 应用材料公司 用于远程等离子体源辅助的含硅膜沉积的方法和装置
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
TW201210058A (en) 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272440B (zh) * 2012-03-27 2017-02-22 诺发系统公司 用核化抑制的钨特征填充
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
JP6594304B2 (ja) 2013-10-18 2019-10-23 ブルックス オートメーション インコーポレイテッド 処理装置
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
US9653352B2 (en) 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) * 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130302980A1 (en) * 2009-08-04 2013-11-14 Anand Chandrashekar Tungsten feature fill
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber

Also Published As

Publication number Publication date
KR20180071174A (ko) 2018-06-27
US20180174901A1 (en) 2018-06-21
TW201837969A (zh) 2018-10-16
US10211099B2 (en) 2019-02-19
KR102496626B1 (ko) 2023-02-03
CN108461374B (zh) 2021-06-29
CN113594017A (zh) 2021-11-02
TW202236363A (zh) 2022-09-16
TWI769204B (zh) 2022-07-01
KR102609125B1 (ko) 2023-12-01
CN108461374A (zh) 2018-08-28

Similar Documents

Publication Publication Date Title
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
US11901227B2 (en) Feature fill with nucleation inhibition
US11410883B2 (en) Tungsten feature fill with nucleation inhibition
US10916434B2 (en) Feature fill with multi-stage nucleation inhibition
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
US20220359280A1 (en) Tungsten feature fill with nucleation inhibition
US9548228B2 (en) Void free tungsten fill in different sized features
JP6195898B2 (ja) 核形成の抑制を伴うタングステンによるフィーチャ充填
JP2023113892A (ja) 3d nand及び他の用途のためのモリブデン充填
JP2015029097A (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
US20230041794A1 (en) Tungsten feature fill with nucleation inhibition
TW202309974A (zh) 高深寬比3d nand架構中的鎢字元線填充

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant