KR20040065998A - 반도체 장치 - Google Patents

반도체 장치 Download PDF

Info

Publication number
KR20040065998A
KR20040065998A KR1020040000165A KR20040000165A KR20040065998A KR 20040065998 A KR20040065998 A KR 20040065998A KR 1020040000165 A KR1020040000165 A KR 1020040000165A KR 20040000165 A KR20040000165 A KR 20040000165A KR 20040065998 A KR20040065998 A KR 20040065998A
Authority
KR
South Korea
Prior art keywords
semiconductor device
region
layer
semiconductor
semiconductor layer
Prior art date
Application number
KR1020040000165A
Other languages
English (en)
Other versions
KR100523310B1 (ko
Inventor
마쯔모또다꾸지
잇뽀시다까시
이와마쯔도시아끼
히라노유우이찌
Original Assignee
가부시끼가이샤 르네사스 테크놀로지
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 르네사스 테크놀로지 filed Critical 가부시끼가이샤 르네사스 테크놀로지
Publication of KR20040065998A publication Critical patent/KR20040065998A/ko
Application granted granted Critical
Publication of KR100523310B1 publication Critical patent/KR100523310B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate

Abstract

접합 용량의 증가 등의 폐해를 수반하지 않고 분리 내압의 저하를 적절하게 회피할 수 있는 반도체 장치 및 그 제조 방법을 얻는다.
오목부(14)를 형성함으로써 실리콘 층(3)이 미리 박막화 된 후에 불순물 도입 영역(11)이 형성된다. 따라서 소자 분리 절연막(5)의 저면과 BOX층(2)의 상면 사이에 위치하고 있는 부분의 p형의 실리콘 층(3) 내에, n형의 불순물이 주입되지 않기 때문에 분리 내압이 저하하는 것을 회피할 수 있다. 게다가 불순물 도입 영역(11)은 BOX층(2)의 상면에 도달해서 형성되기 때문에 소스·드레인 영역(12)의 접합 용량도 증가하지 않는다.

Description

반도체 장치 {SEMICONDUCTOR DEVICE}
본 발명은 SOI 기판을 이용한 반도체 장치 및 그 제조 방법에 관한 것이다.
SOI 기판은 반도체 기판, 절연층 및 제1 도전형의 반도체 층이 이 순서대로적층된 구조를 가지고 있다. SOI 기판을 이용한 종래의 반도체 장치의 제조 방법에서는, (a)이른바 부분 분리형의 소자 분리 절연막을 반도체 층의 상면 내에 부분적으로 형성하는 공정과, (b)소자 형성 영역 내에 있어서, 반도체 층의 상면 위에 게이트 구조를 부분적으로 형성하는 공정과, (c)반도체 층의 상면 내에 불순물을 이온 주입함으로써, 제2 도전형의 소스·드레인 영역을, 반도체 층의 상면에서 절연층에 도달해서 형성하는 공정이 이 순서대로 실행되고 있었다.
또한 SOI 기판을 이용한 반도체 장치 및 그 제조 방법에 관한 기술은 하기의 특허문헌 1에 개시되어 있다.
[특허문헌 1] 일본국 특허공개 평 10-209167호 공보
그러나 종래의 반도체 장치의 제조 방법에 있어서, 소스·드레인 영역을 절연층에 도달시키기 위해서 이온 주입의 주입 에너지를 높게 한 것에서는, 소자 분리 절연막의 저면과 절연층의 상면 사이에 위치하는 부분의 반도체 층 내에도 제2 도전형의 불순물이 주입되어 버려, 그 부분의 제1 도전형의 농도가 희미해지고 분리 내압이 저하한다고 하는 문제가 있다.
이 문제를 해결하기 위해 불순물이 소자 분리 절연막을 꿰뚫고 나가지 않도록 이온 주입의 주입 에너지를 낮게 한 것에서는, 소스·드레인 영역이 절연층에 도달하지 않기 때문에 소스·드레인 영역의 접합 용량이 증가한다. 그 결과, 동작 속도의 저하나 소비 전력의 증대 등의 폐해가 발생해 버린다.
또 상기 문제를 해결하기 위해서 소자 분리 절연막의 저면이 절연층의 상면에 근접하도록 소자 분리 절연막을 깊게 형성한 것에서는, 소자 분리 절연막의 저면과 절연층의 상면 사이에 위치하는 부분의 반도체 층의 저항치가 증가해 버린다고 하는 폐해가 생긴다.
또한 상기 문제를 해결하기 위해서 소자 분리 절연막의 상면이 반도체 층의 상면보다도 극단적으로 윗쪽에 위치하도록 소자 분리 절연막의 막 두께를 두껍게 한 것에서는, 소자 분리 절연막의 상면과 반도체 층의 상면의 단차에 기인하여 게이트 전극을 고정밀도로 형성하는 것이 곤란해진다. 그 결과, 동작 속도의 저하나 특성의 편차 등의 폐해가 생겨버린다.
본 발명은 이러한 문제를 해결하기 위해서 이루어진 것으로, 접합 용량의 증가 등의 폐해를 수반하지 않고 분리 내압의 저하를 적절하게 회피할 수 있는 반도체 장치 및 그 제조 방법을 얻는 것을 목적으로 하는 것이다.
도1은 본 발명의 실시 형태 1에 따른 반도체 장치의 구조를 도시하는 단면도.
도2는 본 발명의 실시 형태 1에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도3은 본 발명의 실시 형태 1에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도4는 본 발명의 실시 형태 1에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도5는 본 발명의 실시 형태 1에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도6은 본 발명의 실시 형태 1에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도7은 본 발명의 실시 형태 1에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도8은 본 발명의 실시 형태 1에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도9는 본 발명의 실시 형태 2에 따른 반도체 장치의 구조를 도시하는 단면도.
도10은 본 발명의 실시 형태 2에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도11은 본 발명의 실시 형태 2에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도12는 본 발명의 실시 형태 2에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도13은 본 발명의 실시 형태 2에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도14는 본 발명의 실시 형태 2에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도15는 본 발명의 실시 형태 2에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도16은 본 발명의 실시 형태 2의 변형예에 따른 반도체 장치의 제조 방법의 한 공정을 도시하는 단면도.
도17은 본 발명의 실시 형태 3에 관해서 도7에 도시한 구조 중, 오목부가 형성되어 있는 부근의 구조를 확대해서 도시하는 단면도.
도18은 본 발명의 실시 형태 3에 관해서 도7에 도시한 구조 중, 오목부가 형성되어 있는 부근의 구조를 확대해서 도시하는 단면도.
도19는 본 발명의 실시 형태 4에 따른 반도체 장치의 구조를 도시하는 단면도.
도20은 본 발명의 실시 형태 4에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도21은 본 발명의 실시 형태 4에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도22는 본 발명의 실시 형태 4에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도23은 본 발명의 실시 형태 4에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도24는 본 발명의 실시 형태 4에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도25는 본 발명의 실시 형태 4에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도26은 본 발명의 실시 형태 4에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도27은 본 발명의 실시 형태 4에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도28은 본 발명의 실시 형태 4의 변형예에 따른 반도체 장치의 구조를 도시하는 단면도.
도29는 본 발명의 실시 형태 5에 따른 반도체 장치의 구조를 도시하는 단면도.
도30은 본 발명의 실시 형태 5의 변형예에 따른 반도체 장치의 구조를 도시하는 단면도.
도31은 본 발명의 실시 형태 6에 따른 반도체 장치의 제조 방법의 한 공정을 도시하는 단면도.
도32는 본 발명의 실시 형태 7에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도33은 본 발명의 실시 형태 7에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도34는 본 발명의 실시 형태 8에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도35는 본 발명의 실시 형태 8에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도36은 본 발명의 실시 형태 9에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도37은 본 발명의 실시 형태 9에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도38은 본 발명의 실시 형태 9에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도.
도39는 본 발명의 실시 형태 10에 따른 반도체 장치의 구조를 도시하는 단면도.
도40은 본 발명의 실시 형태 10에 따른 반도체 장치의 제1의 제조 방법을 공정순으로 도시하는 단면도.
도41은 본 발명의 실시 형태 10에 따른 반도체 장치의 제1의 제조 방법을 공정순으로 도시하는 단면도.
도42는 본 발명의 실시 형태 10에 관해서 도41에 도시한 구조 중, 오목부가 형성되어 있는 부근의 구조를 확대해서 도시하는 단면도.
도43은 본 발명의 실시 형태 10에 따른 반도체 장치의 제2의 제조 방법을 공정순으로 도시하는 단면도.
도44는 본 발명의 실시 형태 10에 따른 반도체 장치의 제2의 제조 방법을 공정순으로 도시하는 단면도.
도45는 본 발명의 실시 형태 11에 따른 반도체 장치의 제1의 제조 방법을 공정순으로 도시하는 단면도.
도46은 본 발명의 실시 형태 11에 따른 반도체 장치의 제1의 제조 방법을 공정순으로 도시하는 단면도.
도47은 본 발명의 실시 형태 11에 따른 반도체 장치의 제1의 제조 방법을 공정순으로 도시하는 단면도.
도48은 본 발명의 실시 형태 11에 따른 반도체 장치의 제1의 제조 방법을 공정순으로 도시하는 단면도.
도49는 본 발명의 실시 형태 11에 따른 반도체 장치의 제1의 제조 방법을 공정순으로 도시하는 단면도.
도50은 본 발명의 실시 형태 11에 따른 반도체 장치의 제1의 제조 방법을 공정순으로 도시하는 단면도.
도51은 본 발명의 실시 형태 11에 따른 반도체 장치의 제1의 제조 방법을 공정순으로 도시하는 단면도.
도52는 본 발명의 실시 형태 11에 따른 반도체 장치의 제2의 제조 방법을 공정순으로 도시하는 단면도.
도53은 본 발명의 실시 형태 11에 따른 반도체 장치의 제2의 제조 방법을 공정순으로 도시하는 단면도.
도54는 본 발명의 실시 형태 11에 따른 반도체 장치의 제2의 제조 방법을 공정순으로 도시하는 단면도.
도55는 본 발명의 실시 형태 11에 따른 반도체 장치의 제2의 제조 방법을 공정순으로 도시하는 단면도.
도56은 본 발명의 실시 형태 11에 따른 반도체 장치의 제2의 제조 방법을 공정순으로 도시하는 단면도.
도57은 본 발명의 실시 형태 12에 따른 반도체 장치의 제조 방법의 한 공정을 도시하는 단면도.
<도면의 주요 부분에 대한 부호의 설명>
1:실리콘 기판
2:BOX층
3:실리콘 층
4:SOI 기판
5:소자 분리 절연막
6:게이트 절연막
7:게이트 전극
8, 13, 43, 52, 53:코발트 실리사이드 층
9, 41, 42:사이드 월
10, 11, 70:불순물 도입 영역
12:소스·드레인 영역
14, 21, 22:오목부
15, 17, 18, 20:실리콘 산화막
16, 19:폴리실리콘 막
40, 60, 62:실리콘 질화막
50, 51:실리콘 층
본 발명에 따르면 반도체 장치는 반도체 기판, 절연층 및 제1 도전형의 반도체 층이 이 순서대로 적층된 구조를 가지는 SOI 기판과, 반도체 층의 주면 내에 부분적으로 형성되어 절연층에 의해 반도체 층의 일부를 사이에 두는 저면을 가지는 소자 분리 절연막과, 소자 분리 절연막에 의해 규정되는 소자 형성 영역 내에 있어서, 반도체 층의 주면 위에 부분적으로 형성된 게이트 구조와, 소자 형성 영역 내에 있어서, 게이트 구조로부터 노출되어 있는 부분의 반도체 층의 주면 내에 형성되어 게이트 구조의 아래쪽의 채널 형성 영역을 사이에 두고 쌍을 이루는 오목부와, 오목부의 저면 내에 형성되어 채널 형성 영역을 사이에 두고 쌍을 이루고, 그저면 또는 그 공핍층이 절연층에 도달하여 제1 도전형과는 다른 제2 도전형의 소스·드레인 영역을 구비한다.
실시 형태 1.
도1은 본 발명의 실시 형태 1에 따른 반도체 장치의 구조를 도시하는 단면도이다. SOI 기판(4)은 실리콘 기판(1), BOX(buried oxide)층(2) 및 p형의 실리콘 층(3)이 이 순서대로 적층된 구조를 가지고 있다. 실리콘 층(3)의 상면 내에는 이른바 부분 분리형의 소자 분리 절연막(5)이 부분적으로 형성되어 있다. 소자 분리 절연막(5)의 재질은 예를 들면 실리콘 산화막이다. 소자 분리 절연막(5)의 저면과 BOX층(2)의 상면에 의해 실리콘 층(3)의 일부가 끼워져 있다.
소자 분리 절연막(5)에 의해 규정되는 소자 형성 영역 내에 있어서, 실리콘 층(3)의 상면 위에는 게이트 구조가 부분적으로 형성되어 있다. 게이트 구조는, 실리콘 층(3)의 상면 위에 형성된, 실리콘 산화막으로 이루어지는 게이트 절연막(6)과, 게이트 절연막(6) 위에 형성된, 폴리실리콘으로 이루어지는 게이트 전극(7)과, 게이트 전극(7)의 측면에 형성된, 실리콘 질화막으로 이루어지는 사이드 월(9)과, 게이트 전극(7)의 상면 위에 형성된 코발트 실리사이드 층(8)을 가지고 있다. 게이트 구조의 아래쪽에 위치하는 부분의 실리콘 층(3)은 채널 형성 영역으로서 규정된다. 여기에서 각 부의 재질은 상기의 예로 한정되는 것이 아니다. 게이트 절연막(6)의 재질은 실리콘산 질화막 또는 고유전체 막 등이어도 좋다. 게이트 전극(7)의 재질은 텅스텐, 알루미늄, 또는 탄탈 등의 금속이어도 좋다. 사이드 월(9)의 재질은 실리콘 산화막과 실리콘 질화막의 복합막이어도 좋다. 코발트실리사이드 층(8) 대신에, 니켈 실리사이드 층 또는 티탄 실리사이드 층 등이 형성되어 있어도 좋다.
소자 형성 영역 내에 있어서, 게이트 구조로부터 노출되어 있는 부분의 실리콘 층(3)의 상면 내에는, 채널 형성 영역을 사이에 두고 쌍을 이루는 오목부(14)가 형성되어 있다. 또 실리콘 층(3) 내에는, 채널 형성 영역을 사이에 두고 쌍을 이루는, n형의 소스·드레인 영역(12)이 형성되어 있다. 소스·드레인 영역(12)은, 실리콘 층(3)의 상면 내에 얕게 형성된, 비교적 저농도의 불순물 도입 영역(「익스텐션」이라고도 칭해진다)(10)과, 불순물 도입 영역(10)보다도 깊게 형성된, 비교적 고농도의 불순물 도입 영역(11)을 가지고 있다. 불순물 도입 영역(11)은 오목부(14)의 저면에서 BOX층(2)의 상면에 도달해서 형성되어 있다. 또한 게이트 구조로부터 노출되어 있는 부분의 소스·드레인 영역(12)의 상면 위에는, 코발트 실리사이드 층(13)이 형성되어 있다.
도1에는 불순물 도입 영역(11)의 저면이 BOX층(2)의 상면에 접촉하고 있는 구조를 도시했지만, 이 구조 대신에 불순물 도입 영역(11)과 실리콘 층(3)의 계면에 형성되는 공핍층이 BOX층(2)의 상면에 도달하는 구조가 채용되어도 좋다. 단, 접합 용량의 저감을 꾀하는 관점에서는, 불순물 도입 영역(11)의 저면이 BOX층(2)의 상면에 접촉하고 있는 구조가 바람직하기 때문에 본 명세서에서는 이 구조가 채용된 경우에 대해서 설명한다.
도2 내지 8은 본 실시 형태 1에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도이다. 도2를 참조하여 주지의 LOCOS 분리기술 또는 트렌치 분리기술에 의해 소자 분리 절연막(5)을 실리콘 층(3)의 상면 내에 부분적으로 형성한다. 다음에 열 산화법에 의해 실리콘 산화막(15)을 실리콘 층(3)의 상면 위에 형성한다. 다음에 CVD법에 의해 폴리실리콘 막(16) 및 실리콘 산화막(17)을 이 순서대로 전면적으로 형성한다.
도3을 참조하여 다음에 사진 제판법 및 이방성 드라이 에칭법에 의해 폴리실리콘 막(16) 및 실리콘 산화막(17)을 패터닝 한다. 이것에 의해 폴리실리콘 막(19) 및 실리콘 산화막(20)이 형성된다. 또한 실리콘 산화막(15)의 일부가 에칭되어 실리콘 산화막(18)이 형성된다.
도4를 참조하여 다음에 이온 주입법에 의해 n형의 불순물을 실리콘 산화막(18)을 통해서 실리콘 층(3)의 상면 내에 주입함으로써 불순물 도입 영역(10)을 형성한다. 이 때, 쇼트 채널 효과를 억제하기 위해서, 비교적 저농도의 p형의 불순물층(일반적으로 「포켓 영역」이라고 칭해진다)을 형성해도 좋다. 단, 설명의 간략화를 위해, 이후의 설명에서는 포켓 영역에 대해서는 생략한다.
도5를 참조하여 다음에 CVD법에 의해 실리콘 질화막을 전면적으로 형성한다. 다음에 에치백을 행함으로써, 폴리실리콘 막(19) 및 실리콘 산화막(20)의 측면에 사이드 월(9)을 형성한다.
도6을 참조하여 다음에 실리콘 산화막은 에칭되기 쉽고, 실리콘, 폴리실리콘 및 실리콘 질화막은 에칭되기 어려운 조건으로 에칭을 행한다. 이것에 의해 실리콘 산화막(20)이 제거되어 폴리실리콘 막(19)의 상면이 노출된다. 또 사이드 월(9) 및 폴리실리콘 막(19)으로부터 노출되어 있는 부분의 실리콘 산화막(18)이제거되어 게이트 절연막(6)이 형성된다. 또한 도면에는 도시하지 않지만, 이 에칭에 의해 소자 분리 절연막(5)도 약간 에칭된다.
도7을 참조하여 다음에 실리콘 및 폴리실리콘은 에칭되기 쉽고, 실리콘 산화막 및 실리콘 질화막은 에칭되기 어려운 조건으로 이방성 드라이 에칭을 행한다. 이것에 의해 게이트 절연막(6) 및 소자 분리 절연막(5)으로부터 노출되어 있는 부분의 실리콘 층(3)이 막 두께(D1)만큼 에칭되어 오목부(14)가 형성된다. 또 폴리실리콘 막(19)의 상부가 아울러 에칭되어 게이트 전극(7)이 형성된다. 오목부(14)의 저면과 BOX층(2)의 상면에 의해 끼워져 있는 부분의 실리콘 층(3)의 막 두께는 D2이다.
도8을 참조하여 다음에 이온 주입법에 의해 n형의 불순물을 실리콘 층(3)의 상면 내에 주입함으로써 불순물 도입 영역(11)을 형성한다. 이 이온 주입의 주입 에너지는, 오목부(14)의 저면에 주입된 불순물이 실리콘 층(3)의 저면에까지 도달하고, 또한 소자 분리 절연막(5)의 상면에 주입된 불순물이 소자 분리 절연막(5)을 꿰뚫고 나가지 않을 크기로 설정된다.
또 이 이온 주입에 의해 n형의 불순물은 게이트 전극(7) 내에도 아울러 주입된다. 폴리실리콘 막(19)이 박막화 되어 게이트 전극(7)이 형성되어 있기 때문에 불순물은 게이트 전극(7)의 심부, 즉 게이트 절연막(6)과의 계면부근에까지 도달한다. 그 결과, 게이트 공핍화를 억제할 수 있다. 게이트 공핍화를 억제함으로써 전류 구동 능력을 향상시킬 수 있다.
그 후, 게이트 전극(7) 및 소스·드레인 영역(12)의 각 상면을 실리사이드화해서 코발트 실리사이드 층(8, 13)을 형성함으로써 도1에 도시한 구조를 얻을 수 있다.
이와 같이 본 실시 형태 1에 따른 반도체 장치 및 그 제조 방법에 의하면, 오목부(14)를 형성함으로써 실리콘 층(3)이 미리 박막화된 후에, 이온 주입법에 의해 불순물 도입 영역(11)이 형성된다. 따라서 소자 분리 절연막(5)의 저면과 BOX층(2)의 상면 사이에 위치하는 부분의 p형의 실리콘 층(3) 내에 n형의 불순물이 주입되지 않기 때문에 분리 내압이 저하하는 것을 회피할 수 있다. 게다가 불순물 도입 영역(11)은 BOX층(2)의 상면에 도달해서 형성되기 때문에 소스·드레인 영역(12)의 접합 용량이 증가하지 않는다.
또 오목부(14)를 형성하기 위한 드라이 에칭에 의해 실리콘 층(3) 내에 결함이 형성된다. 이 결함은 라이프 타임 킬러로서 기능하기 때문에 SOI 기판을 이용한 반도체 장치에 특유의 문제인, 기판 플로팅 효과의 발생을 억제할 수도 있다.
또한 본 실시 형태 1에서는 NMOS 트랜지스터를 예로 들어 설명했지만, PMOS 트랜지스터의 경우에서도, 또는 NMOS 트랜지스터와 PMOS 트랜지스터가 혼재된 CMOS 트랜지스터의 경우에서도 상기와 동일한 효과를 얻을 수 있다. 후술의 다른 실시 형태에 대해서도 마찬가지이다.
실시 형태 2.
도9는, 본 발명의 실시 형태 2에 따른 반도체 장치의 구조를 도시하는 단면도이다. 사이드 월(9)의 저면은 게이트 절연막(6)의 저면보다도 아래쪽에 위치하고 있다. 다시 말해 사이드 월(9)이 형성되어 있는 부분의 실리콘 층(3)의 막 두께는, 게이트 절연막(6)이 형성되어 있는 부분의 실리콘 층(3)의 막 두께보다도 얇다.
도10 내지 15는, 본 실시 형태 2에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도이다. 우선, 상기 실시 형태 1과 동일한 방법에 의해 도2에 도시한 구조를 얻는다.
도10을 참조하여 다음에 사진 제판법 및 이방성 드라이 에칭법에 의해 실리콘 산화막(15), 폴리실리콘 막(16) 및 실리콘 산화막(17)을 패터닝 한다. 이것에 의해 게이트 절연막(6), 폴리실리콘 막(19) 및 실리콘 산화막(20)이 형성된다. 또한 오버 에칭에 의해 게이트 절연막(6) 및 소자 분리 절연막(5)으로부터 노출되어 있는 부분의 실리콘 층(3)을 막 두께(D3)만큼 에칭함으로써 오목부(21)를 형성한다.
도11을 참조하여 다음에 이온 주입법에 의해 n형의 불순물을 실리콘 층(3)의 상면 내에 주입함으로써 불순물 도입 영역(10)을 형성한다. 불순물 도입 영역(10)은 오목부(21)의 저면 내에 형성된다. 불순물 도입 영역(10)을 형성하기 위한 이온 주입의 주입 에너지는, 본 실시 형태 2와 상기 실시 형태 1에서 동일하다.
도12를 참조하여 다음에 CVD법에 의해 실리콘 질화막을 전면적으로 형성한 후에 에치백을 행함으로써 사이드 월(9)을 형성한다. 사이드 월(9)은, 게이트 절연막(6), 폴리실리콘 막(19) 및 실리콘 산화막(20)의 각 측면에 접해서 오목부(21)의 저면 위에 형성되어 있다.
도13을 참조하여 다음에 실리콘 산화막은 에칭되기 쉽고, 실리콘, 폴리실리콘 및 실리콘 질화막은 에칭되기 어려운 조건으로 에칭을 행한다. 이것에 의해 실리콘 산화막(20)이 제거되어 폴리실리콘 막(19)의 상면이 노출된다.
도14를 참조하여 다음에 실리콘 및 폴리실리콘은 에칭되기 쉽고, 실리콘 산화막 및 실리콘 질화막은 에칭되기 어려운 조건으로 이방성 드라이 에칭을 행한다. 이것에 의해 사이드 월(9), 게이트 절연막(6) 및 소자 분리 절연막(5)으로부터 노출되어 있는 부분의 실리콘 층(3)이 막 두께(D1)만큼 에칭되어 오목부(14)가 형성된다. 또한 폴리실리콘 막(19)의 상부가 아울러 에칭되어 게이트 전극(7)이 형성된다. 오목부(14)의 저면과 BOX층(2)의 상면에 의해 끼워져 있는 부분의 실리콘 층(3)의 막 두께(D4)는 도7에 도시한 막 두께(D2)보다도 막 두께(D3)분만큼 얇다.
도15를 참조하여 다음에 상기 실시 형태 1과 마찬가지로, n형의 불순물을 실리콘 층(3)의 상면 내에 이온 주입함으로써 불순물 도입 영역(11)을 형성한다. 상기한 바와 같이, 본 실시 형태 2에 따른 실리콘 층(3)의 막 두께(D4)는 상기 실시 형태 1에 따른 실리콘 층(3)의 막 두께(D2)보다도 얇다. 따라서 본 실시 형태 2에 있어서는, 불순물 도입 영역(11)을 형성하기 위한 이온 주입의 주입 에너지를, 상기 실시 형태 1보다도 낮출 수 있다.
그 후, 게이트 전극(7) 및 소스·드레인 영역(12)의 각 상면을 실리사이드화 해서 코발트 실리사이드 층(8, 13)을 형성함으로써 도9에 도시한 구조를 얻을 수 있다.
이와 같이 본 실시 형태 2에 따른 반도체 장치 및 그 제조 방법에 의하면, 불순물 도입 영역(11)을 형성하기 위한 이온 주입의 주입 에너지를 상기 실시 형태1보다도 낮출 수 있다. 그 때문에 소자 분리 절연막(5)의 상면에 주입된 불순물이 소자 분리 절연막(5)을 꿰뚫고 나가는 것을 상기 실시 형태 1보다도 확실하게 회피할 수 있다.
도16은 본 실시 형태 2의 변형예에 따른 반도체 장치의 제조 방법의 한 공정을 도시하는 단면도이다. 도14에 도시한 공정에서는 실리콘 층(3)이 막 두께(D1)만큼 에칭되었지만, 도16에 도시하는 바와 같이, 막 두께 D5(<D1)만큼 실리콘 층(3)을 에칭함으로써, 오목부(22)를 형성해도 좋다. 오목부(22)의 저면과 BOX층(2)의 상면에 의해 끼워져 있는 부분의 실리콘 층(3)의 막 두께는, 도7에 도시한 막 두께(D2)와 동일하다.
이와 같이 본 실시 형태 2의 변형예에 따른 반도체 장치 및 그 제조 방법에 의하면, 쌍을 이루는 불순물 도입 영역(11) 끼리의 간격을, 상기 실시 형태 1과 같은 정도로 유지할 수 있다. 그 때문에 도9에 도시한 구조와 비교하여 쇼트 채널 효과의 영향을 억제할 수 있다.
또 상기 실시 형태 1과 비교하여 불순물 도입 영역(10)이 막 두께(D3)분만큼 깊게 형성된다. 그 때문에 불순물 도입 영역(10)과 불순물 도입 영역(11)이 서로 겹치는 정도를, 상기 실시 형태 1보다도 크게 할 수 있다. 그 결과, 소스·드레인 영역(12)의 기생 저항을, 상기 실시 형태 1보다도 저감하는 것이 가능해진다.
실시 형태 3.
도17 및 18은, 도7에 도시한 구조 중, 오목부(14)가 형성되어 있는 부근의 구조를 확대해서 도시하는 단면도이다. 도17을 참조하여 게이트 절연막(6)이 형성되어 있는 부분의 실리콘 층(3)의 상면과, 오목부(14)의 측면이 이루는 각도α는 90°보다도 크다. 각도α는, Cl2나 HBr 등의 에칭 가스에 첨가하는 O2가스의 양에 의해 조정할 수 있다.
이와 같이 각도α를 90°보다도 크게 설정함으로써, 도1에 도시한 구조에 있어서, 코발트 실리사이드 층(13)과 채널 형성 영역 사이의 거리를 비교적 길게 유지할 수 있다. 그 결과, 코발트 실리사이드 층(13)과 채널 형성 영역 사이에 흐르는 접합 리크 전류를 저감시킬 수 있다.
또 도17을 참조하여 실리콘 층(3)의 상면에서 오목부(14)의 저면까지의 깊이(막 두께(D1))는 실리콘 층(3)의 상면에서 불순물 도입 영역(10)의 저면까지의 깊이(D6)보다도 얕다. 오목부(14)의 깊이는 에칭 시간에 의해 조정할 수 있다.
이와 같이 D1<D6으로 설정함으로써, 오목부(14)의 형성에 기인해서 불순물 도입 영역(10)의 기생 저항이 증가하는 것을 억제할 수 있다.
도18을 참조하여 오목부(14)의 단부는, 게이트 절연막(6)의 단부의 아래쪽으로 들어가 있어도 좋다. 예를 들면 등방성 에칭에 의해 실리콘 층(3)을 에칭함으로써 이러한 구조를 얻을 수 있다.
이와 같이 게이트 구조의 단부의 아래쪽으로 들어가도록 오목부(14)를 형성함으로써, 라이프 타임 킬러로서 기능하는 결함을 보다 채널 형성 영역에 근접해서 형성할 수 있다. 그 결과, 기판 플로팅 효과의 발생을 보다 효과적으로 억제할 수 있다.
실시 형태 4.
도19는, 본 발명의 실시 형태 4에 따른 반도체 장치의 구조를 도시하는 단면도이다. SOI 기판(4) 내에는, NMOS 트랜지스터와 PMOS 트랜지스터가 형성되어 있다. NMOS 트랜지스터는 p형의 실리콘 층(31), 게이트 절연막(61), 게이트 전극(71), 코발트 실리사이드 층(81, 131), 사이드 월(91) 및 n형의 소스·드레인 영역(121)을 갖추고 있다. 소스·드레인 영역(121)은 불순물 도입 영역(101, 111)을 가지고 있다. NMOS 트랜지스터에 관해서는, 상기 실시 형태 1과 마찬가지로, 오목부(141)의 저면 내에 소스·드레인 영역(121)이 형성된 구조(이하, 본 명세서에 있어서 「리세스 소스·드레인 구조」라고 칭한다)가 채용되어 있다.
상기 실시 형태 1에서 기술한 바와 같이, 리세스 소스·드레인 구조를 채용하면 기판 플로팅 효과의 발생을 억제할 수 있다. 일반적으로 기판 플로팅 효과는 PMOS 트랜지스터보다도 NMOS 트랜지스터 쪽이 문제가 된다. 그 때문에 NMOS 트랜지스터에 리세스 소스·드레인 구조를 채용함으로써, NMOS 트랜지스터에 관해서 기판 플로팅 효과의 발생을 억제할 수 있다.
또 리세스 소스·드레인 구조를 채용하면, 채널 형성 영역이 코발트 실리사이드 층(131)에 끌어 당겨짐으로써 실리콘 층(31)의 내부에 발생하는 응력이, 리세스 소스·드레인 구조가 채용되어 있지 않은 경우보다도 증가한다. 그 결과, 캐리어의 이동도가 증가하기 때문에 NMOS 트랜지스터에 리세스 소스·드레인 구조를 채용함으로써 전류 구동 능력을 향상시킬 수 있다.
PMOS 트랜지스터는 n형의 실리콘 층(32), 게이트 절연막(62), 게이트 전극(72), 코발트 실리사이드 층(82, 132), 사이드 월(92) 및 p형의 소스·드레인 영역(122)을 갖추고 있다. 소스·드레인 영역(122)은, 불순물 도입 영역(102, 112)을 가지고 있다. PMOS 트랜지스터에 관해서는, 리세스 소스·드레인 구조가 채용되어 있지 않고, 종래의 반도체 장치와 마찬가지로, 실리콘 층(32)의 상면 내에 불순물 도입 영역(102, 112)이 형성된 통상의 소스·드레인 구조가 채용되어 있다.
리세스 소스·드레인 구조를 채용하지 않을 경우는, 리세스 소스·드레인 구조를 채용한 경우와 비교하면, 특히 실리콘 층(3)의 심부에 있어서, 쌍을 이루는 불순물 도입 영역(11) 끼리의 간격을 넓힐 수 있다. 그 때문에 쇼트 채널 효과의 발생을 억제할 수 있다. 일반적으로 쇼트 채널 효과는 NMOS 트랜지스터보다도 PMOS 트랜지스터 쪽이 문제가 된다. 그 때문에 분리 내압보다도 트랜지스터의 성능이 우선되는 경우에는, PMOS 트랜지스터에 리세스 소스·드레인 구조를 채용하지 않음으로써 PMOS 트랜지스터에 관해서 쇼트 채널 효과를 개선할 수 있다.
또 상기한 바와 같이, 리세스 소스·드레인 구조를 채용하면, 채널 형성 영역이 코발트 실리사이드 층(13)에 끌어 당겨짐으로써 실리콘 층(3)의 내부에 발생하는 응력이 증가한다. PMOS 트랜지스터에 관해서는, NMOS 트랜지스터와는 반대로, 상기 응력이 증가하면 캐리어의 이동도가 저하되어 버린다. 그 때문에 PMOS트랜지스터에는 리세스 소스·드레인 구조를 채용하지 않음으로써 전류 구동 능력이 저하하는 것을 회피할 수 있다.
NMOS 트랜지스터와 PMOS 트랜지스터의 사이에는 저면의 일부가 BOX층(2)의 상면에 도달하는, 이른바 완전분리형의 소자 분리 절연막(23)이 형성되어 있다. 이것에 의해 NMOS 트랜지스터와 PMOS 트랜지스터는 서로 전기적으로 분리되어 있다. 이 분리 구조를 이용함으로써 래치 업을 억제할 수 있다. 이 분리 구조는 다른 모든 실시 형태에도 적용가능하다.
도20 내지 27은 본 실시 형태 4에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도이다. 도20을 참조하여 열 산화법에 의해 실리콘 산화막(15)을 실리콘 층(31, 32)의 상면 위에 형성한다. 다음에 CVD법에 의해 폴리실리콘 막(16)을 전면적으로 형성한다.
도21을 참조하여 다음에 사진 제판법 및 이방성 드라이 에칭법에 의해 폴리실리콘 막(16)을 패터닝 한다. 이것에 의해 폴리실리콘 막(191) 및 게이트 전극 (72)이 형성된다. 또한 실리콘 산화막(15)의 일부가 에칭되어 실리콘 산화막(181, 182)이 형성된다.
도22를 참조하여 다음에 사진 제판법 및 이온 주입법에 의해 n형의 불순물을 실리콘 산화막(181)을 통해서 실리콘 층(31)의 상면 내에 주입함으로써, 불순물 도입 영역(101)을 형성한다. 다음에 사진 제판법 및 이온 주입법에 의해 p형의 불순물을 실리콘 산화막(182)을 통해서 실리콘 층(32)의 상면 내에 주입함으로써, 불순물 도입 영역(102)을 형성한다.
도23을 참조하여 다음에 CVD법에 의해 실리콘 질화막을 전면적으로 형성한 후에 에치백을 행한다. 이것에 의해 폴리실리콘 막(191)의 측면에 사이드 월(91)이 형성되는 동시에, 게이트 전극(72)의 측면에 사이드 월(92)이 형성된다. 또한 게이트 절연막(61, 62)이 형성된다.
도24를 참조하여 다음에 사진 제판법에 의해 PMOS 트랜지스터의 형성 예정 영역을 덮어서 포토레지스트(24)를 형성한다.
도25를 참조하여 다음에 포토레지스트(24)를 에칭 마스크에 이용하여 실리콘 및 폴리실리콘은 에칭되기 쉽고, 실리콘 산화막 및 실리콘 질화막은 에칭되기 어려운 조건으로 이방성 드라이 에칭을 행한다. 이것에 의해 포토레지스트(24), 게이트 절연막(61) 및 소자 분리 절연막(5, 23)으로부터 노출되어 있는 부분의 실리콘 층(31)이 에칭되어 오목부(141)가 형성된다. 또한 폴리실리콘 막(191)의 상부가 아울러 에칭되어 게이트 전극(71)이 형성된다.
도26을 참조하여 다음에 포토레지스트(24)를 주입 마스크에 이용하여, 이온 주입법에 의해 n형의 불순물을 실리콘 층(31)의 상면 내에 주입함으로써 불순물 도입 영역(111)을 형성한다. n형의 불순물은 게이트 전극(71) 내에도 주입된다. 그후, 포토레지스트(24)를 제거한다.
도27을 참조하여 다음에 사진 제판법에 의해 NMOS 트랜지스터의 형성 예정 영역을 덮어서 포토레지스트(25)를 형성한다. 다음에 포토레지스트(25)를 주입 마스크에 이용하여, 이온 주입법에 의해 p형의 불순물을 실리콘 층(32)의 상면 내에 주입함으로써 불순물 도입 영역(112)을 형성한다. p형의 불순물은 게이트 전극(72)내에도 주입된다. 그 후, 포토레지스트(25)를 제거한다.
그 후, 게이트 전극(71, 72) 및 소스·드레인 영역(121, 122)의 각 상면을 실리사이드화 해서 코발트 실리사이드 층(81, 82, 131, 132)을 형성함으로써 도19에 도시한 구조를 얻을 수 있다.
도28은 본 실시 형태 4의 변형예에 따른 반도체 장치의 구조를 도시하는 단면도이다. PMOS 트랜지스터에 관해서는, 상기 실시 형태 1과 마찬가지로, 오목부(142)의 저면 내에 소스·드레인 영역(122)이 형성된 리세스 소스·드레인 구조가 채용되어 있다.
상기 실시 형태 1에서 기술한 바와 같이, 리세스 소스·드레인 구조의 채용에 의해 게이트 폴리실리콘을 박막화함으로써 게이트 공핍화를 억제할 수 있다. 일반적으로 게이트 공핍화는 NMOS 트랜지스터보다도 PMOS 트랜지스터 쪽이 문제가 된다. 그 때문에 PMOS 트랜지스터에 리세스 소스·드레인 구조를 채용함으로써 PMOS 트랜지스터의 게이트 공핍화를 효과적으로 억제할 수 있다.
NMOS 트랜지스터에 관해서는 리세스 소스·드레인 구조가 채용되어 있지 않고, 종래의 반도체 장치와 마찬가지로 통상의 소스·드레인 구조가 채용되어 있다.
리세스 소스·드레인 구조를 채용하지 않을 경우는 리세스 소스·드레인 구조를 채용한 경우와 비교하면, 소스·드레인 영역(12)의 기생 저항이 작아진다. 오목부(14)가 형성되지 않는 분만큼, 불순물 도입 영역(102, 112)의 면적 축소를 회피할 수 있기 때문이다. 일반적으로 고속 동작이 요구되는 로직 회로 등에 있어서는 PMOS 트랜지스터보다도 NMOS 트랜지스터가 다용되고 있다. NMOS 트랜지스터에 리세스 소스·드레인 구조를 채용하지 않음으로써 소스·드레인 영역(12)의 기생 저항의 증가에 기인해서 동작 속도가 저하하는 것을 회피할 수 있다.
또한 도19, 28에서는 NMOS 트랜지스터 및 PMOS 트랜지스터 중 어느 한 쪽에만 리세스 소스·드레인 구조가 채용되어 있는 경우를 도시했지만, NMOS 트랜지스터 및 PMOS 트랜지스터의 쌍방에 리세스 소스·드레인 구조가 채용되어 있어도 상관없다.
실시 형태 5.
도29는 본 발명의 실시 형태 5에 따른 반도체 장치의 구조를 도시하는 단면도이다. SOI 기판(4) 내에는 비교적 낮은 전원 전압(예를 들면 1.0V)으로 동작되는 MOS 트랜지스터(이하 「저전압 동작 트랜지스터」라고 칭한다)와, 비교적 높은 전원 전압(예를 들면 3.3V)으로 동작되는 MOS 트랜지스터(이하 「고전압 동작 트랜지스터)가 형성되어 있다. 저전압 동작 트랜지스터는 예를 들면 CPU코어를 구성하는 트랜지스터이다. 고전압 동작 트랜지스터는 예를 들면 입출력 회로를 구성하는 트랜지스터이다.
저전압 동작 트랜지스터는 게이트 절연막(6), 게이트 전극(7), 코발트 실리사이드 층(8, 13), 사이드 월(9) 및 소스·드레인 영역(12)을 갖추고 있다. 소스·드레인 영역(12)은 불순물 도입 영역(10, 11)을 가지고 있다. 저전압 동작 트랜지스터에 관해서는 리세스 소스·드레인 구조가 채용되어 있다.
리세스 소스·드레인 구조를 채용함으로써, 저전압 동작 트랜지스터에 관해서 기판 플로팅 효과의 발생이나 분리 내압의 저하를 억제할 수 있다.
고전압 동작 트랜지스터는 게이트 절연막(30), 게이트 전극(31), 코발트 실리사이드 층(32, 37), 사이드 월(33) 및 소스·드레인 영역(36)을 갖추고 있다. 소스·드레인 영역(36)은 불순물 도입 영역(34, 35)을 가지고 있다. 고전압 동작 트랜지스터에 관해서는 리세스 소스·드레인 구조가 채용되어 있지 않다.
일례로서, 저전압 동작 트랜지스터의 게이트 길이가 80nm정도인데 대하여, 고전압 동작 트랜지스터의 게이트 길이는 0.4㎛정도이다. 다시 말해 고전압 동작 트랜지스터는 저전압 동작 트랜지스터보다도 게이트 길이가 길다. 따라서 고전압 동작 트랜지스터에 관해서는, 소자 분리 절연막(5)의 상면과 실리콘 층(3)의 상면의 단차가 커지도록 소자 분리 절연막(5)의 막 두께를 두껍게 함으로써 분리 내압의 저하를 억제할 수 있다. 상기 단차에 기인해서 게이트 전극(31)의 치수에 변동이 생겼다고 하더라도 처음부터 게이트 길이가 길기 때문에 치수의 변동이 동작 속도의 저하나 특성의 편차에 끼치는 영향이 적기 때문이다.
또 SOI 기판(4)의 상면 중 입출력 회로가 차지하는 면적은 CPU코어가 차지하는 면적보다도 충분히 작다. 따라서 입출력 회로에 관해서 디자인 룰을 크게 설정했다고 하더라도 IC칩의 대형화에 대한 영향은 비교적 적다. 디자인 룰을 크게 설정하면, 소자 분리 절연막(5)의 분리 길이도 길어지기 때문에 리세스 소스·드레인 구조를 채용할 필요도 없이 원하는 분리 내압을 확보할 수 있다.
이상과 같이, 소자 분리 절연막(5)의 막 두께를 두껍게 하거나, 디자인 룰을 크게 설정할 수 있는 경우는, 고전압 동작 트랜지스터에 관해서 리세스 소스·드레인 구조를 채용하지 않고 저전압 동작 트랜지스터에 관해서만 채용하는 것이 가능하다. 상기한 바와 같이, 리세스 소스·드레인 구조를 채용하지 않음으로써 기생 저항의 증가에 기인하는 동작 속도의 저하를 회피할 수 있다.
도30은 본 실시 형태 5의 변형예에 따른 반도체 장치의 구조를 도시하는 단면도이다. 고전압 동작 트랜지스터에 관해서는, 오목부(38)의 저면 내에 소스·드레인 영역(36)이 형성된 리세스 소스·드레인 구조가 채용되어 있다.
입출 회로에 관해서, 소자 분리 절연막(5)의 막 두께를 두껍게 하거나, 디자인 룰을 크게 설정할 수 없는 경우도 있다. 이러한 경우, 고전압 동작 트랜지스터에 대해서는 저전압 동작 트랜지스터보다도 높은 분리 내압이 요구된다. 고전압 동작 트랜지스터에는 저전압 동작 트랜지스터보다도 높은 전원 전압이 인가되기 때문이다. 따라서 이러한 경우는 고전압 동작 트랜지스터에 리세스 소스·드레인 구조를 채용함으로써 분리 내압을 높일 수 있다.
한편, 저전압 동작 트랜지스터에 관해서는, 리세스 소스·드레인 구조가 채용되어 있지 않고, 통상의 소스·드레인 구조가 채용되어 있다.
상기한 바와 같이, 리세스 소스·드레인 구조를 채용하지 않을 경우는, 오목부(14)가 형성되지 않는 분만큼 소스·드레인 영역(12)의 기생 저항이 작아진다. 따라서 고속 동작이 요구되는 CPU코어에 있어서는, 저전압 동작 트랜지스터에 리세스 소스·드레인 구조를 채용하지 않음으로써 기생 저항의 증가에 기인하는 동작 속도의 저하를 회피할 수 있다.
또한 도29, 30에서는 저전압 동작 트랜지스터 및 고전압 동작 트랜지스터 중 어느 한 쪽에만 리세스 소스·드레인 구조가 채용되어 있는 경우를 도시했지만, 저전압 동작 트랜지스터 및 고전압 동작 트랜지스터의 쌍방에 리세스 소스·드레인 구조가 채용되어 있어도 상관없다.
저전압 동작 트랜지스터 및 고전압 동작 트랜지스터 중 어느 한 쪽에만 리세스 소스·드레인 구조를 채용하고 싶은 경우에는, 상기 실시 형태 4와 마찬가지로, 포토레지스트(24, 25)를 이용하여 소스·드레인 영역의 구조를 만들어 나누면 된다.
또 NMOS구조의 저전압 트랜지스터와 PMOS구조의 저전압 트랜지스터가 혼재하고 있는 경우에, 상기 실시 형태 4와 마찬가지로, NMOS 및 PMOS 중 한 쪽 트랜지스터에만 리세스 소스·드레인 구조를 채용해도 좋다. NMOS구조의 고전압 트랜지스터와 PMOS구조의 고전압 동작 트랜지스터가 혼재하고 있는 경우에 대해서도 마찬가지이다.
실시 형태 6.
도31은 본 발명의 실시 형태 6에 따른 반도체 장치의 제조 방법의 한 공정을 도시하는 단면도이다. 상기 실시 형태 1 등에서는, 폴리실리콘 막(19) 위에 형성된 실리콘 산화막(20)이 제거된 후에, 오목부(14)를 형성하기 위한 에칭이 행하여졌다. 그 때문에 도7에 도시한 바와 같이, 에칭에 의해 폴리실리콘 막(19)이 박막화된 결과로서 게이트 전극(7)이 형성되었다.
이것에 대하여 본 실시 형태 6에서는 도31에 도시하는 바와 같이, 폴리실리콘 막(19) 위에, 실리콘 산화막(20)이 아니라 실리콘 질화막(40)을 형성한다. 그러면 도6에 도시한 공정으로 실리콘 질화막(40)이 에칭되지 않기 때문에, 오목부(14)를 형성하기 위한 에칭 공정에 있어서, 실리콘 질화막(40)이 에칭 마스크로서 기능함으로써 폴리실리콘 막(19)은 에칭되지 않는다. 따라서 폴리실리콘 막(19)이 그대로 게이트 전극이 된다.
불순물 도입 영역(11)을 형성하기 위한 이온 주입 공정(도8)에 있어서, 실리콘 질화막(40)을 통해서 폴리실리콘 막(19) 내에도 불순물이 이온 주입된다.
이와 같이 본 실시 형태 6에 따른 반도체 장치 및 그 제조 방법에 의하면, 상기 실시 형태 1과 비교해서 게이트 전극의 막 두께가 두꺼워진다. 따라서 불순물 도입 영역(11)을 형성하기 위한 이온 주입 공정에 있어서, 폴리실리콘 막(19) 내에 주입된 불순물이 폴리실리콘 막(19)을 꿰뚫고 나가 게이트 절연막(6)이나 채널 형성 영역에까지 도달하는 것을 억제할 수 있다. 그 결과, 불순물이 꿰뚫고 나가는 것에 기인하는 임계값 전압의 변동을 억제할 수 있다.
또 폴리실리콘 막(19)의 막 두께가 그대로 게이트 전극의 막 두께가 되기 때문에, 상기 실시 형태 1 등과 비교하여 게이트 전극의 막 두께 제어가 용이해진다.
본 실시 형태 6에 따른 리세스 소스·드레인 구조는 다른 모든 실시 형태에도 적용할 수 있다.
실시 형태 7.
도32, 33은 본 발명의 실시 형태 7에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도이다. 도32를 참조하여 도31에 도시하는 구조를 얻은 후, CVD법에 의해 실리콘 질화막 또는 실리콘 산화막을 전면에 형성한다. 다음에 그 실리콘 질화막 또는 실리콘 산화막을 에치 백함으로써, 사이드 월(41, 42)을 형성한다. 사이드 월(41)은 사이드 월(9)의 측면에 접해서 오목부(14)의 저면 위에 형성되어 있다. 사이드 월(42)은 소자 분리 절연막(5)의 측면에 접해서 오목부(14)의 저면 위에 형성되어 있다.
도33을 참조하여 다음에 이온 주입법에 의해 불순물 도입 영역(11)을 형성한다. 다음에 소스·드레인 영역(12)의 상면을 실리사이드화함으로써 코발트 실리사이드 층(43)을 형성한다. 코발트 실리사이드 층(43)은 사이드 월(41, 42)로부터 노출되어 있는 부분의 오목부(14)의 저면 위에 형성되어 있다.
또한 이상의 설명에서는, 상기 실시 형태 6을 기초로 하여 본 실시 형태 7에 따른 반도체 장치의 제조 방법에 대해서 진술했지만, 본 실시 형태 7에 따른 발명은 다른 모든 실시 형태에 적용할 수 있다.
또 사이드 월(41)을 형성한 후에 불순물 도입 영역(11)을 형성했지만, 이것과는 반대로 불순물 도입 영역(11)을 형성한 후에 사이드 월(41)을 형성해도 좋다.
또한 소자 분리 절연막(5)의 상면과 오목부(14)의 저면의 단차가 작은 경우에는 사이드 월(42)이 형성되지 않을 수도 있다.
또 본 실시 형태 7에 따른 반도체 장치의 제조 방법이, 실리사이드 프로텍션 막의 형성 공정(실리사이드 프로텍션 공정)을 구비하는 경우에는, 실리사이드 프로텍션 막의 일부로서 사이드 월(41, 42)을 형성해도 좋다. 실리사이드 프로텍션 공정은, 실리사이드화되지 않음으로써 고저항의 폴리실리콘 막을 형성하는 공정이다. 실리사이드 프로텍션 공정에 있어서 사이드 월(41, 42)을 아울러 형성함으로써 제조 공정수의 증가를 방지할 수 있다.
이와 같이 본 실시 형태 7에 따른 반도체 장치 및 그 제조 방법에 의하면, 사이드 월(41)이 형성되는 것에 기인하여 코발트 실리사이드 층(43)과 채널 형성 영역 사이의 거리가 길어진다. 그 결과, 코발트 실리사이드 층(43)과 채널 형성 영역 사이에 흐르는 접합 리크 전류를 저감시킬 수 있다.
또 사이드 월(42)이 형성되는 것에 기인하여 코발트 실리사이드 층(43)과, 소자 분리 절연막(5)의 아래쪽에 위치하는 부분의 실리콘 층(3) 사이의 거리가 길어진다. 그 결과, 코발트 실리사이드 층(43)과, 이 부분의 실리콘 층(3) 사이에 흐르는 접합 리크 전류를 저감시킬 수 있다.
실시 형태 8.
도34, 35는 본 발명의 실시 형태 8에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도이다. 도34를 참조하여 도8에 도시하는 구조를 얻은 후, 선택적 에피택셜 성장법에 의해 게이트 전극(7) 위 및 소스·드레인 영역(12) 위에실리콘 층(50, 51)을 각각 형성한다. 다음에 이온 주입법에 의해 실리콘 층(50, 51) 내에 n형의 불순물을 고농도로 주입한다.
도35를 참조하여 다음에 실리콘 층(50, 51)의 각 상면을 실리사이드화함으로써 코발트 실리사이드 층(52, 53)을 형성한다. 실리콘 층(50, 51) 내에 n형의 불순물이 주입되어 있기 때문에 실리콘 층(50, 51)과 코발트 실리사이드 층(52, 53)의 접촉 저항은 저감되어 있다.
이와 같이 본 실시 형태 8에 따른 반도체 장치 및 그 제조 방법에 의하면, 코발트 실리사이드 층(53)은 소스·드레인 영역(12) 위에 형성된 실리콘 층(51) 위에 형성되어 있다. 따라서 코발트 실리사이드 층(53)과 채널 형성 영역 사이의 거리가 길어지기 때문에 양자간에 흐르는 접합 리크 전류를 저감시킬 수 있다.
실시 형태 9.
도36 내지 38은 본 발명의 실시 형태 9에 따른 반도체 장치의 제조 방법을 공정순으로 도시하는 단면도이다. 도36을 참조하여 도4에 도시하는 구조를 얻은 후, CVD법에 의해 실리콘 질화막(60)을 전면적으로 형성한다. 다음에 사진 제판법에 의해 소자 분리 절연막(5)의 윗쪽에 위치하는 부분의 실리콘 질화막(60) 위에 포토레지스트(61)를 형성한다.
도37을 참조하여 다음에 포토레지스트(61)를 에칭 마스크에 이용하여, 이방성 드라이 에칭법에 의해 실리콘 질화막(60)을 에치 백한다. 이것에 의해 사이드 월(9)이 형성되는 동시에, 소자 분리 절연막(5) 위에 실리콘 질화막(62)이 형성된다. 다음에 포토레지스트(61)를 제거한다.
도38을 참조하여 다음에 실리콘 산화막(20)과, 사이드 월(9) 및 폴리실리콘 막(19)으로부터 노출되어 있는 부분의 실리콘 산화막(18)을 제거한다. 다음에 게이트 절연막(6) 및 소자 분리 절연막(5)으로부터 노출되어 있는 부분의 실리콘 층(3)을 에칭함으로써 오목부(14)를 형성한다. 또한 폴리실리콘 막(19)의 상부를 아울러 에칭함으로써 게이트 전극(7)을 형성한다. 그 후에는, 도8에 도시한 공정 이후의 공정이 순서대로 실행된다.
이와 같이 본 실시 형태 9에 따른 반도체 장치 및 그 제조 방법에 의하면, 소자 분리 절연막(5) 위에 실리콘 질화막(62)이 형성된 구조와, 리세스 소스·드레인 구조를 조합시킴으로써 분리 내압의 저하를 효과적으로 억제하는 것이 가능해진다. 다시 말해 사이드 월(9)을 형성하는 공정에 있어서, 실리콘 질화막(62)이 소자 분리 절연막(5) 위에 아울러 형성됨으로써 실질적으로 소자 분리 절연막(5)의 막 두께가 두꺼워진다. 그 때문에 불순물 도입 영역(11)을 형성하기 위한 이온 주입 공정에 있어서, n형의 불순물이 소자 분리 절연막(5)을 꿰뚫고 나가서 실리콘 층(3) 내에 주입되는 것을 더욱 억제할 수 있다.
또한 도37에는 전체가 소자 분리 절연막(5) 위에 형성된, 협폭의 실리콘 질화막(62)을 도시했지만, 단부가 실리콘 산화막(18) 위에 형성된, 광폭의 실리콘 질화막(62)을 형성해도 좋다. 이 경우, 불순물 도입 영역(11)의 면적이 작아지기 때문에 접합 용량을 저감시킬 수 있다.
실시 형태 10.
도39는 본 발명의 실시 형태 10에 따른 반도체 장치의 구조를 도시하는 단면도이다. 도1에 도시한 상기 실시 형태 1에 따른 반도체 장치를 기초로 하여 n형의 불순물 도입 영역(70)이 실리콘 층(3)의 상면 내에 또 형성되어 있다. 다시 말해 소스·드레인 영역(12)은 모두 n형의 불순물 도입 영역(10, 11, 70)을 가지고 있다.
도40 및 41은 본 실시 형태 10에 따른 반도체 장치의 제1의 제조 방법을 공정순으로 도시하는 단면도이다. 우선, 상기 실시 형태 1과 동일한 방법에 의해 도6에 도시한 구조를 얻는다.
도40을 참조하여 다음에 이온 주입법에 의해 에너지가 1 내지 30KeV정도, 농도가 1×1015/cm2정도의 조건으로 비소 등의 n형의 불순물을 실리콘 층(3)의 상면 내에 주입함으로써 불순물 도입 영역(70)을 형성한다.
도41을 참조하여 다음에 실리콘 및 폴리실리콘은 에칭되기 쉽고, 실리콘 산화막 및 실리콘 질화막은 에칭되기 어려운 조건으로 이방성 드라이 에칭을 행한다. 이것에 의해 게이트 절연막(6) 및 소자 분리 절연막(5)으로부터 노출되어 있는 부분의 실리콘층(3)이 막 두께(D1)만큼 에칭되어 오목부(14)가 형성된다. 또한 폴리실리콘 막(19)의 상부가 아울러 에칭되어 게이트 전극(7)이 형성된다. 그 후, 도8에 도시한 공정 이후의 공정이 실행되어 반도체 장치가 완성된다.
도42는 도41에 도시한 구조 중, 오목부(14)가 형성되어 있는 부근의 구조를 확대해서 도시하는 단면도이다. 실리콘 층(3)의 상면에서 불순물 도입 영역(70)의 저면까지의 깊이(D7)는, 실리콘 층(3)의 상면에서 불순물 도입 영역(10)의 저면까지의 깊이(D6)보다도 깊다. 또 실리콘 층(3)의 상면에서 오목부(14)의 저면까지의 깊이(막 두께(D1))는 깊이(D7)보다도 얕다. 도41에 도시한 공정에서는, 막 두께(D1)가 깊이(D7) 미만이 되도록 에칭이 제어된다. 또한 도42에는 막 두께(D1)가 깊이(D6) 미만인 구조를 도시했지만, 본 실시 형태 10에 있어서, 막 두께(D1)는 깊이(D6) 이상이어도 좋다.
도43 및 44는 본 실시 형태 10에 따른 반도체 장치의 제2의 제조 방법을 공정순으로 도시하는 단면도이다. 우선, 상기 실시 형태 1과 동일한 방법에 의해 도7에 도시한 구조를 얻는다.
도43을 참조하여 다음에 이온 주입법에 의해 에너지가 1 내지 30KeV정도, 농도가 1×1015/cm2정도의 조건으로 비소 등의 n형의 불순물(71)을 실리콘 층(3) 내에 주입함으로써 불순물 도입 영역(70)을 형성한다. 불순물(71)의 주입방향은 SOI 기판(4)의 상면의 법선 방향에 대하여 30°정도 경사져 있다.
도44를 참조하여 다음에 도8에 도시한 공정과 마찬가지로, n형의 불순물을 실리콘 층(3)의 상면 내에 이온 주입함으로써 불순물 도입 영역(11)을 형성한다. 그 후, 게이트 전극(7) 및 소스·드레인 영역(12)의 각 상면을 실리사이드화함으로써 반도체 장치가 완성된다.
이와 같이 본 실시 형태 10에 따른 반도체 장치 및 그 제조 방법에 의하면, 오목부(14)의 형성에 기인해서 소스·드레인 영역(12)의 기생 저항이 증가하는 것을, 불순물 도입 영역(70)을 추가함으로써 더욱 억제할 수 있다.
또 도41에 도시한 공정에서는 막 두께(D1)가 깊이(D6)가 아니라 깊이(D7) 미만이 되도록 에칭이 제어되기 때문에 상기 실시 형태 1보다도 오목부(14)를 깊게 형성할 수 있다. 그 때문에 불순물 도입 영역(11)의 형성 공정(도8, 44)에 있어서의 이온 주입의 주입 에너지를, 상기 실시 형태 1보다도 낮게 설정할 수 있다. 따라서 소자 분리 절연막(5)의 저면과 BOX층(2)의 상면 사이에 위치하는 부분의 p형의 실리콘 층(3) 내에 n형의 불순물이 주입되는 것을 상기 실시 형태 1보다도 확실하게 회피할 수 있고, 그 결과, 분리 내압이 저하하는 것을 보다 확실하게 회피할 수 있다.
또한 불순물 도입 영역(70)을 형성하기 위한 이온 주입에 의해 n형의 불순물은 게이트 전극(7) 내에도 아울러 주입된다. 그 결과, 게이트 공핍화를 더욱 억제할 수 있기 때문에 전류 구동 능력을 더욱 향상시킬 수 있다.
또한 불순물 도입 영역(70)을 추가하는 것이 아니라, 상기 실시 형태 1에 있어서, 실리콘 층(3)의 상면에서 불순물 도입 영역(10)의 저면까지의 깊이(D6)를 보다 크게 설정하는 것에 의해서도, 소스·드레인 영역(12)의 기생 저항의 증가를 억제하는 것은 가능하다. 그렇지만 깊이(D6)의 값은 쇼트 채널 효과에 지배적으로 영향을 주어 깊이(D6)가 클수록 쇼트 채널 효과의 영향이 커진다. 따라서 상기 실시 형태 1에 있어서, 깊이(D6)를 보다 크게 설정하는 것은 바람직하지 않다. 이것에 대하여, 본 실시 형태 10에 따른 반도체 장치 및 그 제조 방법에 의하면, 깊이(D6)를 보다 크게 설정할 필요가 없기 때문에 쇼트 채널 효과의 영향이 커지는 것을 회피할 수 있다.
이상에서는 본 실시 형태 10에 따른 발명을 상기 실시 형태 1에 적용한 예에 대해서 기술했지만, 본 실시 형태 10에 따른 발명은 다른 모든 실시 형태에도 적용하는 것이 가능하다.
실시 형태 11.
상기 실시 형태 10에서는 NMOS 트랜지스터만을 형성하는 예에 대해서 기술했지만, 본 실시 형태 11에서는 상기 실시 형태 10에 따른 발명을 CMOS 트랜지스터의 형성에 적용할 경우의 제조 방법에 대해서 설명한다.
도45 내지 51은 본 발명의 실시 형태 11에 따른 반도체 장치의 제1의 제조 방법을 공정순으로 도시하는 단면도이다. 우선, 상기 실시 형태 4와 동일한 방법에 의해 도24에 도시한 구조를 얻는다.
도45를 참조하여 다음에 포토레지스트(24)를 주입 마스크에 이용하여, 이온 주입법에 의해 n형의 불순물을 실리콘 층(31)의 상면 내에 주입함으로써 불순물 도입 영역(701)을 형성한다.
도46을 참조하여 다음에 포토레지스트(24)를 에칭 마스크에 이용하여 실리콘 및 폴리실리콘은 에칭되기 쉽고, 실리콘 산화막 및 실리콘 질화막은 에칭되기 어려운 조건으로 이방성 드라이 에칭을 행한다. 이것에 의해 포토레지스트(24), 게이트 절연막(61) 및 소자 분리 절연막(5, 23)으로부터 노출되어 있는 부분의 실리콘 층(31)이 에칭되어 오목부(141)가 형성된다. 또한 폴리실리콘 막(191)의 상부가 아울러 에칭되어 게이트 전극(71)이 형성된다.
도47을 참조하여 다음에 포토레지스트(24)를 주입 마스크에 이용하여, 이온 주입법에 의해 n형의 불순물을 실리콘 층(31)의 상면 내에 주입함으로써 불순물 도입 영역(111)을 형성한다. n형의 불순물은 게이트 전극(71) 내에도 주입된다.
도48을 참조하여 다음에 포토레지스트(24)를 제거한다. 다음에 사진 제판법에 의해 NMOS 트랜지스터의 형성 예정 영역을 덮어서 포토레지스트(25)를 형성한다.
도49를 참조하여 다음에 포토레지스트(25)를 주입 마스크에 이용하여, 이온 주입법에 의해 p형의 불순물을 실리콘 층(32)의 상면 내에 주입함으로써 불순물 도입 영역(702)을 형성한다.
도50을 참조하여 다음에 포토레지스트(25)를 에칭 마스크에 이용하여, 실리콘 및 폴리실리콘은 에칭되기 쉽고, 실리콘 산화막 및 실리콘 질화막은 에칭되기 어려운 조건으로 이방성 드라이 에칭을 행한다. 이것에 의해 포토레지스트(25), 게이트 절연막(62) 및 소자 분리 절연막(5, 23)으로부터 노출되어 있는 부분의 실리콘 층(32)이 에칭되어 오목부(142)가 형성된다. 또한 폴리실리콘 막(192)의 상부가 아울러 에칭되어 게이트 전극(72)이 형성된다.
도51을 참조하여 다음에 포토레지스트(25)를 주입 마스크에 이용해서, 이온주입법에 의해 p형의 불순물을 실리콘 층(32)의 상면 내에 주입함으로써 불순물 도입 영역(112)을 형성한다. p형의 불순물은 게이트 전극(72) 내에도 주입된다.
그 후, 포토레지스트(25)를 제거한 후, 게이트 전극(71, 72) 및 소스·드레인 영역(121, 122)의 각 상면을 실리사이드화함으로써 반도체 장치가 완성된다.
도52 내지 56은 본 실시 형태 11에 따른 반도체 장치의 제2의 제조 방법을 공정순으로 도시하는 단면도이다. 우선, 상기 실시 형태 4와 동일한 방법에 의해 도23에 도시한 구조를 얻는다.
도52를 참조하여 다음에 사진 제판법에 의해 PMOS 트랜지스터의 형성 예정 영역을 덮어서 포토레지스트(241)를 형성한다. 다음에 포토레지스트(241)를 주입 마스크에 이용하여, 이온 주입법에 의해 n형의 불순물을 실리콘 층(31)의 상면 내에 주입함으로써 불순물 도입 영역(701)을 형성한다.
도53을 참조하여 다음에 포토레지스트(241)를 제거한다. 다음에 사진 제판법에 의해 NMOS 트랜지스터의 형성 예정 영역을 덮어서 포토레지스트(251)를 형성한다. 다음에 포토레지스트(251)를 주입 마스크에 이용하여, 이온 주입법에 의해 p형의 불순물을 실리콘 층(32)의 상면 내에 주입함으로써 불순물 도입 영역(702)을 형성한다.
도54를 참조하여 다음에 포토레지스트(251)를 제거한다. 다음에 실리콘 및 폴리실리콘은 에칭되기 쉽고, 실리콘 산화막 및 실리콘 질화막은 에칭되기 어려운 조건으로 이방성 드라이 에칭을 행한다. 이것에 의해 게이트 절연막(61, 62) 및 소자 분리 절연막(5, 23)으로부터 노출되어 있는 부분의 실리콘 층(31, 32)이 에칭되어 오목부(141, 142)가 형성된다. 또한 폴리실리콘 막(191, 192)의 상부가 아울러 에칭되어 게이트 전극(71, 72)이 형성된다.
도55를 참조하여 다음에 사진 제판법에 의해 PMOS 트랜지스터의 형성 예정 영역을 덮어서 포토레지스트(242)를 형성한다. 다음에 포토레지스트(242)를 주입 마스크에 이용하여, 이온 주입법에 의해 n형의 불순물을 실리콘 층(31)의 상면 내에 주입함으로써 불순물 도입 영역(111)을 형성한다.
도56을 참조하여 다음에 포토레지스트(242)를 제거한다. 다음에 사진 제판법에 의해 NMOS 트랜지스터의 형성 예정 영역을 덮어서 포토레지스트(252)를 형성한다. 다음에 포토레지스트(252)를 주입 마스크에 이용하여, 이온 주입법에 의해 p형의 불순물을 실리콘 층(32)의 상면 내에 주입함으로써 불순물 도입 영역(112)을 형성한다.
그 후, 포토레지스트(252)를 제거한 후, 게이트 전극(71, 72) 및 소스·드레인 영역(121, 122)의 각 상면을 실리사이드화함으로써 반도체 장치가 완성된다.
본 실시 형태 11에 따른 반도체 장치의 제1의 제조 방법에 의하면, 도45 내지 51에 도시한 공정 내에서는 사진 제판공정이 전체 1회로 충분하다. 그 때문에 도52 내지 56에 도시한 공정 내에서 사진 제판공정이 전체 4회 필요한 상기 제2의 제조 방법과 비교하여 제조 공정수를 삭감할 수 있다고 하는 효과를 얻을 수 있다.
한편, 본 실시 형태 11에 따른 반도체 장치의 제2의 제조 방법에 의하면, 도54에 도시한 1회의 에칭 공정에 의해 오목부(141, 142)가 아울러 형성된다. 그 때문에 오목부(141, 142)가 별개의 에칭 공정에 의해 형성되는 상기 제1의 제조 방법과 비교하면, 에칭에 수반하여 에칭 챔버 내에 발생하는 먼지를 저감시킬 수 있다. 그 결과, 수율을 향상시킬 수 있다고 하는 효과를 얻을 수 있다. 또한 에칭및 이온 주입의 쌍방의 영향을 받는 포토레지스트(24, 25)와 비교하면, 이온 주입의 영향만을 받는 포토레지스트(242, 252)는 애싱에 의해 제거하기 쉽다고 하는 효과도 얻을 수 있다.
실시 형태 12.
도57은 본 발명의 실시 형태 12에 따른 반도체 장치의 제조 방법의 한 공정을 도시하는 단면도이다. 우선, 상기 실시 형태 1과 동일한 방법에 의해 도6에 도시한 구조를 얻는다.
다음에 이온 주입법에 의해 오목부(14)의 형성 예정 영역에 있어서의 실리콘층(3) 내에 실리콘 이온(80)을 주입한다. 주입농도는, 1015/cm2정도의 오더이다. 단, 실리콘 이온(80) 대신에, 아르곤 이온 또는 게르마늄 이온 등을 주입해도 좋다. 이것에 의해 오목부(14)의 형성 예정 영역에 있어서의 실리콘 층(3)이 비결정질화 되어 비결정질 실리콘 영역(81)이 형성된다. 이온 주입법에 의해 비결정질 실리콘 영역(81)이 형성되기 때문에 실리콘 층(3)의 상면에서 비결정질 실리콘 영역(81)의 저면까지의 깊이는 웨이퍼면 내에 있어서 균일해진다. 그 후에는, 도7에 도시한 공정 이후의 공정이 순서대로 실행된다.
이와 같이 본 실시 형태 12에 따른 반도체 장치의 제조 방법에 의하면, 오목부(14)의 형성 예정 영역에 있어서의 실리콘 층(3)을 비결정질화 한 후에, 비결정질 실리콘 영역(81)을 에칭함으로써 오목부(14)가 형성된다. 비결정질 실리콘 영역 (81)은 실리콘 층(3)의 다른 부분(즉 단결정 실리콘 영역)에 비교해서 에칭 레이트가 충분히 높다. 따라서 비결정질 실리콘 영역(81)과 단결정 실리콘 영역의 에칭 레이트의 차이에 기인하여 웨이퍼면 내에 있어서 오목부(14)의 깊이를 균일하게 할 수 있다.
이상에서는 본 실시 형태 12에 따른 발명을 상기 실시 형태 1에 적용한 예에 대해서 기술했지만, 본 실시 형태 12에 따른 발명은 다른 모든 실시 형태에도 적용하는 것이 가능하다.
본 발명에 따르면 오목부를 형성함으로써 반도체 층을 미리 박막화한 후에소스·드레인 영역을 형성할 수 있다. 따라서 소자 분리 절연막의 저면과 절연층의 상면 사이에 위치하고 있는 부분의 제1 도전형의 반도체 층 내에, 제2 도전형의 불순물이 주입되지 않기 때문에 분리 내압이 저하하는 것을 회피할 수 있다. 게다가 소스·드레인 영역은 절연층에 도달해서 형성되어 있기 때문에 소스·드레인 영역의 접합 용량도 증가하지 않는다.

Claims (11)

  1. 반도체 기판, 절연층 및 제1 도전형의 반도체 층이 이 순서대로 적층된 구조를 가지는 SOI 기판과,
    상기 반도체 층의 주면 내에 부분적으로 형성되어, 상기 절연층에 의해 상기 반도체 층의 일부를 사이에 두는 저면을 가지는 소자 분리 절연막과,
    상기 소자 분리 절연막에 의해 규정되는 소자 형성 영역 내에 있어서, 상기 반도체 층의 상기 주면 위에 부분적으로 형성된 게이트 구조와,
    상기 소자 형성 영역 내에 있어서, 상기 게이트 구조로부터 노출되어 있는 부분의 상기 반도체 층의 상기 주면 내에 형성되어, 상기 게이트 구조의 아래쪽의 채널 형성 영역을 사이에 두고 쌍을 이루는 오목부와,
    상기 오목부의 저면 내에 형성되어, 상기 채널 형성 영역을 사이에 두고 쌍을 이루고, 그 저면 또는 그 공핍층이 상기 절연층에 도달하여 상기 제1 도전형과는 다른 제2 도전형의 소스·드레인 영역을 구비하는 것을 특징으로 하는 반도체 장치.
  2. 제1항에 있어서, 상기 게이트 구조가 형성되어 있는 부분의 상기 반도체 층의 상기 주면과, 상기 오목부의 측면이 이루는 각도는 90°보다도 큰 것을 특징으로 하는 반도체 장치.
  3. 제1항에 있어서, 상기 오목부의 단부는, 상기 게이트 구조의 단부의 아래쪽으로 들어가 있는 것을 특징으로 하는 반도체 장치.
  4. 제1항에 있어서, 상기 소스·드레인 영역은 상기 반도체 층의 상기 주면 내에 형성된, 비교적 저농도의 제1의 불순물 도입 영역과, 상기 제1의 불순물 도입 영역보다도 깊게 형성된, 비교적 고농도의 제2의 불순물 도입 영역을 가지고,
    상기 반도체 층의 상기 주면에서 상기 오목부의 상기 저면까지의 깊이는, 상기 반도체 층의 상기 주면에서 상기 제1의 불순물 도입 영역의 저면까지의 깊이보다도 얕은 것을 특징으로 하는 반도체 장치.
  5. 제4항에 있어서, 상기 소스·드레인 영역은, 상기 제2의 불순물 도입 영역보다도 얕게 상기 반도체 층의 상기 주면 내에 형성된 제3의 불순물 도입 영역을 더 가지는 것을 특징으로 하는 반도체 장치.
  6. 제1항에 있어서, 상기 소스·드레인 영역은 상기 반도체 층의 상기 주면 내에 형성된, 비교적 저농도의 제1의 불순물 도입 영역과, 상기 제1의 불순물 도입 영역보다도 깊게 형성된, 비교적 고농도의 제2의 불순물 도입 영역과, 상기 반도체 층의 상기 주면 내에 형성된 제3의 불순물 도입 영역을 가지고,
    상기 반도체 층의 상기 주면에서 상기 제3의 불순물 도입 영역의 저면까지의 깊이는, 상기 반도체 층의 상기 주면에서 상기 제1의 불순물 도입 영역의 저면까지의 깊이보다도 깊고, 상기 반도체 층의 상기 주면에서 상기 오목부의 상기 저면까지의 깊이는, 상기 반도체 층의 상기 주면에서 상기 제3의 불순물 도입 영역의 상기 저면까지의 깊이보다도 얕은 것을 특징으로 하는 반도체 장치.
  7. 제1항에 있어서, 상기 게이트 구조는 상기 반도체 층의 상기 주면 위에 형성된 게이트 절연막과, 상기 게이트 절연막 위에 형성된 게이트 전극과, 상기 게이트 전극의 측면에 형성된 제1의 사이드 월을 가지고,
    상기 반도체 장치는, 상기 제1의 사이드 월에 접해서 상기 오목부의 상기 저면 위에 형성된 제2의 사이드 월과, 상기 제2의 사이드 월로부터 노출되어 있는 부분의 상기 소스·드레인 영역 위에 형성된, 금속-반도체 화합물 층을 더 구비하는 것을 특징으로 하는 반도체 장치.
  8. 제7항에 있어서, 상기 소자 분리 절연막에 접해서 상기 오목부의 상기 저면 위에 형성된 제3의 사이드 월을 더 구비하고, 상기 금속-반도체 화합물 층은, 상기 제2 및 제3의 사이드 월로부터 노출되어 있는 부분의 상기 소스·드레인 영역 위에 형성되어 있는 것을 특징으로 하는 반도체 장치.
  9. 제1항에 있어서, 상기 오목부의 저면 위에 형성된 반도체 영역과, 상기 반도체 영역 위에 형성된 금속-반도체 화합물 층을 더 구비하는 것을 특징으로 하는 반도체 장치.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 SOI 기판 내에는 NMOS 트랜지스터 및 PMOS 트랜지스터가 형성되어 있고, 상기 반도체 장치는, 상기 NMOS 트랜지스터 및 상기 PMOS 트랜지스터 중 어느한 쪽인 것을 특징으로 하는 반도체 장치.
  11. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 SOI 기판 내에는, 비교적 낮은 전원 전압으로 동작하는 제1의 트랜지스터와, 비교적 높은 전원 전압으로 동작하는 제2의 트랜지스터가 형성되어 있고, 상기 반도체 장치는, 상기 제1 및 제2의 트랜지스터 중 어느 한 쪽인 것을 특징으로 하는 반도체 장치.
KR10-2004-0000165A 2003-01-15 2004-01-03 반도체 장치 KR100523310B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2003-00006641 2003-01-15
JP2003006641 2003-01-15
JPJP-P-2003-00295234 2003-08-19
JP2003295234A JP2004241755A (ja) 2003-01-15 2003-08-19 半導体装置

Publications (2)

Publication Number Publication Date
KR20040065998A true KR20040065998A (ko) 2004-07-23
KR100523310B1 KR100523310B1 (ko) 2005-10-24

Family

ID=32964739

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2004-0000165A KR100523310B1 (ko) 2003-01-15 2004-01-03 반도체 장치

Country Status (5)

Country Link
US (2) US7067881B2 (ko)
JP (1) JP2004241755A (ko)
KR (1) KR100523310B1 (ko)
CN (1) CN100336228C (ko)
TW (1) TWI231044B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100727525B1 (ko) * 2004-08-26 2007-06-14 샤프 가부시키가이샤 반도체장치의 제조방법, 및 반도체장치
US20230157007A1 (en) * 2021-11-17 2023-05-18 Nanya Technology Corporation Memory array structure with contact enhancement sidewall spacers

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100543472B1 (ko) * 2004-02-11 2006-01-20 삼성전자주식회사 소오스/드레인 영역에 디플리션 방지막을 구비하는 반도체소자 및 그 형성 방법
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7135724B2 (en) * 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
JP4440080B2 (ja) * 2004-11-12 2010-03-24 株式会社東芝 半導体装置およびその製造方法
JP4081071B2 (ja) * 2004-11-26 2008-04-23 株式会社東芝 半導体記憶装置とその製造方法
US7465972B2 (en) 2005-01-21 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
KR100632460B1 (ko) * 2005-02-03 2006-10-11 삼성전자주식회사 반도체 소자의 제조 방법
JP4825526B2 (ja) * 2005-03-28 2011-11-30 株式会社東芝 Fin型チャネルトランジスタおよびその製造方法
JP4718894B2 (ja) * 2005-05-19 2011-07-06 株式会社東芝 半導体装置の製造方法
US7118954B1 (en) * 2005-05-26 2006-10-10 United Microelectronics Corp. High voltage metal-oxide-semiconductor transistor devices and method of making the same
JP2007005575A (ja) * 2005-06-24 2007-01-11 Renesas Technology Corp 半導体装置およびその製造方法
JP4954508B2 (ja) * 2005-08-05 2012-06-20 パナソニック株式会社 半導体装置
JP4822791B2 (ja) * 2005-10-04 2011-11-24 ルネサスエレクトロニクス株式会社 半導体記憶装置
TW200733244A (en) * 2005-10-06 2007-09-01 Nxp Bv Semiconductor device
US7659172B2 (en) * 2005-11-18 2010-02-09 International Business Machines Corporation Structure and method for reducing miller capacitance in field effect transistors
JP2007173356A (ja) * 2005-12-20 2007-07-05 Renesas Technology Corp 半導体装置およびその製造方法
JP5005224B2 (ja) 2006-01-27 2012-08-22 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US20070212861A1 (en) * 2006-03-07 2007-09-13 International Business Machines Corporation Laser surface annealing of antimony doped amorphized semiconductor region
US8188543B2 (en) * 2006-11-03 2012-05-29 Freescale Semiconductor, Inc. Electronic device including a conductive structure extending through a buried insulating layer
US7820519B2 (en) 2006-11-03 2010-10-26 Freescale Semiconductor, Inc. Process of forming an electronic device including a conductive structure extending through a buried insulating layer
TWI418036B (zh) * 2006-12-05 2013-12-01 Semiconductor Energy Lab 半導體裝置及其製造方法
US7968884B2 (en) * 2006-12-05 2011-06-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7572706B2 (en) * 2007-02-28 2009-08-11 Freescale Semiconductor, Inc. Source/drain stressor and method therefor
DE102007030053B4 (de) * 2007-06-29 2011-07-21 Advanced Micro Devices, Inc., Calif. Reduzieren der pn-Übergangskapazität in einem Transistor durch Absenken von Drain- und Source-Gebieten
GB0717976D0 (en) * 2007-09-14 2007-10-31 Tavkhelldze Avto Quantum interference depression effect MOS transistor
US7687862B2 (en) * 2008-05-13 2010-03-30 Infineon Technologies Ag Semiconductor devices with active regions of different heights
CN101728263B (zh) * 2008-10-24 2011-07-06 中芯国际集成电路制造(上海)有限公司 控制源/漏结电容的方法和pmos晶体管的形成方法
DE102008054075B4 (de) * 2008-10-31 2010-09-23 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
WO2010049086A2 (en) * 2008-10-31 2010-05-06 Advanced Micro Devices, Inc. Recessed drain and source areas in combination with advanced silicide formation in transistors
US7759142B1 (en) 2008-12-31 2010-07-20 Intel Corporation Quantum well MOSFET channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains
US8105887B2 (en) * 2009-07-09 2012-01-31 International Business Machines Corporation Inducing stress in CMOS device
US9209098B2 (en) 2011-05-19 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. HVMOS reliability evaluation using bulk resistances as indices
CN102208448B (zh) * 2011-05-24 2013-04-24 西安电子科技大学 多晶Si1-xGex/金属并列覆盖双栅SSGOI nMOSFET器件结构
US8748285B2 (en) 2011-11-28 2014-06-10 International Business Machines Corporation Noble gas implantation region in top silicon layer of semiconductor-on-insulator substrate
US8603868B2 (en) * 2011-12-19 2013-12-10 International Business Machines Corporation V-groove source/drain MOSFET and process for fabricating same
JP6083930B2 (ja) * 2012-01-18 2017-02-22 キヤノン株式会社 光電変換装置および撮像システム、光電変換装置の製造方法
US8872228B2 (en) * 2012-05-11 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel semiconductor device fabrication
JP5944266B2 (ja) * 2012-08-10 2016-07-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9698024B2 (en) 2012-12-06 2017-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Partial SOI on power device for breakdown voltage improvement
US9202916B2 (en) * 2013-12-27 2015-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure
US9941388B2 (en) * 2014-06-19 2018-04-10 Globalfoundries Inc. Method and structure for protecting gates during epitaxial growth
CN105762106B (zh) * 2014-12-18 2021-02-19 联华电子股份有限公司 半导体装置及其制作工艺
US10050147B2 (en) * 2015-07-24 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102619874B1 (ko) 2016-06-23 2024-01-03 삼성전자주식회사 불순물 영역을 갖는 반도체 소자
US9741850B1 (en) * 2016-08-12 2017-08-22 United Microelectronics Corp. Semiconductor device and method for forming the same

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
FR2749977B1 (fr) * 1996-06-14 1998-10-09 Commissariat Energie Atomique Transistor mos a puits quantique et procedes de fabrication de celui-ci
US5889331A (en) * 1996-12-31 1999-03-30 Intel Corporation Silicide for achieving low sheet resistance on poly-Si and low Si consumption in source/drain
JP3070501B2 (ja) 1997-01-20 2000-07-31 日本電気株式会社 半導体装置
US6518155B1 (en) * 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6777759B1 (en) 1997-06-30 2004-08-17 Intel Corporation Device structure and method for reducing silicide encroachment
JP4823408B2 (ja) * 2000-06-08 2011-11-24 ルネサスエレクトロニクス株式会社 不揮発性半導体記憶装置
JP2002141420A (ja) * 2000-10-31 2002-05-17 Mitsubishi Electric Corp 半導体装置及びその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100727525B1 (ko) * 2004-08-26 2007-06-14 샤프 가부시키가이샤 반도체장치의 제조방법, 및 반도체장치
US20230157007A1 (en) * 2021-11-17 2023-05-18 Nanya Technology Corporation Memory array structure with contact enhancement sidewall spacers

Also Published As

Publication number Publication date
JP2004241755A (ja) 2004-08-26
US20050275021A1 (en) 2005-12-15
CN1519946A (zh) 2004-08-11
KR100523310B1 (ko) 2005-10-24
TWI231044B (en) 2005-04-11
US7067881B2 (en) 2006-06-27
US20040227185A1 (en) 2004-11-18
CN100336228C (zh) 2007-09-05
TW200414547A (en) 2004-08-01

Similar Documents

Publication Publication Date Title
KR100523310B1 (ko) 반도체 장치
JP4664631B2 (ja) 半導体装置及びその製造方法
JP5234886B2 (ja) 半導体装置の製造方法
US6867462B2 (en) Semiconductor device using an SOI substrate and having a trench isolation and method for fabricating the same
US6821856B2 (en) Method of manufacturing semiconductor device having source/drain regions included in a semiconductor layer formed over an isolation insulating film and a semiconductor device fabricated thereby
KR100529455B1 (ko) 부분 공핍형 soi 모스 트랜지스터 및 그 제조 방법
JP5627165B2 (ja) 半導体装置及び半導体装置の製造方法
KR101326393B1 (ko) 반도체 장치
JP4813757B2 (ja) 半導体装置
US7723777B2 (en) Semiconductor device and method for making same
JP2004072063A (ja) 半導体装置及びその製造方法
KR100457222B1 (ko) 고전압 소자의 제조방법
JPH0389555A (ja) 半導体装置及びその製法
KR20060098191A (ko) 고전압 트랜지스터 제조 방법.
US20150155361A1 (en) Semiconductor devices including treshold voltage control regions
KR100327583B1 (ko) 반도체소자의 인버스 t형 소자분리공정
KR100424414B1 (ko) 고전압 트랜지스터 형성방법
JP2007027175A (ja) 半導体装置及びその製造方法
US11417761B1 (en) Transistor structure and method for fabricating the same
KR100464535B1 (ko) 반도체소자의 트랜지스터 형성 방법
US9337180B2 (en) Semiconductor device and manufacturing method of semiconductor device
KR100943133B1 (ko) 반도체 소자의 트랜지스터 및 그 형성 방법
JP3657247B2 (ja) 半導体装置およびその製造方法
KR100214491B1 (ko) 반도체소자 및 그 제조방법
US7718477B2 (en) Semiconductor device and method of fabricating the same

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20091009

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee