KR20040030379A - 원자층 증착과 화학 기상 증착에 대한 개선된 균일성 및반복성을 위한 패시베이션 방법 - Google Patents

원자층 증착과 화학 기상 증착에 대한 개선된 균일성 및반복성을 위한 패시베이션 방법 Download PDF

Info

Publication number
KR20040030379A
KR20040030379A KR1020030068764A KR20030068764A KR20040030379A KR 20040030379 A KR20040030379 A KR 20040030379A KR 1020030068764 A KR1020030068764 A KR 1020030068764A KR 20030068764 A KR20030068764 A KR 20030068764A KR 20040030379 A KR20040030379 A KR 20040030379A
Authority
KR
South Korea
Prior art keywords
substrate
deposition
precursor
deposited
passivation layer
Prior art date
Application number
KR1020030068764A
Other languages
English (en)
Inventor
에이나알. 론더건
샤산건 래머나탄
제랄드 윈클러
토마스이. 세이델
Original Assignee
제누스 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제누스 인코퍼레이티드 filed Critical 제누스 인코퍼레이티드
Publication of KR20040030379A publication Critical patent/KR20040030379A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

본 발명은 제 1 물질이 제 2 물질을 형성하기 위하여 사용된 하나 이상의 선구체와 비반응적인 냉벽 또는 온벽 리엑터의 내부 리엑터 표면상에 제 1 물질의 패시베이팅 층을 증착하는 방법에 관한 것이다. 추후에, 적어도 하나의 전구체가 낮은 증기압을 가지는 하나 이상의 전구체에 기판이 영향을 받음으로써 막층이 기판상에 증착될 때, 균일성 및 반복성은 패시베이션 층에 의해 개선된다.

Description

원자층 증착과 화학 기상 증착에 대한 개선된 균일성 및 반복성을 위한 패시베이션 방법{PASSIVATION METHOD FOR IMPROVED UNIFORMITY AND REPEATABILITY FOR ATOMIC LAYER DEPOSITION AND CHEMICAL VAPOR DEPOSITION}
본 발명은 반도체 공정, 특히 원자층 증착 및 화학 기상 증착에서 균일성 및 반복성에 대한 개선된 제어를 위한 방법에 관한 것이다.
화학 기상 증착(CVD)은 반도체 웨이퍼를 포함한, 다양한 기판 상에서 박막의 성장을 위해 폭 넓게 사용되는 증착 공정이다. 마이크로 전자공학 디바이스의 크기가 감소되고, 혹은 스캐일 다운(scale down)됨에 따라, DVD는 복잡한 디바이스 토포그래피(topography) 위에 컨포멀(conformal)막의 증착시키기 위한 매력적인 방법이 되고 있다. 덧붙여, 새로운 물질이 발전된 디바이스의 설계에서 고려되고 있다. 예를 들어, 높은 유전 상수(k)를 가진 산화물은 게이트 또는 커패시터 유전체로서 사용하기 위해 통상적으로 사용되는 실리콘 기반 산화물의 대안으로 매력적이다. 현재, CVD가 높은 k 탄탈룸 펜타옥사이드(tantalum pentoide, Ta2O5)를 증착하기 위해서 사용되는, 일부 동적 랜덤 액세스 메모리(DRAM) 제품이 제조되고 있다.
물질 증착의 분야에서, 원자층 증착(ALD)로서 알려진 공정은 CVD 기술의 성능을 확장시키기 위한 유력한 후보로 판명되었다. 일반적으로, ALD는 종래의 CVD 공정이 이론적으로 단분자 또는 단원자 단일층 두께와 자기-종말(self-terminate)에서 포화되는, 별개의 증착 단계로 분할되는 공정이다. ALD 응용에서, 분자적 전구체(molecular precursor)들이 리엑터 내로 각각 도입된다. 전형적으로, ALD 전구체 반응은 다음의 전구체의 도입 이전에 리엑터로부터 전구체를 제거하기 위해서 리엑터를 정화하는 불활성 가스가 뒤따른다.
CVD/ALD 타입의 증착 리엑터 분류의 한가지 방법은 리엑터 벽이 리엑터에 내재된 기판의 증착 온도에 대해 유지되는 온도에 의한 것이다. "냉벽(cold wall)" 과 "온벽(warm wall)" 리엑터에서, 리엑터 챔버 벽(또는 진공 포함 표면)은 물리흡착(physisorption) 또는 제한된(또는 불완전 또는 부분적) 화학흡착(chemisorption)을 허용하는 온도로 유지된다. 이는 벽 온도가 기판 증착 온도에 거의 근접하는 뜨거운 벽(hot wall) 리엑터의 반대인데, 여기서는 화학흡착과 증착이 설계에 의해 발생한다.
CVD에서, 막은 증기 상태로, 통상적으로 불활성 캐리어 가스와 혼합되어 리엑터로 운반되는 분자적 전구체로부터 증착된다. 기판은 분산물의 효율적인 탈착(desorption)을 동반하는 분자적 전구체들 사이의 화학 반응을 촉진시키기 위해 최적화된 온도로 유지된다. 따라서, 반응은 원하는 순수한 막을 증착시키기 위해 진행된다. 적당한 전구체의 선택은 CVD에서 매우 중요한데, 왜냐하면, 전구체의 물리적 화학적 성질에 많은 제한이 있기 때문이다. 특히, 전구체는 조기 분해(premature decomposition)없이 증기가 리엑터로 운송되도록 분해 온도 이하의 온도에서 충분한 휘발성을 가져야 한다. ALD에서 전구체 반응의 포화의 요건은 잠재적인 전구체(potential precursor)에 추가적인 제한을 가한다.
일반적으로 CVD와 ALD를 위한 전구체들은 휘발성에 근거하여 세 개의 카테고리: 1) 가스(예를 들어 NH3와 WF6); 2) 높은 증기압(예를 들어 상온(RT)에서 5 내지 40 Torr)을 가지는 액체(예를 들어 트리메틸 알루미늄(TMA), SiCl4, TiCl4, H2O)및 고체(예를 들어 W(CO)6); 및 3) 낮은 증기압(예를 들어 상온에서 0.5 Torr이하)을 가지는 액체(예를 들어 일부 금속 유기물 Zr, Ta 및 Hf 전구체) 및 고체(예를 들어 TaCl5, HfCl4, ZrCl4)로 나뉜다. 부가적으로 일부 고체는 용매에서 용해되고 액체로서 취급될 수 있다. 가스와 높은 증기압 전구체들이 분명히 바람직하지만, 그런 전구체들은 순수하고 고품질막의, CVD 또는 ALD에 의한 증착에 이용될 수 없다.
높은 증기압을 가진 전구체들을 위한 컨테이너(container)는 통상적으로 상온에서 유지되고, 전구체 컨테이너와 CVD 또는 ALD 리엑터 사이의 일부 가스 라인은 흡착이나 응축을 감소시키기 위해서 따뜻한 온도(예를 들어 100℃이하)까지 가열될 수 있다. 냉/온벽 리엑터에서, 리엑터 챔버 벽은 통상적으로 전구체 응축이 일어나는 온도 또는 그 이하에서 유지된다. 반대로, 낮은 증기압을 가진 전구체를 위한 컨테이너는 충분한 증기를 생성하기 위해서 통상적으로 고온에서 유지되고, 전구체 컨테이너와 CVD 또는 ALD 리엑터 사이의 가스 라인은 응축을 방지하기 이해서 보통 전구체 컨테이너의 온도보다 높은 온도까지 가열된다. 전구체 컨테이너 온도가 일부 내측 리엑터 표면의 온도보다 높을 때, 전구체 응축은 이러한 표면들에서 통상적으로 발생한다. CVD 공정에서, 이는 전구체 고갈에 기인한 비균일한 두께를 유발할 것이고, 결과적으로 기판의 다른 영역에서 다른 증착을 유발한다. ALD 프로세스에서, 소정의 응축된 전구체(precursor)는 탈착(desorb)될 수 있고, 제 2 전구체와 동시에 기판 표면의 소정의 영역으로 이동할 수 있으며, 그 결과 이러한 기판 영역 상에 초과 막 두께 증착을 일으킨다. 리엑터 표면 상에 응축된 상태로남아있는 전구체는 이러한 표면 상에 기생막(parasitic film)을 형성하기 위하여 제 2 전구체와 반응할 수 있다. 전형적으로 이러한 막은 기판 상에 증착된 막의 품질에 비하여 형편없은 또는 열등한 품질로 이루어진다. 기판 표면으로의 불충분한 전구체 전달에 기인하여 기판의 소정 영역 상의 막 두께가 더 작아지도록 할 수 있는 하나 또는 두 전구체의 고갈이 네트 효과(net effect)로서 나타난다. 그리하여, 여러가지 메커니즘은 불균일 막 증착에 기여할 수 있으며, 또한 시간에 걸쳐 점진적으로 두께 균일성 및 증착된 막의 반복성에 대한 악화를 유도할 수 있다.
그리하여, ALD 및 CVD 리엑터에서 막 층을 증착할 때, 균일성 및 반복가능성을 개선시킬 필요가 존재한다. 이러한 필요성은 낮은 증기압 전구체를 사용하여 기판 상에 막을 증착시키는 경우에 더 현저하다.
본 발명의 목적은 원자층 증착과 화학 기상 증착에 대한 개선된 균일성 및 반복성을 위한 패시베이션 방법을 제공하는데 있다.
도 1A는 막층의 증착 두께가 웨이퍼의 주변에서 감소되는, 종래 기술에 따른 증착 프로세스를 그래픽으로 예시한다.
도 1B는 막 층의 증착 두께가 웨이퍼의 주변에서 감소되기 이전에 약간 증가하는, 종래 기술에 따른 증착 프로세스를 그래픽으로 예시한다.
도 1C는 막 층의 증착 두께가 웨이퍼의 중심 및 웨이퍼의 주변에서 감소하는, 종래 기술에 따른 증착 프로세스를 그래픽으로 예시한다.
도 2는 리엑터 챔버의 내부에 적용되는 패시베이팅 층이 증착되는 막 층 두께의 균일성을 개선시키는 증착 프로세스를 그래픽으로 예시한다.
도 3은 본 발명의 패시베이션 기술을 이용하는 ALD 리엑터 시스템의 한 가지 대표적인 예시이다.
도 4는 본 발명의 패시베이션 기술을 이용하는 CVD 리엑터 시스템의 한 가지 대표적인 예시이다.
본 발명의 실시예는 예시를 사용하여 설명되나, 이러한 예시는 본 발명을 제한하기 위한 목적으로 제공되는 것은 아니다. 첨부 도면에서 유사한 참조 번호는 유사한 구성요소를 지시하기 위하여 사용된다.
본 발명은 리엑터 챔버에 내재하는 기판 상에 증착된 막 층의 균일성을 개선하기 위하여 냉벽 또는 온벽 CVD 또는 ALD 상에서 제 1 화학적 프로세스를 사용하여 패시베이션(passivation) 층을 증착하기 위한 기술이다. 패시베이션 층은, 챔버의 기판 상에 남아있는 막 층을 증착하기 위하여 사용되는 전구체 화학물의 잔여물에 의해 기생 증착이 발생할 수 있는 표면 상의 비반응성(불활성) 코팅으로서 증착된다. 패시베이션 층은 기판 상에 막을 증착하기 위하여 사용되는 하나 이상의 전구체와 반응하지 않는다. 하나의 실시예에서, Al2O3는 높은 k 절연물, ZrO2및 HfO2로 이루어진 막 층의 증착을 위한 패시베이션 층으로서 사용된다.
이하의 설명에서, 본 발명은 여러 가지 실시예를 참조하여 설명된다. 본 발명을 이해하기 위하여, 특정 예시들이 주어진다. 예시적인 실시예는 ZrO2및 HfO2의 증착 및 기판 상에 ZrO2및 HfO2막 층을 증착시키는 것에 관한 프로세스를 기술한다. 부가하여, 기술되는 프로세스는 ALD 및 CVD에 관련한다. 그러나, 본 발명은 이러한 프로세스 또는 화학 작용에만 제한되는 것은 아니다. 그리하여, 높은 k 물질이 아닌 막을 포함한 다른 물질도 본 발명의 패시베이션 기술을 사용하여 쉽게 증착될 수 있다. 부가적으로, 본 발명은 냉벽 및/또는 온벽(냉/온벽) 리엑터에 보다 잘 적용될 수 있고, 상기 냉온벽 리엑터는 물리 흡착(physisorption) 또는 제한된 또는 불완전한 또는 부분적인 화학 흡착을 허용하는 온도에서 유지되는 표면을 포함하는 진공 장치로서 정의된다. 이것은 벽 온도가 기판 온도에 근접하거나 그 근방이고 화학 흡착 및 막 증착이 설계에 의해 발생하는 고온벽 리엑터와 반대이다.
ZrO2및 HfO2의 증착시, ZrO2및 HfO2를 증착하기 위해 사용되는 더 많은 공통 비유기 금속 전구체(common inorganic metal precursor)들 중 하나가 각각 ZrCl4및 HfCl4이다. 두 물질은 낮은 증기 압력에서 고체이다. 따라서, 그것들은 전형적으로 막 증착을 위한 충분한 증기를 생성하기 위하여 대략 155 - 180℃의 범위에 있는 온도까지 가열된다. 증기는 Ar, He 또는 N2와 같은 불활성 캐리어 가스를 사용하여 ALD 리엑터로 전달된다. ALD에 대하여, ZrO2막은 공지된 ALD 리엑터에서 전형적으로 채택되는 방식으로 ZrCl4및 H2O 펄스를 교대로 가함으로써 성장된다. 유사하게, ALD HfO2막은 ALD 리엑터에서 HfCl4및 H2O 전구체 펄스를 교대로 가함으로써 성장된다.
ALD 프로세스를 유지하여, 증착 온도 및 압력과 같은 프로세스 파라메터, 전구체 도즈량, 및 소거 시간은 정확하게 제어된 두께로 고도의 균일한 막을 산출하도록 전체적으로 최적화된다. 그러나, 다른 ALD 프로세스와는 달리, 일부 막(ALD 증착된 ZrO2막)의 균일성은 처리되는 웨이퍼의 수 및/또는 축적된 막 두께를 증가로 인해 악화되는 것으로 관찰되었다. 이하 도시된 예는 수직 흐름 온(warm) 벽 ALD 리엑터에서 수행된 ZrO2의 ALD에서의 성향을 나타내는 것으로, 벽과 같은 내부 리엑터 표면의 온도 범위는 100 내지 140℃ 사이이다. 이러한 온도는 ZrO2의 컨테이너의 온도보다 실질적으로 낮으며, 그 온도 범위는 170-180℃ 이다. 도 1(즉, 도 1a, 1b, 1c)는 두께 균일성 프로파일에서의 열화 성향의 예를 나타낸다.
도 1a의 제 1 실시예는 웨이퍼의 중심으로부터 주변부로 웨이퍼 상에 증착된 막 두께의 방사상 프로파일의 그래프적 비교를 나타낸다. 주목할 것은, 증착된 막의 두께 프로파일은 기판(반도체 웨이퍼)의 주변부 부근을 제외하고 거의 균일하다는 것이다. ZrO2를 기준으로, 전체 증착된 ZrO2막 두께가 소정의 두께(일반적으로 50Å 이상, 보다 전형적으로는 약 300Å)를 초과함에 따라, 균일도는 위치(10) 부근에서 열화되기 시작하며, 막 두께는 위치(10)에 도시된 것처럼 웨이퍼의 에지를 향해 감소된다. 다양한 원인이 주변부에서의 두께 열화에 기여하지만, 일반적으로는 전구체에 의한 주변부에서 웨이퍼 표면의 불충분한 포화(saturation)로 인한 것이다. 예들 들어, 증착된 막 균일도의 열화는 온벽 리엑터의 내부 표면 상의 응축으로 인해 적어도 할로겐화물의 고갈에 기여할 수 있다. 즉, 리엑터 챔버의 벽상에 있는 하나의 전구체 나머지는 차후 전구체의 도입으로 리엑터 벽상의 기생 증착을 야기시킬 수 있다. 따라서, 증착은 하급의 품질일 수 있는 리엑터 내부 표면 상에서 이루어져 증가된 흡착으로 인해 웨이퍼 표면 상의 증착을 위해 허용가능한 전구체가 추가로 고갈될 수 있다.
도 1b에 도시된 제 2 실시예에서, 실시예는 약 1000Å의 전체 ZrO2두께가 이전 웨이퍼 상에 증착된 후 약 70Å의 ZrO2막의 두께 프로파일을 나타낸다. 다시, 위치(11)에서 웨이퍼 에지를 향해서는 증착이 거의 또는 전혀 이루어지지 않는다. 또한, 이러한 프로파일의 또다른 형태적 특징부는 증가된 두께를 갖고, 웨이퍼의 중심 영역(13) 영역 및 에지 영역(11)의 비교적 균일한 증착 사이에 위치된영역(12)이다. 증가된 두께의 상기 영역은 일반적으로 프로세싱 조건과 무관하다. 영역(12)에서의 증가된 두께는 ALD 반응과 병렬로 발생하는 웨이퍼 상의 기생 증착으로 인한 것이다. 다시 웨이퍼 에지에서의 얇은 증착은 결핍된 반응의 결과로 인한 것으로 추측되며, 하나 또는 2개의 전구체는 포화에 달성하기에 충분한 향이 존재하지 않는다. 메커니즘은 ZrCl4및 H2O의 특정 ALD 프로세스에서 사용되는 화학제와 관련된다. 그러나, 다양한 비금속성 반응물중 하나(산화 및 질화제)를 사용하는 ZrCl4전구체의 반응에서 관찰될 수 있다.
도 1c는 비균일성이 주변부(11) 뿐만 아니라 웨이퍼 중심 영역(14)에서 나타나는 상태를 도시한다. 일부 경우에 있어, 기생 증착 영역(12)이 고려될 수 있다. 웨이퍼 중심부에서 증착 두께의 감소는 일부 경우에 있어, 분배 플레이트 또는 차단된 중심 영역을 갖는 "샤워 헤드"의 존재로 인한 것일 수 있다. 분배 플레이트 및 샤워 헤드는 일반적으로 웨이퍼 표면에 대한 흐름 가스를 갖도록, 입구에 가스를 분배 또는 분산시키기 위해 ALD 및 CVD 리엑터에 사용된다. 그러나, 리엑터의 측벽에 비해, 분배 구조물(들)(예를 들어, 분배기 플레이트, 샤워 헤드 등)은 전구체, H2O 또는 할로겐화물중 적어도 하나의 고갈에 크게 기여하며 이는 구조물 상의 응축, 흡수 및 기생 증착으로 인한 것이다. 이는 분배 구조물(들)은 일반적으로 전구체 흐름 경로에 위치된다. 결과적으로, 분배 구조물을 갖춘 시스템에서 균일한 막 두께의 빠른 열화가 관찰된다.
또한, 불균일 증착 두께 프로파일(불균일한 두께)은 단일 처리된 웨이퍼 프로파일에 대해 이루어거나 또는 반복된 웨이퍼 상에 불균일성이 나타날 수 있다(비 재현성). 즉, 제 1(또는 제 1 세트) 웨이퍼 증착이 다소 균일하더라도, 차후 처리된 웨이퍼는 열화 프로파일(들)을 나타낼 수 있다.
도 1a, 1b 및 1c에 도시된 프로파일은 선대칭이며 수직 ALD 리엑터 시스템에 가스 주입으로 이루어진다. 수평 흐름을 갖는 ALD 리엑터로부터의 프로파일 성향 표시는 상이할 수 있으나(웨이퍼 상부에 단향성 흐름으로 이루어질 수 있으나), 균일성 문제는 존재하며, 수직 리엑터 시스템과 유사한다.
HfO2의 증착과 관련하여, ALD HfO2막의 유사한 균일성 문제는 저속으로지만 존재한다. 이는 HfO2컨테이너가 ZrCl4컨테이너에 비해 저온에서 가열되기 때문이다. 따라서, 내부 리엑터 표면 상에서의 전구체 응축이 덜 발생한다. 예를 들어, 웨이퍼의 주변에서 균일하게 변화를 관찰하기 위해 전체 ALD HfO2의 500 내지 1000Å가 선택될 수 있다.
본 발명의 실시는 ALD/CVD 반응의 소정의 패시베이션 프로세스가 개선된 균일성 및 ALD/CVD 증착 프로세스의 반복성을 제공하게 한다. ALD 프로세스의 특정 실시예에서, 상기 패시베이션은 ALD 반응 챔버의 내부에 짧은 Al2O3를 증착함으로써 행해질 수 있다. 일 실시예에서, Al2O3증착은 ALD 시퀀스를 사용하여 행해진다. 예를 들어, Al2O3는 Al 전구체 및 산화제를 사용하여 증착된다. 특정 실시예에서, 트리메틸알루미늄(TMA) 및 H2O는 Al2O3를 증착하기 위한 ALD 전구체로 사용될 수 있다. 패시베이션은 반응체의 벽을 코팅 및/또는 분배 플레이트 및 샤워 헤드와 같은 노출된 구조를 코팅하는데 사용된다.
패시베이션 증착은 비반응층(코팅)이 증착되게 하고, 이는 전구체의 조기 유입으로부터 잔존 반응물질에 대한 코팅 및/또는 전구체의 흡착을 감소시킨다. 따라서, 패시베이션 코팅은 패시베이션 코팅은 바람직하지 않은 반응을 방지하고, 이는 웨이퍼 표면에서의 전구체의 포화를 방지할 수 있다. 게다가, 패시베이션 코팅은, 기생 반응이 감소하기 때문에, 발생할 기생 증착에 대한 가능성을 방지한다. 리엑터 벽 및 전술한 전구체이 선택할 수 있는 반응 물질에 대한 코팅으로서 패시베이션 층을 증착함으로써, 도2의 실질적으로 평편한 증착 프로파일에서 도시된 바와 같이, 개선된 균일성이 달성된다.
특정 실시예에서, 신속한 스위칭 ALD 반응 시스템은 ZrO2와 Al2O3사이의신속한 스위칭을 위해 사용된다. 이러한 점에서, ZrO2막은 ALD에 의해 웨이퍼의 표면에 증착된다. 웨이퍼를 반응 챔버에 도입하기 전에, (가스 흐름에 대한 직렬식 구조 및) 반응 측벽은 챔버를 조절하기 위해 Al2O3증착을 필요로 한다. Al2O3증착이 완료된 후, 웨이퍼는 ZrO2증착을 행하기 위해 리엑터로 전송된다. 이어, 다음 웨이퍼의 ZrO2증착에 앞서, 웨이퍼는 제거되고 다른 Al2O3가 조절된다.
Al2O3조절의 길이는 특정 ALD 시스템 및 소정의 ZrO2막 두께에 대한 가장 안정한 성능을 제공하기 위해 최적화되지만, 높은 출력의 요구를 만족한다. 예를들어, Al2O3가 TMA 및 물에 의해 증착될 경우, 30 사이클의 TMA 및 물(대략 20Å의 Al2O3)가 ~100Å ZrO2막의 증착에서 반복성을 보장하기에 충분할 수 있다. 그러나, 조절 사이클의 수를 대략 60으로 조절하는 것은 더 두꺼우며 연속적인 Al2O3층의 형성을 가능하게 하여, ALD 시스템의 패시베이션을 완료하고 단지 조금 낮은 출력 시간으로도 현저한 프로세스 반복성을 달성한다. 게다가, Al2O3로 시스템 패시베이션을 사용한 변함없는 두께 균일성이 도2에 도시된다.
주의할 점은 패시베이션 코팅이 각각의 웨이퍼 이후에 실행될 필요가 없다는 것이다. 오히려, 소정의 ZrO2막 두께 및 소정의 내부 웨이퍼 두께 균일성에 따라, 전술한 시퀀스는, 주어진 수의 ZrO2웨이퍼가 처리된 후, 챔버 조절을 실행하기 위해 변경될 수 있다. 예를 들어, 절차는 대략 50Å보다 얇은 5 내지 10 ZrO2막이 각각의 챔버 조절 사이에서 증착되도록 최적화될 수 있다. 이러한 예에서, 웨이퍼대 웨이퍼 두께 반복 특성은 보다 완화된다. 따라서, 패시베이션 코팅은 소정 수의 웨이퍼 후에 적용된다. 택일적으로, 500Å보다 두꺼운 ZrO2막은 각각의 웨이퍼 후의 챔버 조절을 필요로 할 수 있다. 패시베이션 및 증착의 다양한 시퀀스가 행해질 수 있다. 하나 이상의 웨이퍼가 챔버 조절 단계 사이에서 처리되는 프로세싱 시퀀스에서, 상기 단계는 제1 웨이퍼 효과일 수도 있다. 이는 증착 결과에서의 경향으로 언급되며, 여기서 막 두께, 성장률 또는 균일성과 같은 제1 웨이퍼에 대한 막 특성은 제1 웨이퍼 증착에 대한 상이한 벽 조건에 기인한 세트에서의 잔여웨이퍼에 대한 막 특성과 상이할 수 있다. 특히, ZrO2막은 제1 웨이퍼에 대한 짧은 성장 속도를 나타낸다. 이러한 차이점을 해결하기 위한 하나의 방안은 Al2O3패시베이션 방법의 종말에 H2O 사이클과 택일적으로 작은 수의 ZrCl4사이클을 부가하는 것이다. 사이클의 수는 출력과 균일성 특성 사이의 균형을 안정화하기 위해 최적화될 수 있다. 예를 들어, H2O 사이클을 대신하여 5 사이클의 ZrCl4는 소정의 ALD 시스템에서 제1 웨이퍼 효과를 방지하기에 충분하다. 이러한 사이클의 수를 증가시키는 것은 반복성을 향상시킬 수 있으나, 출력을 낮추고 챔버 조절 스텝 사이의 시간을 짧게 할 수도 있다.
주의할 점은 전술한 절차가 MLx+AHz→MAy+HL 타입의 증착 반응 메카니즘을 따르는 산화 물질의 ALD 또는 CVD에 적용될 수도 있는데, 여기서M은 금속, A는 비금속, x, y 및 z는 숫자, 그리고 MLx는 금속 할로겐화합물 전구체로서, M은 Zr, Hf, Ti, Al, Si, W, Zn, Mg, Ba, Bi, Pb, Sr, La 및 L과 같은 물질이며, L은 F, Cl, Br, L과 같은 물질이지만 이들에 제한되지는 않는다. 낮은 증기압의 금속 전구체의 예는 금속 질화물(M(NO3)X)이며, 여기서 M은 금속원자이고 x는 정수이다.
주의할 점은 각각 금속 염화물 및 H2O를 사용하는 금속 산화물; 각각 금속 할로겐화물 및 H2O를 사용하는 금속 산화물; 금속 할로겐화물 및 산화물질을 사용하는 금속 산화물; 낮은 증기압 금속 전구체 및 산화물질을 사용하는 금속 산화물;낮은 증기압 전구체를 사용하여 증착된 적어도 제1 서브층을 갖는 합금 또는 나노박막 구조; 높은 증기압 전구체를 사용하여 증착된 적어도 제1 물질을 갖는 합금 또는 나노박막 구조; 및 등가의 질화 균등물을 포함하는 다양한 조합이 패시베이션 이후의 막을 증착시키기 위해 사용될 수 있다. 결과적으로, 높은 증기 압력을 갖는 금속 전구체 또는 가스는 질화제 또는 산화제로 조합될 수 있다. 산화제의 예는 H2O, H2O2, O2, O3및 알콜(R-OH, 여기서, R은 알칼리 그룹을 의미함)이다. 질화제의 예는 NH3, N2H4및 N2이다. 이들은 단지 예에 불과하며 본 발명을 한정하기 위한 것은 아니다.
예를 들어, 전술한 모든 절차는 HfO2의 ALD에 적용될 수 있으며, 여기서 HfCl4및 H2O가 HfO2를 증착시키기 위해 사용된다. ALD HfO2막의 균일성은 통상적으로 ZrO2와 유사한 방식으로 변화하지만, 속도가 더 늦다. 예를 들어, 균일성의 변화를 관측하기 위해 500 내지 1000Å의 ALD HfO2막 두께가 선택될 수도 있다. ZrO2의 ALD에 유사하게, ALD HfO2막의 균일성이 패시베이션 코팅에 의한 챔버 조절 후에 회복된다. 이러한 차이점과 관련하여, 매 5 내지 25 웨이퍼(또는 증착 사이클)와 같은 소정 수의 웨이퍼 후, 챔버 조절이 실행되는 절차는 HfO2의 ALD에 보다 더 적용 가능하다.
각각의 알루미네이트를 형성하기 위해 ZrO2및 HfO2를 Al2O3로 합금하는 것은, 챔버 패시베이션이 증착 시퀀스의 Al2O3부분 동안 ALD 증착과 동시에 발생할 때, 반복성을 증가시킨다. 동시에, ZrO2/Al2O3또는 HfO2/Al2O3나노 박층 구조의 증착은 또한 유효한 프로세스 안정성을 제공한다. 따라서, 합금 또는 나노박막의 프로세싱에서, ALD 시스템 패시베이션이 사용될 수도 있지만, 패시베이션의 주파수는 더 낮다.
시스템 패시베이션을 위한 다른 방법은 플라즈마 처리를 포함할 수도 있다. 플라즈마는 ALD 또는 CVD 반응에서, 그리고 비금속 전구체(H2O, H2O2또는 알콜(R-OH, 여기서 R은 알킬 그룹)같은 -AHz, 및 H2와 O2같은 가스)의 노출 동안 시스템에서 설정될 수 있다. 일반적으로, 패시베이션 층은 대부분 임의의 CVD 또는 ALD 공정을 사용하여 증착될수있다.
게다가, 각각의 낮은 증기압 전구체로부터 질화물 바탕 막증착(TaNx, ZrNx, HfNx, 등, 여기서 x 는 숫자이다)은 바람직하고, Al2O3, AlNx(여기서 x는 숫자이다) 대신, 패시베이션 코팅으로서 증착될수있다. AlNx는 금속 유기 Al 전구체 및 NH3, N2H4또는 N2같은 질화제로부터 증착될수있다. AlNx는 열적 ALD 또는 CVD 또는 플라즈마 바탕 처리를 사용하여 증착될 수 있다. 패시베이션 물질의 다른 실시예는 고증기압 전구체 또는 가스를 사용하여 증착되는 SiO2, SiNx및 BNx를 포함하지만, 상기 물질로 제한되지는 않는다.
기술된 리엑터 챔버 패시베이션 기술은 ALD 리엑터 내부 표면과 반응하여및/또는 상기 내부 표면을 코팅하여 다양한 상기된 화합물을 사용하는 재생가능한 ALD 증착을 위한 목표된 상태로 상기 챔버를 회복시킨다. 개선된 재생성을 위한 메카니즘은 ZrO2, HfO2, 또는 다른 물질이 증착되는 웨이퍼의 반응 표면으로 유도되는 도관, 밸브, 리엑터 표면의 패시베이션을 포함하지만, 상기된 것으로 제한되지는 않는다.
게다가, 일반적으로 전구체의 증기압이 낮아질 수록, 보다 자주 패시베이션 프리코팅(precoat)이 수행된다는 것이 주의된다. 예를들어, Ta2O5가 매우 자주 프리코팅을 요구할 수 있고, HfO2는 다소 자주 프리코팅을 요구할 수 있고, ZrO2는 보통 자주 프리코팅을 요구할수있다(매 웨이퍼후에서 처럼 자주). 이것은 TaCl5로부터 HfCl4를 통해 ZrCl4로 증기압이 감소되기 때문이다. 예를들어, 대략 1 토르의 증기압을 생성하기 위하여 TaCl5, HfCl4및 ZrCl4전구체 물질은 각각 120, 180, 190℃로 가열되어야 한다. 일반적으로, 금속 전구체의 증기압이 감소될때, 압축 요구가 발생하여 패시베이션 코팅에 대한 필요성이 더 자주 발생한다. 통상적으로, 패시베이션 층/코팅을 위해, 고증기압 전구체로부터 증착이 이루어지고 목표된 막을 증착하기 위해 사용된 전구체에 대해 비반응이 이루어진다. 부가적으로, 패시베이션 코팅은 웨이퍼상에 막을 증착하기 위하여 사용되는 것과 동일한 처리에 사용될 필요가 없다. 성장 속도(및 결과적으로 수율)는 예를들어 표준 ALD 증착에 사용된 것과 비교하여 펄스 및/또는 정화(purge) 시간을 짧게 함으로써 증가될수있다. 게다가, 흥미로운 다른 산화물 막은 바륨 스트론튬 티타네이트(BST), 레드 지르코늄 티타네이트(PZT) 등 같은 매우 높은 k 물질 및 강유전성 막을 포함할수있다. 통상적인 반도체 응용외에, 기술된 패시베이션 기술은 광전자공학 분야, 평판 디스플레이, 초전도 산화물 막뿐 아니라, 다른 것에 사용하기 위해 적용될 수 있다.
상기된 실시예가 막층의 증착을 위해 사용된 리엑터 챔버 근처에서 수행될 수 있다는 것이 주의된다. 그러나, 주의된 바와같이 패시베이션을 위한 상기된 기술은 일반적으로 ALD 또는 CVD 처리를 사용하는 냉/온벽 리엑터에 실행된다. 따라서, 하나의 예시적인 ALD 시스템은 도 3에 도시되고 예시적인 CVD 시스템은 도 4에 도시된다.
도 3을 참조하여, 스위칭 분기관(21)을 가진 ALD 시스템920)의 일실시예를 도시한다. 스위칭 분기관(21)은 하나 이상의 화학물 소스(23)를 포함할수있는 화학물 소스에 결합된다. 저증기압 전구체 화학물은 통상적으로 소스 어레이(22)내에 포함된다.
도 3에서, 불활성 가스(질소 또는 아르곤 같은)는 분기관(21)을 통해 입구 "a"로 진입한다. 주 흐름은 가스 흐름을 위해 다수의 경로를 가지도록 분할 흐름 설계를 가지는 분기관(21)으로 흐른다. 입구 "b"는 하나의 경로에 결합되는 반면, 입구 "c"는 다른 경로에 결합된다. 다양한 화학물은 입구 "b" 및 "c"를 통하여 도입된다. 일실시예에서, 비금속은 입구 "c"를 통하여 도입되는 반면, 금속 화학물은 본래의 증기압에서 입구 "b"를 통하여 양쪽을 통하여 도입된다. 저증기압 소스는 입구 "d"를 통하여 분기관(21)로 도입된다. 포트(26)를 통한 흐름 및 밸브(25)는 흐름을 제어 및/또는 조절한다.
캐리어 가스의 분할 흐름은 처리 리엑터의 리엑터 챔버(30)에 도입된다. 분할 흐름은 챔버(30)에 진입하도록 분리된채로 유지될수있거나, 도시된 바와같이 선택적으로 분할 흐름은 포트(26)를 통하여 챔버(930)로 도입되기전 분기관에서 재결합될수있다. 챔버(30)는 분배기 플레이트(또는 샤워 헤드)(31)를 포함할수있다. 증착물을 수용하는 기판(32) 또는 다른 제품은 챔버(30), 통상적으로 척(또는 지지부)(33) 상부에 높여진다. 다운 스트림 펌프(36)는 가스 흐름을 유지하기 위하여 낮은 또는 진공 압력을 제공하도록 제공될 수 있다. 드로틀 밸브(37)는 흐름을 조절하기 위하여 제공될 수 있다.
따라서, ALD 시스템(20)을 사용하여, 기판상 막층의 ALD 증착을 위한 저증기압 전구체는 소스(23)에 의해 제공되고 입구 "d"에서 분기관(21)으로 도입된다. 패시베이션 화학물은 화학물에 따라 입구 "b", "c" 및/또는 "d"를 통하여 도입될수있다. ALD에 의한 TMA 및 H2O 증착 Al2O3를 가지는 실시예에서, TMA는 입구 "b"를 통해 도입되고 H2O는 입구 "c"를 통하여 도입된다. 따라서, ALD 시스템은 패시베이션 물질을 증착하기 위하여 ALD 처리를 사용할 수 있다. 다른 실시예에서, 패시베이션 층은 ALD 없이 얻어질 수 있지만, 기판상에 증착된 막층은 여전히 ALD 또는 CVD를 사용할 수 있다.
도 3의 ALD 시스템 같은 ALD 시스템의 한가지 장점은 기판상에 증착된 막층뿐 아니라, 패시베이션 물질의 소량의 모노층을 증착하기 위한 능력이다. 분자 모노층을 제어하기 위한 능력은 증착 처리의 허용오차 및 제어를 엄격하게 할 수 있다. 패시베이션 코팅이 ALD에 의해 증착될 때, 증착 두께는 몇 모노층(대략 1 내지 10개) 또는 보다 많은 모노층으로 제어될 수 있다.
리엑터 챔버(41)를 가지는 CVD 시스템(40)은 도 4에 도시된다. 통상적인 CVD 리엑터에서, 전구체는 분리된 스테이지 대신 함께 인가된다. 하나 이상의 입구(42)는 분배 플레이트 또는 샤워 헤드(43)를 통하여 분배되는 전구체를 도입한다. 기판(또는 제품)(44)은 척 또는 지지부(45) 상부에 놓인다. 그 다음 CVD 처리는 전구체 화학물이 챔버(41)에 도입될 때 막층의 증착을 유발한다. 소비된 가스는 개구부(47)를 통하여 배기된다.
도 3의 ALD 시스템을 사용할 때, CVD 시스템은 하나 이상의 입구를 통하여 챔버(42)로 패시베이팅 화학물을 도입할 수 있다. 양쪽 시스템에서, 패시베이팅 화학물은 챔버 벽 및 다른 구조의 내부를 코팅할 것이고, 접촉이 이루어진다. 패시베이팅 층을 증착하는 것은 다양한 다른 리엑터 설계에서 이루어지고 도 3 및 4에 도시된 두개의 실시예로 제한되지 않는다.
상술한 바와 같이, 원자층 증착 및 화학 기상 증착에 대한 개선된 균일성 및 반복성을 위한 패시베이션 방법이 기술된다. 비록 패시베이팅 층이 냉벽 또는 온벽 ALD 및/또는 CVD 리엑터에 보다 많이 응용될 수 있지만, 패시베이션 기술은 상기 리엑터 형태로 제한되지 않는다.
따라서, 본 발명은 원자층 증착과 화학 기상 증착에 대한 개선된 균일성 및 반복성을 위한 패시베이션 방법을 제공할 수 있는 효과가 있다.

Claims (30)

  1. 냉벽 또는 온벽 리엑터의 내부 리엑터 표면상에 제 2 물질을 형성하는데 사용되는 하나 이상의 전구체와 비반응성인 제 1 물질의 패시베이션층을 증착하는 단계; 및
    상기 하나 이상의 전구체에 기판을 적용함으로써 상기 기판상에 상기 제 2 물질의 막층을 증착하는 단계를 포함하고, 상기 적어도 하나의 전구체는 낮은 증기압을 갖는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서,
    상기 패시베이션층과 상기 막층의 증착은 ALD 또는 CVD 리엑터에서 수행되는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서,
    상기 패시베이션층의 증착은 ALD 또는 CVD 기술에 의해 수행되는 것을 특징으로 하는 방법.
  4. 제 2 항에 있어서,
    상기 패시베이션층의 증착은 Al2O3를 증착하는 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서,
    상기 Al2O3의 증착은 트리 메틸 알루미늄(TMA)과 H2O로부터 Al2O3를 형성하는 것을 특징으로 하는 방법.
  6. 제 4 항에 있어서,
    상기 Al2O3의 증착은 유기 금속 Al 전구체와 산화제로 이루어진 Al2O3를 형성하는 것을 특징으로 하는 방법.
  7. 제 2 항에 있어서,
    상기 패시베이션층의 증착은 유기 금속 Al 전구체와 질화제로 이루어진 AlNX(X는 숫자)를 증착하는 것을 특징으로 하는 방법.
  8. 제 2 항에 있어서,
    상기 패시베이션층의 증착은 가스, 또는 높은 증기압 금속 전구체와 질화제 또는 산화제로 이루어진 패시베이션층을 증착하는 것을 특징으로 하는 방법.
  9. 제 2 항에 있어서,
    상기 제 2 물질은 ZrCl4및 H2O를 이용하여 상기 기판상에 증착되는 ZrO2인것을 특징으로 하는 방법.
  10. 제 2 항에 있어서,
    상기 제 2 물질은 각각의 염화 금속 및 H2O를 이용하여 상기 기판상에 증착되는 산화 금속인 것을 특징으로 하는 방법.
  11. 제 2 항에 있어서,
    상기 제 2 물질은 각각의 할로겐화 금속과 H2O를 이용하여 상기 기판상에 증착되는 산화 금속인 것을 특징으로 하는 방법.
  12. 제 2 항에 있어서,
    상기 제 2 물질은, Zr, Hf, Ti, Ta, Al, Si, W, Zn, Mg, Ba, Bi, Pb, La 및 Sr의 산화물로 이루어진 그룹으로부터 형성되며, 각각의 할로겐화 금속과 H2O를 이용하여 기판상에 증착되는 산화 금속인 것을 특징으로 하는 방법.
  13. 제 2 항에 있어서,
    상기 제 2 물질은, Zr, Hf, Ti, Ta, Al, Si, W, Zn, Mg, Ba, Bi, Pb, La 및 Sr의 산화물로 이루어진 그룹으로부터 형성되며, 각각의 할로겐화 금속과 산화제를 이용하여 기판상에 증착되는 산화 금속인 것을 특징으로 하는 방법.
  14. 제 2 항에 있어서,
    상기 제 2 물질은, Zr, Hf, Ti, Ta, Al, Si, W, Zn, Mg, Ba, Bi, Pb, La 및 Sr의 산화물로 이루어진 그룹으로부터 형성되며, 각각 낮은 증기압의 금속 전구체와 산화제 또는 질화제를 이용하여 기판상에 증착되는 산화 금속인 것을 특징으로 하는 방법.
  15. 제 2 항에 있어서,
    상기 제 2 물질은 적어도 제 1 컴포넌트 또는 낮은 증기압 전구체를 이용하여 증착되는 하부층을 포함하는 합금 또는 나노 적층막 구조인 것을 특징으로 하는 방법.
  16. 냉벽 또는 온벽 리엑터의 내부 리엑터 표면상에 제 2 물질을 형성하는데 사용되는 하나 이상의 전구체와 비반응성인 제 1 물질의 패시베이션층을 증착하는 단계; 및
    상기 하나 이상의 전구체에 기판을 적용함으로써 상기 기판상에 상기 제 2 물질의 막층을 증착하는 단계를 포함하고, 상기 적어도 하나의 전구체는 낮은 증기압을 가지며, 상기 패시베이션층은 상기 제 2 물질의 균일성 및 반복성을 개선시키는 것을 특징으로 하는 방법.
  17. 제 16 항에 있어서,
    상기 패시베이션층과 상기 막층의 증착은 ALD 또는 CVD 리엑터에서 수행되는 것을 특징으로 하는 방법.
  18. 제 16 항에 있어서,
    상기 패시베이션층의 증착은 ALD 또는 CVD 기술에 의해 수행되는 것을 특징으로 하는 방법.
  19. 제 17 항에 있어서,
    상기 패시베이션층의 증착은 Al2O3를 증착하는 것을 특징으로 하는 방법.
  20. 제 19 항에 있어서,
    상기 Al2O3의 증착은 트리 메틸 알루미늄(TMA)과 H2O로부터 Al2O3를 형성하는 것을 특징으로 하는 방법.
  21. 제 19 항에 있어서,
    상기 Al2O3의 증착은 유기 금속 Al 전구체와 산화제로 이루어진 Al2O3를 형성하는 것을 특징으로 하는 방법.
  22. 제 17 항에 있어서,
    상기 패시베이션층의 증착은 유기 금속 Al 전구체와 질화제로 이루어진 AlNX(X는 숫자)를 증착하는 것을 특징으로 하는 방법.
  23. 제 17 항에 있어서,
    상기 패시베이션층의 증착은 가스, 또는 높은 증기압 금속 전구체와 질화제 또는 산화제로 이루어진 패시베이션층을 증착하는 것을 특징으로 하는 방법.
  24. 제 17 항에 있어서,
    상기 제 2 물질은 ZrCl4및 H2O를 이용하여 상기 기판상에 증착되는 ZrO2인 것을 특징으로 하는 방법.
  25. 제 17 항에 있어서,
    상기 제 2 물질은 각각의 염화 금속 및 H2O를 이용하여 상기 기판상에 증착되는 산화 금속인 것을 특징으로 하는 방법.
  26. 제 17 항에 있어서,
    상기 제 2 물질은 각각의 할로겐화 금속과 H2O를 이용하여 상기 기판상에 증착되는 산화 금속인 것을 특징으로 하는 방법.
  27. 제 17 항에 있어서,
    상기 제 2 물질은, Zr, Hf, Ti, Ta, Al, Si, W, Zn, Mg, Ba, Bi, Pb, La 및 Sr의 산화물로 이루어진 그룹으로부터 형성되며, 각각의 할로겐화 금속과 H2O를 이용하여 기판상에 증착되는 산화 금속인 것을 특징으로 하는 방법.
  28. 제 17 항에 있어서,
    상기 제 2 물질은, Zr, Hf, Ti, Ta, Al, Si, W, Zn, Mg, Ba, Bi, Pb, La 및 Sr의 산화물로 이루어진 그룹으로부터 형성되며, 각각의 할로겐화 금속과 산화제를 이용하여 기판상에 증착되는 산화 금속인 것을 특징으로 하는 방법.
  29. 제 17 항에 있어서,
    상기 제 2 물질은, Zr, Hf, Ti, Ta, Al, Si, W, Zn, Mg, Ba, Bi, Pb, La 및 Sr의 산화물로 이루어진 그룹으로부터 형성되며, 각각 낮은 증기압의 금속 전구체와 산화제 또는 질화제를 이용하여 기판상에 증착되는 산화 금속인 것을 특징으로 하는 방법.
  30. 제 17 항에 있어서,
    상기 제 2 물질은 적어도 제 1 컴포넌트 또는 낮은 증기압 전구체를 이용하여 증착되는 하부층을 포함하는 합금 또는 나노 적층막 구조인 것을 특징으로 하는 방법.
KR1020030068764A 2002-10-02 2003-10-02 원자층 증착과 화학 기상 증착에 대한 개선된 균일성 및반복성을 위한 패시베이션 방법 KR20040030379A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/262,992 US6720259B2 (en) 2001-10-02 2002-10-02 Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US10/262,992 2002-10-02

Publications (1)

Publication Number Publication Date
KR20040030379A true KR20040030379A (ko) 2004-04-09

Family

ID=32068265

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030068764A KR20040030379A (ko) 2002-10-02 2003-10-02 원자층 증착과 화학 기상 증착에 대한 개선된 균일성 및반복성을 위한 패시베이션 방법

Country Status (5)

Country Link
US (1) US6720259B2 (ko)
EP (1) EP1413645A1 (ko)
JP (1) JP4546060B2 (ko)
KR (1) KR20040030379A (ko)
TW (1) TWI296015B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150007989A (ko) * 2013-07-12 2015-01-21 에이에스엠 아이피 홀딩 비.브이. 반응 챔버에서 아웃가싱을 감소시키는 방법 및 시스템

Families Citing this family (415)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
WO2004011695A2 (en) * 2002-07-30 2004-02-05 Asm America, Inc. Sublimation system employing carrier gas
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6863021B2 (en) * 2002-11-14 2005-03-08 Genus, Inc. Method and apparatus for providing and integrating a general metal delivery source (GMDS) with atomic layer deposition (ALD)
KR100469158B1 (ko) * 2002-12-30 2005-02-02 주식회사 하이닉스반도체 반도체소자의 캐패시터 형성방법
KR100520900B1 (ko) * 2003-03-13 2005-10-12 주식회사 아이피에스 Ald 박막증착방법
JP4005602B2 (ja) * 2003-03-17 2007-11-07 富士通株式会社 半導体装置の製造方法
EP1623454A2 (en) * 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US7914847B2 (en) * 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
KR100550641B1 (ko) * 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
US7180103B2 (en) * 2004-09-24 2007-02-20 Agere Systems Inc. III-V power field effect transistors
KR100653705B1 (ko) * 2004-10-13 2006-12-04 삼성전자주식회사 원자층증착법을 이용한 박막 형성방법
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7399666B2 (en) * 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
JP4355672B2 (ja) * 2005-03-15 2009-11-04 三井造船株式会社 薄膜形成方法
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) * 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
JP2006339371A (ja) * 2005-06-01 2006-12-14 Toshiba Corp 半導体装置の製造方法
JP4492963B2 (ja) * 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7989290B2 (en) * 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7575978B2 (en) * 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US8110469B2 (en) * 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US8501632B2 (en) * 2005-12-20 2013-08-06 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
US8936995B2 (en) * 2006-03-01 2015-01-20 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
WO2007111348A1 (ja) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
US7582549B2 (en) * 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
CN101621007A (zh) * 2008-07-03 2010-01-06 中芯国际集成电路制造(上海)有限公司 Sanos存储单元结构
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9327416B2 (en) * 2009-07-17 2016-05-03 The Gillette Company Atomic layer deposition coatings on razor components
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9223203B2 (en) 2011-07-08 2015-12-29 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130064973A1 (en) * 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10233541B2 (en) * 2012-06-29 2019-03-19 Applied Materials, Inc. Deposition of films containing alkaline earth metals
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140332087A1 (en) * 2013-02-26 2014-11-13 Brillouin Energy Corp. Control of Low Energy Nuclear Reaction Hydrides, and Autonomously Controlled Heat
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9745658B2 (en) * 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN106062245B (zh) * 2014-03-03 2020-04-07 皮考逊公司 用ald涂层保护气体容器的内部
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) * 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
DE102016118345A1 (de) 2016-08-01 2018-02-01 Aixtron Se Konditionierverfahren für einen CVD-Reaktor
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
EP3509744A4 (en) 2016-09-08 2020-05-20 The Board of Trustees of the Leland Stanford Junior University ATOMIC LAYER DEPOSITION WITH PASSIVATION TREATMENT
JP7265982B2 (ja) * 2016-09-08 2023-04-27 ザ ボード オブ トラスティーズ オブ ザ レランド スタンフォード ジュニア ユニバーシティー 電気化学的触媒の原子層堆積
DE102017100725A1 (de) * 2016-09-09 2018-03-15 Aixtron Se CVD-Reaktor und Verfahren zum Reinigen eines CVD-Reaktors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
WO2019120358A1 (de) * 2017-12-21 2019-06-27 centrotherm international AG Verfahren zum betrieb einer abscheideanlage
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN110527980B (zh) * 2018-08-02 2023-06-16 北京北方华创微电子装备有限公司 一种原子层沉积设备及方法
CN110527981B (zh) * 2018-08-02 2023-06-16 北京北方华创微电子装备有限公司 一种原子层沉积设备及方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR20210048568A (ko) * 2018-09-21 2021-05-03 램 리써치 코포레이션 플라즈마 프로세싱 챔버를 컨디셔닝하기 위한 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US20210398780A1 (en) * 2018-11-30 2021-12-23 Lam Research Corporation Method andd apparatus for atomic layer deposition or chemical vapor deposition
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
DE102019109987A1 (de) * 2019-04-16 2020-10-22 Aixtron Se Verfahren zum Konditionieren einer Substratbehandlungseinrichtung und eine diesbezügliche Vorrichtung
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US20210123143A1 (en) * 2019-10-23 2021-04-29 Applied Materials, Inc. Hafnium aluminum oxide coatings deposited by atomic layer deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11532475B2 (en) 2019-12-24 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition process for forming semiconductor device and system
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN111283215B (zh) 2020-02-24 2021-06-11 北京科技大学 一种气-固流化制备无氧钝化钛及钛合金粉末制品的方法
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116682894B (zh) * 2023-07-28 2023-11-17 无锡松煜科技有限公司 提升TOPCon电池ALD钝化膜批间均匀性的方法及应用

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55151328A (en) * 1979-05-16 1980-11-25 Hitachi Ltd Method and apparatus for fabricating hydrogen-containing amorphous semiconductor film
US4493142A (en) * 1982-05-07 1985-01-15 At&T Bell Laboratories III-V Based semiconductor devices and a process for fabrication
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JP3271389B2 (ja) * 1993-09-06 2002-04-02 富士電機株式会社 静電チャックの使用方法
JP3228644B2 (ja) * 1993-11-05 2001-11-12 東京エレクトロン株式会社 真空処理装置用素材及びその製造方法
JP3590416B2 (ja) * 1993-11-29 2004-11-17 アネルバ株式会社 薄膜形成方法および薄膜形成装置
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6020035A (en) * 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
JP3624628B2 (ja) * 1997-05-20 2005-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6635569B1 (en) * 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
KR100433465B1 (ko) * 1998-08-03 2004-05-31 닛본 덴끼 가부시끼가이샤 금속산화물유전체막의 기상성장방법 및 금속산화물유전체재료의 기상성장을 위한 장치
JP4703810B2 (ja) * 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
JP4790896B2 (ja) * 2000-05-26 2011-10-12 エーユー オプトロニクス コーポレイション トップゲート型tftを含むアクティブマトリックスデバイスの製造方法および製造装置
US6486080B2 (en) * 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150007989A (ko) * 2013-07-12 2015-01-21 에이에스엠 아이피 홀딩 비.브이. 반응 챔버에서 아웃가싱을 감소시키는 방법 및 시스템

Also Published As

Publication number Publication date
JP4546060B2 (ja) 2010-09-15
JP2004356612A (ja) 2004-12-16
US20040023516A1 (en) 2004-02-05
TW200413561A (en) 2004-08-01
US6720259B2 (en) 2004-04-13
EP1413645A1 (en) 2004-04-28
TWI296015B (en) 2008-04-21

Similar Documents

Publication Publication Date Title
US6720259B2 (en) Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6828218B2 (en) Method of forming a thin film using atomic layer deposition
JP4965247B2 (ja) 促進されたaldプロセス
JP4684706B2 (ja) 薄膜形成方法
US7713592B2 (en) Nanolayer deposition process
US7927662B2 (en) CVD method in vertical CVD apparatus using different reactive gases
US20040025787A1 (en) System for depositing a film onto a substrate using a low pressure gas precursor
KR101060911B1 (ko) Ald 또는 cvd 공정을 통한 금속 함유 막의 제조
CN102082087B (zh) 包括含碳电极的半导体器件及其制造方法
US7442604B2 (en) Methods and batch type atomic layer deposition apparatus for forming dielectric films and methods of manufacturing metal-insulator-metal capacitors including the dielectric films
US7771535B2 (en) Semiconductor manufacturing apparatus
JP2005322668A (ja) 成膜装置および成膜方法
US7048968B2 (en) Methods of depositing materials over substrates, and methods of forming layers over substrates
JP2023116517A (ja) 窒化ケイ素の選択的堆積
KR100521380B1 (ko) 박막 증착 방법
US20040175905A1 (en) Method of forming thin film using atomic layer deposition
KR20080064259A (ko) 개선된 금속 전구체 공급 및 퍼지 단계를 갖는 박막 증착방법
Lee et al. Atomic layer deposition: an enabling technology for microelectronic device manufacturing
WO2023114132A1 (en) Deposition of silicon nitride with enhanced selectivity
KR100766007B1 (ko) 하프늄 금속 유기물을 이용한 하프늄 산화막 형성 방법
TW202207284A (zh) 沉積碳化鋁鈦膜結構於基板上之方法、閘極電極、及半導體沉積設備
KR20030092600A (ko) 산화물박막의 원자층증착법
KR20070122062A (ko) 원자층 증착 방법과 이를 이용한 커패시터의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20110824

Effective date: 20111220