KR20010031716A - 저질량 지지체를 이용한 웨이퍼의 공정 방법 - Google Patents

저질량 지지체를 이용한 웨이퍼의 공정 방법 Download PDF

Info

Publication number
KR20010031716A
KR20010031716A KR1020007004779A KR20007004779A KR20010031716A KR 20010031716 A KR20010031716 A KR 20010031716A KR 1020007004779 A KR1020007004779 A KR 1020007004779A KR 20007004779 A KR20007004779 A KR 20007004779A KR 20010031716 A KR20010031716 A KR 20010031716A
Authority
KR
South Korea
Prior art keywords
wafer
temperature
sensor
stable
changing
Prior art date
Application number
KR1020007004779A
Other languages
English (en)
Other versions
KR100551980B1 (ko
Inventor
프랑소아 비. 엠. 반빌센
제이슨 매튜 레이튼
아이보 라아이즈마커스
Original Assignee
러셀 엔. 페어뱅크스, 쥬니어
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 러셀 엔. 페어뱅크스, 쥬니어, 에이에스엠 아메리카, 인코포레이티드 filed Critical 러셀 엔. 페어뱅크스, 쥬니어
Publication of KR20010031716A publication Critical patent/KR20010031716A/ko
Application granted granted Critical
Publication of KR100551980B1 publication Critical patent/KR100551980B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

저질량 지지체(18) 상의 웨이퍼(16)를 처리하기 위한 방법이 개시된다. 상기 방법은 상기 저질량 웨이퍼(18) 상에서 지지되는 상기 웨이퍼(16) 가까기에 위치하며 상기 웨이퍼(16)와 느슨하게 열적 결합된 온도 감지기(28)를 설치하는 단계를 포함한다. 온도 제어기(80)는 감지기 온도를 직접 제어하긴 하지만, 온도 변경 중에 상기 웨이퍼 온도를 정밀 조율하도록 프로그램되어있다. 에피택셜 실리콘 증착 같은 웨이퍼 처리는 감지기가 안정되기 전에 개시된다. 따라서 처리 공정에 있어서 상당 시간이 절약되고, 웨이퍼 처리 능력이 개선된다.

Description

저질량 지지체를 이용한 웨이퍼의 공정 방법{Method of Processing Wafers with Low Mass Support}
본 발명은 반도체 공정 챔버에서의 기판 공정에 관한 것으로, 보다 자세하게는 온도를 제어하면서 공정 단계에서 처리 능력을 증가시키는 방법에 관한 것이다.
[배경기술]
반도체 기판 상의 집적 회로 같은 매우 정밀한 치수의 구조체를 생성하기 위해 리액터로 불리는 고온 오븐이 사용된다. 실리콘 웨이퍼 같은 하나 이상의 기판이 반응 챔버 내의 웨이퍼 지지체 위에 놓인다. 웨이퍼와 지지체는 모두 원하는 온도까지 가열된다. 일반적인 웨이퍼 처리 단계에서 반응가스가 가열된 웨이퍼를 지나가게 되므로 반응 물질의 박층이 웨이퍼 상에 화학증착(CVD)하게 된다. 증착층은 그 밑의 실리콘 웨이퍼와 동일한 결정학적 구조를 가질 때 ″에피택셜층″으로 불린다. 이 증착층은 하나의 결정 구조만을 갖기 때문에 때로는 단결정층으로 불리기도 한다. 이어 연속 과정을 통해 이들 박막층은 집적회로가 되며, 웨이퍼의 크기 및 회로의 복잡도에 따라 한 개의 층이 수십 내지 수천 개 심지어는 수백만개의 집적 소자를 생성한다.
생성된 층의 고품질을 보장하기 위해서는 다양한 공정 변수를 신중하게 제어해야 한다. 그러한 중요한 변수 중 하나는 공정의 각 처리 단계에서 웨이퍼의 온도이다. 예를 들면 CVD 공정을 진행하는 동안에 증착가스는 특정 온도에서 반응하여 웨이퍼에 증착한다. 온도가 웨이퍼의 표면에 걸쳐 변하면 반응가스의 불균일한 증착이 일어난다. 따라서 공정이 시작되기 전에 웨이퍼 온도를 안정시키고 원하는 온도에서 균일하게 유지하는 것이 중요하다.
마찬가지로, 다른 열 처리 중에 웨이퍼에 걸친 온도의 불균일과 불안정은 그 결과인 구조체의 균일성에 영향을 줄 수 있다. 온도 제어가 중요한 다른 공정으로는 산화, 질화, 불순물 확산, 스퍼터 증착, 사진 공정(photolithography), 건식 식각, 플라즈마 공정 및 고온 어닐링이 포함된다.
특정의 복엽식 공정장비 (즉, 한번에 하나 이상의 웨이퍼를 처리하는 리액터)에서, 웨이퍼의 온도를 균일하게 유지하기 위해서 복수의 웨이퍼는 그라파이트나 기타 다른 열 흡수 물질로 만들어지는 비교적 고질량 서셉터 위에 놓여진다. 여기에서 ″고질량″ 서셉터는 웨이퍼와 비교하여 열 질량이 큰 서셉터를 가리킨다. 고체의 열 질량 또는 총 열 커패시턴스(heat capacitance)는 다음 방정식으로 구한다.
여기서, ρ= 고체의 밀도, Ⅴ= 고체의 부피, 및 c= 고체의 비열(열 용량)이다.
그러므로 열 질량은 고체의 질량과 직접 관련이 있고, 밀도 ×부피 및 고체의 비열과 같다.
고질량 서셉터의 일례가 맥네일리(McNeilly) 특허(미국 특허 제4,496,609호)에 개시되어있다. 미국 특허 제4,496,609호는 웨이퍼가 비교적 대형의 슬라브형 서셉터에 바로 올려져서 양자 간에 열 전달이 가능하도록 밀접하게 접촉하여 유지되고 있는 CVD 공정을 개시하고 있다. 그라파이트 서셉터는 온도를 균일하게 유지하기 위해서 열을 웨이퍼로 전달하는 열 ″플라이휠″로서 작용하는 것으로 추정된다. 그 목적은 서셉터의 ″플라이휠″ 효과가 없으면 일어날 수 있는 웨이퍼 주변의 일시적인 온도 변화를 줄이는 것이다. 그러나 대질량 서셉터 때문에 일괄 공정에서 여러 웨이퍼에 균일한 조건을 유지하는 것이 어렵다.
최근 수년간 복엽식 공정과 비교하여 공정 변수를 보다 정밀하게 모니터 및 제어하는 것을 비롯한 다양한 이유로 직경이 더 큰 웨이퍼의 단일 웨이퍼 공정이 발달하였다. 더 작은 웨이퍼들 (예를 들면, 100 ㎜, 125 ㎜, 150 ㎜)이 이용되긴 하지만, 일반적으로 웨이퍼의 크기는 200 ㎜의 지름과 0.725 ㎜의 두께를 가지며, 실리콘으로 만들어진다. 최근에 300 ㎜의 지름과 0.775 ㎜의 두께를 갖는 큰 실리콘 웨이퍼가 소개되었는데, 큰 단일 웨이퍼 공정의 장점들을 훨씬 더 효율적으로 계발한다. 장래에는 보다 더 큰 웨이퍼가 예상된다.
매엽식 리액터의 일례는 미국 특허 제4,821,674호에 개시되어 있는데, 웨이퍼보다 약간 큰 지름을 갖는 원형의 회전 서셉터를 채용한다. 이러한 서셉터가 전술한 슬라브형 복엽식 공정 서셉터보다 적은 열 질량을 갖는데도 불구하고 서셉터의 열 질량이 웨이퍼의 열량과 비교하여 여전히 더 크다.
매엽식 웨이퍼 공정으로 공정 제어가 개선되는 한가지 방법은 매엽식 웨이퍼 주위의 여러 위치에서의 온도를 측정 및 제어하는 능력에 의하는데, 복엽식 웨이퍼 각각에 대해서 실행하기에는 비실용적이다. 열전쌍이나 파이로미터 같은 복수의 온도 감지기가 웨이퍼를 둘러싼 여러 지점의 온도를 측정한다. 예를 들면 열전쌍 하나는 웨이퍼의 선단 에지 (반응가스 유입구에서 제일 가까운 에지) 주위에, 하나는 후단 에지 주위에, 하나는 측부에 그리고 또 하나는 웨이퍼 바로 밑에 위치할 수 있다. 열전쌍에서의 온도 데이터가 온도 제어기로 전달되면, 온도 제어기는 데이터를 분석하고 복수의 열원의 출력을 조정하여 이들 다양한 지점에서 온도를 균일하게 그리고 원하는 수준으로 유지한다. 열원은 일반적으로 복사 가열 엘리먼트 또는 램프로서 제어기에 신속히 반응하는 장점을 갖는다.
그러나 매엽식 웨이퍼 공정에 의한 개선된 공정 제어는 복엽식 공정에 비하여 소정 기간 내에 처리될 수 있는 웨이퍼의 수(즉, 공정의 처리 능력)를 상당히 감소시키는 결점이 있다. 웨이퍼는 한번에 하나 처리될 수 있기 때문에 공정 시간이 감소되면 매엽식 웨이퍼 리액터에 대한 웨이퍼 처리 능력을 상당히 개선시킬 수 있다.
처리 능력에 결정적인 영향을 미치는 한가지 요인은 웨이퍼 온도가 변화할 수 있는 속도이다. 그러한 온도 변화는 소정 공정에 대해 여러 지점에서 요구될 수 있다. 예를 들면 저온의 웨이퍼는 적당한 처리 온도까지 가열되어야 한다. 공정 자체가 다른 처리 단계마다 다른 온도를 요구할 수 있다. 공정 말미에 보통 웨이퍼는 웨이퍼 처리 장치가 허용할 수 있는 정도로 냉각되어야 한다.
보다 최근에는 종래의 서셉터보다 적은 열 질량을 갖는 웨이퍼 지지고정구를 이용하여 공정 시간을 줄일 수 있는 것이 제안되었다. 미국 특허 제4,978,567호는 저질량 웨이퍼 홀더 고정구(fixture)를 개시한다. 저질량의 웨이퍼 홀더/웨이퍼 결합은 급속 열처리(RTP) 시스템을 위한 웨이퍼의 급속 가열 및 냉각을 용이하게 한다. 처리해야 할 기판의 가열 및 냉각을 비롯한 다른 공정과 관련하여 공정 능력도 증가될 수 있다.
매엽식 웨이퍼 리액터 및 저질량 웨이퍼 지지 고정구 디자인의 개선에도 불구하고 여전히 가열 및 냉각 단계가 공정 시간의 상당 부분을 차지하여 얻을 수 있는 처리 능력에 대한 제한이 되고 있다.
[발명의 요약]
본 발명의 일 분야에 따라서, 반도체 공정 챔버에서 웨이퍼를 공정하기 위한 방법이 제공된다. 웨이퍼는 챔버 내의 웨이퍼 홀더 위에 위치한다. 웨이퍼 홀더 가까이 위치한 온도 감지기의 온도는 온도 제어기에 의해 측정 제어된다. 감지기의 온도는 제1 안정 위치를 향하여 변경되는 반면에 웨이퍼의 온도는 감지기 온도가 변경되는 것보다 더 빠르게 제2 안정 온도로 변경된다.
본 발명의 다른 분야에 따라서, 반도체 공정 챔버에서 웨이퍼를 공정하기 위한 방법이 제공된다. 웨이퍼는 웨이퍼 홀더 위에 위치하고 웨이퍼 홀더 가까이에 있는 적어도 하나의 온도 감지기의 온도가 측정된다. 감지기의 온도는 제어기 설정점 곡선과 감지기 오프셋 텀(offset term)에 반응하는 제어기에 따라 달라진다. 웨이퍼 공정은 감지기가 안정 온도에 다다르기 전에 안정 상태의 온도에서 시작된다.
본 발명의 또 다른 분야에 따라서, 반도체 공정 챔버에서 웨이퍼를 공정하기 위한 방법이 제공된다. 상기 방법은 반응 챔버 내의 온도 감지기 가까이에 웨이퍼를 위치시키는 단계를 포함한다. 그리고 나면 감지기의 온도가 여전히 상승하고 있는 중에 웨이퍼 처리가 안정 웨이퍼 온도에서 개시된다.
본 발명의 또 다른 분야에 따라서, 상승 시간 내에 웨이퍼를 안정 온도로 상승시키는 방법이 제공된다. 상기 방법은 PID 제어기를 이용하여 웨이퍼와 열적으로 느슨하게 결합된 온도 감지기의 온도를 제어하는 단계를 포함한다.
본 발명의 또 다른 분야에 따라서, 반도체 공정 장치가 제공된다. 상기 장치는 웨이퍼를 지지하기 위해 지지 구조체를 갖는 공정 챔버를 포함한다. 웨이퍼를 가열하기 위해 복수의 가열 엘리먼트가 배치된다. 상기 장치는 웨이퍼가 공정 챔버 안에서 지지될 때 웨이퍼와 열적으로 느슨하게 결합된 온도 감지기를 더 포함한다. 온도 감지기는 온도 제어기에 연결되어 적어도 하나의 가열 엘리먼트와 연결된다. 제어기는 온도 상승 중에 감지기의 온도를 제어한다.
유리하게, 상기 바람직한 방법은 온도 감지기가 여전히 가열되는 동안에 처리 공정이 시작됨으로써, 예를 들면 증착 반응가스를 유입시키는 방법으로 기존의 방법보다 상당한 시간을 절약하게 해준다. 이 개념은 저질량 웨이퍼 홀더에 의해 지지되는 웨이퍼가 온도 감지기가 여전히 냉각되는 중에 원하는 안정 상태의 온도에 이를 수 있기 때문에 특정 온도로 냉각되는 것을 기다려야 하는 절차에도 똑같이 적용할 수 있다.
도1은 본 발명의 바람직한 실시예에 따라서 구성된 지지 구조체에 의해 지지되는 웨이퍼가 있는 반응 챔버 예에 대한 개략 단면도,
도2는 도1의 웨이퍼 및 지지 구조체에 대한 부분 개략도,
도3a는 복수의 온도 감지기와 온도 제어기와 관련된 웨이퍼에 대한 개략 평면도,
도3b는 온도 감지기가 정밀 조정되는 동안에 웨이퍼 목표 온도를 초과하는 온도 상승에 대한 그래프,
도3c는 온도 감지기가 웨이퍼와 열적으로 느슨하게 결합될 때 정밀 조정하기 위해 계산된 온도 감지기에 대한 온도 곡선 그래프,
도3d는 웨이퍼 홀더 없이 지지되는 웨이퍼에 대한 단면도,
도4는 에피택셜 증착 공정에 대한 설정점 또는 이상적인 온도-시간 그래프,
도5는 바람직한 실시예에 따라 구성된, 세로축은 온도, 가로축은 시간을 나타내는 온도 상승 그래프,
도6은 바람직한 실시예에 따라서 웨이퍼를 처리하기 위한 단계를 일반적으로 도시하는 공정 흐름도,
도7a는 온도차가 같은 3개의 다른 온도가 서로 다른 3개의 개시 온도를 갖는 그래프,
도7b는 7a의 3개 온도 상승의 중첩을 도시하는 그래프 및
도8은 도4의 애피택셜 공정에서 증착 처리의 시작점을 결정하기 위한 조정을 도시하는 그래프
[바람직한 실시예의 상세한 설명]
시스템의 예
도1은 석영 반응 챔버(12)를 포함하는 화학증착(CVD) 리액터(10)의 예를 예시한다. 복수의 복사 열원이 챔버(12) 외부에서 지지되어 석영 챔버(12) 벽에 의한 눈에 띄는 열 흡수없이 챔버(12)에 열 에너지를 제공한다. 바람직한 실시예가 반도체 웨이퍼 공정을 위하여 ″냉벽″ CVD 리액터와 관련하여 기술되었지만 본 명세서에 기재된 공정 방법은 다른 유형의 리액터에서도 이용될 수 있다는 것을 알게 될 것이다. 특히, 이 기술분야의 전문가라면 반도체 기판이 제어된 온도에서 처리되어야 하는 다른 반도체 공정 장비에 본 방법을 적용할 수 있다는 것을 알 수 있을 것이다. 본 발명은 또 유도 가열이나 저항 가열을 채용하는 다른 가열/냉각 시스템과 연계하여 실시될 수도 있다. 더구나 정밀한 온도 제어는 유리나 다른 기판 상에서의 광학적 박막의 증착과 같은 다른 기판의 처리에서도 흔히 요구된다.
예시된 복사 열원은 세장 튜브형 복사 가열 엘리먼트(13)의 상부 가열 어셈블리를 포함한다. 상부 가열 엘리먼트들(13)은 바람직하게 이격 평행 위치하고 밑의 반응 챔버(12)를 통과하는 반응가스 통로와 거의 평행하다. 하부 가열 어셈블리는 반응 챔버(12) 밑에서 비슷한 세장 튜브형 복사 가열 엘리먼트(14)를 포함한다. 이들 엘리먼트(14)는 바람직하게 상부 가열 엘리먼트(13)의 가로 방향에 있다. 이 외에도 복수의 스폿 램프(15)가 웨이퍼 지지 구조체 (후술)의 저면으로 열을 집중 공급하여 반응 챔버(12) 바닥을 연장 통과하는 냉 지지 구조체에 의해 생기는 열 싱크 효과를 없애준다.
바람직하게도 복사 열의 일부는 상부 램프(13)와 하부 램프(14) 각각의 위와 밑에 있는 거친 반사면의 반사 플레이트에 의해 챔버(12)로 확산 반사된다. 일부 구조에서 가열 어셈블리는 일부 가열 엘리먼트로부터의 반사 에너지를 집중시키기 위해 곡선형 집중 반사기를 포함한다. 예를 들면 상부 반응 챔버의 주변 가열 엘리먼트로부터의 에너지는 온도 보상 링에 대하여 하향 집중하여 웨이퍼 에지에서의 주변 열 손실을 보상하는 반면에 중앙의 가열 엘리먼트는 웨이퍼를 확산 가열한다. 로빈슨(Robinson)의 미국 특허 제4,975,561호는 그러한 복사 가열 집중 엘리먼트를 기재한다. 반응 챔버(12)의 모든 면의 외부에 다른 반사기를 배치할 수 있다.
세장 튜브형 가열 엘리먼트(13, 14) 각각은 바람직하게 요오드 같은 할로겐 가스를 담고 있는 투명한 석영 덮개를 갖는 고강도 텅스텐 필라멘트 램프이다. 그러한 램프는 눈에 띄는 흡수없이 반응 챔버(12) 벽을 통해 전달된 전 스펙트럼 복사 열 에너지를 생성한다. 반도체 공정 장비 기술에서 공지된 것처럼 여러 램프(13, 14, 15)의 전력은 온도 감지기에 대응하여 독자적으로 또는 그룹 존에서 제어될 수 있는데, 온도 제어 시스템과 관련하여 상세하게 후술될 것이다.
예시된 리액터(10)에서 상부 가열 어셈블리는 최대 전력 약 6 kW의 9개의 상부 가열 엘리먼트(13)를 포함한다. 하부 가열 어셈블리는 최대 전력 약 1 kW의 4개의 스폿 램프(15)는 물론 최대 전력 약 6 kW의 8개의 하부 가열 엘리먼트(14)를 포함한다. 따라서 예시된 매엽식 웨이퍼 공정 리액터(10)의 최대 전력은 약 106 kW이다. 다른 리액터에서는 전력 용량이 더 크거나 더 작을 수 있다는 것이 이해될 것이다. 특히, 복엽식 공정 장비는 일반적으로 동시에 많은 수의 웨이퍼를 가열하기 위해서 훨씬 더 많은 용량을 갖는다.
본 발명의 바람직한 제1 실시예에 따라 구성되는 웨이퍼 지지 구조체(18) 위에 반응 챔버(12) 내의 웨이퍼(16)가 도시되어있다. 예시된 지지 구조체(18)는 위에 웨이퍼(16)를 올려놓는 웨이퍼 홀더(20)와 지지 스파이더(22)를 포함한다. 스파이더(22)는 샤프트(24)에 장착되며 챔버(12) 하부벽에 매달린 튜브(26)를 통해 하향 연장한다.
복수의 온도 감지기가 웨이퍼(16) 가까이에 위치한다. 온도 감지기는 광학적 파이로미터든 열전쌍이든 아무 형태나 취할 수 있다. 온도 감지기의 숫자와 위치는 온도 균일성을 향상시키기 위해 선택되며, 바람직한 온도 제어기와 관련하여 후술되는 내용에 비추어 이해될 것이다. 그러나 바람직한 것은 온도 감지기가 웨이퍼 가까운 위치에서 온도를 직, 간접으로 감지하는 것이다.
예시된 실시예에서, 온도 감지기는 제1 및 중앙 열전쌍(28)을 포함한 열전쌍을 포함하며, 열전쌍은 적절한 방식으로 웨이퍼 홀더(20) 밑에서 현가 지지되어 있다. 알려진대로 열전쌍은 전압을 직접 공급하여 온도 표시기로 기능한다. 중앙 열전쌍(28)은 스파이더(22) 위로 관통하여 웨이퍼 홀더(20) 가까이 위치한다. 리액터(10)는 또 웨이퍼(16) 가까이에서 복수의 제2 또는 주변 열전쌍을 포함하며, 이에는 선단 에지 또는 전방 열전쌍(30), 후단 에지 또는 후방 열전쌍(32) 및 측방 열전쌍(31)(도3)이 포함된다. 주변 열전쌍 각각은 웨이퍼 홀더(20)와 웨이퍼(16)를 둘러싼 슬립 링(32) 내에 수용되어 있다.
중앙 및 주변 열전쌍 각각은 온도 제어기(도3 참조)에 연결되어 열전쌍의 온도 검출값에 대응하여 여러 가열 엘리먼트(14)의 전력을 설정한다. 열전쌍과 온도 제어기는 보다 자세하게 후술된다.
주변 열전쌍을 수용하는 외에도, 슬립 링(32)은 고온 공정 중에 복사 열을 흡수하여 열이 웨이퍼(16) 에지를 향하여 유동하게 한다. 이는 에지 가까운 영역에서 표면적 대 부피의 비가 더 커지기 때문에 생기는 것으로 알려진 현상인 웨이퍼 에지에서의 열 손실이 커지는 경향을 보상한다. 슬립 링(32)은 에지 손실 및 그에 따른 웨이퍼(16) 전체에서의 반경 온도 불균일성을 최소화하여 결정학적 슬립의 위험을 줄여준다. 슬립 링(32)은 적절한 수단으로 현가 지지될 수 있다. 예를 들면, 예시된 슬립 링(32)은 전방 챔버 분할판(36)과 후방 챔버 분할판(38)에 매달린 엘보우(34)에 올려진다. 분할판(36, 38)은 바람직하게 석영으로 형성된다.
예시된 반응 챔버(12)는 반응가스와 운반가스의 유입을 위한 유입구(40)를 포함한다. 유출구(42)는 챔버(12)의 반대편에 있으며, 웨이퍼 지지 구조체(18)가 유입구(40)와 유출구(42) 사이에 위치한다.
저질량 웨이퍼 홀더
본 발명에 따른 공정 방법은 특히 저질량 웨이퍼 홀더와 함께 할 때 공정에 유용하다. ″저질량″ 웨이퍼 홀더는 전술한 ″배경기술″에서 정의한 것처럼 지지할 기판의 열 질량과 비교하여 웨이퍼와 웨이퍼 홀더의 온도가 가열 공정 및 냉각 공정 중에 상당히 빨리 변할 수 있는 정도의 열 질량을 갖는 웨이퍼 홀더를 가리킨다. 바람직하게는 웨이퍼 홀더의 열 질량이 기판 열 질량의 약 5배 미만이고, 더 바람직하게는 약 3배 미만이고, 특히 바람직하게는 약 0.5배 내지 2배 사이에 있는 것이다. 예시된 웨이퍼 홀더(20)는 도시된 200 ㎜ 웨이퍼 열 질량의 약 1.7배의 열 질량을 갖는다. 웨이퍼를 받치고 있는 예시된 웨이퍼 홀더(20)의 부분은 200 ㎜ 웨이퍼 열 질량의 약 1.4배의 열 질량을 갖는다. 그러한 열 질량비는 다른 크기의 웨이퍼를 지지하는 웨이퍼 홀더에 대해서도 대략 유지될 수 있다는 것을 알 수 있을 것이다.
웨이퍼 홀더(20)는 종래의 서셉터에서 활용되었던 것처럼 일정 밀도를 갖는 적당한 재료는 아무 것으로나 이루어질 수 있다. 그러나 저질량 홀더(20)가 일반적으로 얇기 때문에 높은 강도를 보여주는 재료가 바람직하다. 특히, 실리콘 카바이드(SiC)는 고온 주기의 일반적인 CVD 공정 가스에 견딜 수 있는 높은 강도를 보여주는 바람직한 재료 중 하나인데, 균일한 열 특성을 위한 일정한 밀도를 갖는다. 얇은 SiC 웨이퍼 홀더가 가질 수 있는 순도도 정상적인 이용을 통해 반응 챔버(12)의 오염을 막아주는데 유리하다.
도2는 바람직한 웨이퍼(16)와 웨이퍼 지지 구조체(18)의 주변부를 개략적으로 도시한다. 바람직한 제1 실시예의 웨이퍼 홀더(20)는 주변 링(52)의 지지를 받는 중앙 베이스 플레이트(50)를 포함한다. 링(52)은 내부 환상 링 선반(55)의 상면 위로 수직 연장하는 내벽(54), 외벽(58) 및 내벽과 외벽 사이로 연장하는 상면(60)에 의해 한정된다. 베이스 플레이트(50)는 링 선반(55)으로부터 반경 방향 내측으로 연장하는 환상 계단(61)의 지지를 받는다. 투피스 설계는 고온 웨이퍼 홀더 위에 저온 웨이퍼를 강하시킬 때 발생되는 열 구배와 같은 열 주기로 인한 스트레스를 경감시킨다는 장점이 있다.
링(52)의 바닥면은 복수의 홈(62)(하나만 도시)을 포함하며, 홈 각각은 스파이더 포스트(64)와 맞물려 웨이퍼 홀더(20)에 스파이더(22)를 결합시킨다. 예를 들면 웨이퍼 홀더(20)의 원주 주위에서 반경 120°의 등간격으로 원주 방향으로 배치된 3개의 홈(62)이 비슷하게 배치된 스파이더 포스트(64)와 맞물린다. 홈(62)은 스파이더(22)에 대한 웨이퍼 홀더(20)의 차동 열 팽창에 따른 반경 방향 이동에 대해 포스트(64)를 한정하는 형태를 취한다. 이로써 홈(62)과 포스트(64)는 지지 구조체(18)에 대한 자동조심 매커니즘을 제공한다.
웨이퍼 홀더(20)는 웨이퍼 홀더(20) 위에서 웨이퍼(16)를 직접 지지하는 적어도 하나의, 바람직하게는 복수의 스페이서나 리프(66)(하나만 도시)를 더 포함한다. 바람직하게 리프(66)는 리프(66)가 연장되는 웨이퍼 홀더(20)의 표면과 일체형이다. 단일 환상 리프가 제공될 수 있지만 웨이퍼(16)를 주변적으로 지지하기 위해 반경 대칭으로 위치한 6개의 별개의 리프(66)가 200 ㎜ 웨이퍼를 지지하는 것이 바람직하다. 바람직하게 각 리프(66)의 폭은 약 0.5 ㎜와 1.5 ㎜ 사이에 있으면서 웨이퍼의 열적 거동에 대한 장애를 최소화하면서 웨이퍼를 지지하는 안정성을 제공한다.
리프(66)의 높이는 웨이퍼(16)와 베이스 플레이트(50) 사이의 갭을 한정한다. 리프(66)는 바람직하게 약 0.005 인치와 0.080 인치 사이의 높이로, 베이스 플레이트(60) 위로 연장하고, 보다 바람직하게는 약 0.010 인치와 0.030 인치 사이이다. 예시된 실시예의 리프(66)는 높이가 약 0.020 인치(500 미크론)이다. 그러므로 웨이퍼(16)가 리프(66)에 놓여질 때 0.020 인치의 갭이 웨이퍼(16)와 베이스 플레이트(50) 사이에 형성된다.
바람직한 리프(66)에 의해 생기는 웨이퍼-베이스 플레이트 갭은 온도 변화 중에 웨이퍼(16)와 웨이퍼 홀더(20)를 열적 결합시키면서, 저온 웨이퍼 강하 중에 생기는 열 구배에 대한 감쇄 효과의 균형을 잡아준다는 장점이 있다. 200 ㎜ 실리콘 웨이퍼를 지지할 수 있는 크기의 예시된 저질량 SiC 웨이퍼 홀더에 대해서는 예시된 갭이 최적이라는 것이 이해될 것이다. 당해 기술의 숙련자는 다른 구성에 대하여는 다른 갭의 크기가 원하는 균형을 잡아준다는 것을 이해할 것이다.
저질량 웨이퍼의 이점을 제공하기 위해 베이스 플레이트(50)의 두께는 바람직하게는 처리해야 할 웨이퍼(16)의 두께와 유사하다. 따라서 베이스 플레이트(50)는 약 0.005 및 0.070 인치 사이의 두께를 가지는 것이 바람직하며, 보다 바람직하게는 약 0.010 및 0.040 인치 사이의 두께를 갖는 것이다. 일반적인 200 ㎜ 웨이퍼의 두께는 약 0.029 인치이다. 200 ㎜ 웨이퍼를 지지하도록 설계된 웨이퍼 홀더(20)의 베이스 플레이트(50)는 그러므로 약 0.025 및 0.032 인치 사이에 있다. 예시된 베이스 플레이트(50)의 두께는 약 0.0285 인치이다. 반대로, 300 ㎜ 웨이퍼를 수용하도록 설계된 웨이퍼 홀더(20)는 약 0.0305 인치의 베이스 플레이트(50)를 포함하는 것이 바람직하다. 다른 크기의 웨이퍼에 대해서는 원하는 열 질량비를 유지하기 위해 두께가 달라진다는 것이 이해될 것이다.
외벽(58) 길이에 의해 측정되는 주변 링(52)의 두께는 도시된대로 베이스 플레이트(50)의 두께보다 크다. 바람직하게는 웨이퍼 홀더(20)의 주변부는 베이스 플레이트(50) 두께의 약 1.2배 및 3.0배 사이에 있고, 보다 바람직하게 베이스 플레이트의 약 2배이다. 예시된 실시예에 대하여 링(52)은 약 0.077 인치의 두께를 가진 반면에 베이스 플레이트(50)는 약 0.0285 인치의 두께를 갖는다. 주변 링(52)의 큰 표면적은 복사 열을 흡수하고 고질량은 열을 보유하므로 공정 중에 웨이퍼 에지에서의 더 큰 열 손실을 보상한다. 그러므로 링(54)은 슬립 링(32)에 의해 이행되는 에지 손실 보상을 보충한다.
온도 제어 시스템
도3a를 참조하면 웨이퍼(16)가 복수의 온도 감지기와 관련하여 도시되어 있으며, 각각의 온도기는 온도 제어기(80)에 연결되어 있다. 단일 온도 제어기(80)로 개략 도시되어 있지만 바람직한 실시예는 4개의 별도의 온도 감지기에서 온도를 직접 제어하기 위하여 4개의 독립 온도 제어기를 활용한다. 그러나 본 발명이 ″열 공정의 모델 베이스 예측 제어″라는 명칭으로 1997. 1. 30.자 출원된 미국 특허출원 제08/791,134호에 개시된 바와 같은 많은 온도 제어기 중 아무 것이라도 가진 리액터에 적용될 수 있다는 것을 당해 기술의 숙련자는 이해할 것이다. 이러한 온도 제어기는 온도 감지기의 응답 시간에 제한을 받지 않고도 온도 감지기의 온도를 예측 제어할 수 있다.
그러나 바람직한 온도 제어기(80)는 4개의 독립 온도 감지기에 대응하여 4개의 독립 PID 제어기로 이루어진다. 바람직한 실시예에서 온도 감지기는, 온도 측정 기술 분야에서 알려진 접합부를 형성하는 복수의 와이어에 대하여 전압을 측정하여 온도를 간접 측정하는 열전쌍(28-31)을 포함한다. 비례(Proprotional), 적분(Integral), 미분(Differential) 조건은 리액터 기술자가 PID 제어기 각각에 대해 설정한다. 그러한 제어기는 Foxboro사로부터 구입 가능한데, 761 CNA 싱글 스테이션 마이크로 컨트롤러라는 명칭으로 판매된다.
이상적인 웨이퍼 온도 또는 설정점
기술자는 ″설정점″(예를 들면, 웨이퍼(16)가 이상적으로 따라야 하는 온도-시간 곡선)이라는 용어를 선택한다. 설정점은 일반적으로 공정을 진행해야 할 안정 온도에서의 평탄한 고온 구역 또는 저온 구역을 포함한다. 이하에서, 그러한 고온 구역 또는 저온 구역을 ″설정점 처리 온도″로 칭할 것이다. 컴퓨터 소프트웨어나 기술자는 온도 곡선 기울기를 조절하여 시스템을 정밀하게 조율함으로써 원하는 공정 온도에 효과적으로 도달하도록 온도 감지기 각각에 대하여 P, I 및 D 조건을 선택한다.
″정밀하게 조율한다″는 의미는 보통 온도 감지기가 원하는 온도를 지나치지 않으면서 가능한 한 신속히 원하는 온도에 도달하는 온도-시간 기울기나 변화율을 뜻한다. 너무 많은 전력이 전달되면 감지기는 원하는 온도를 지나치며, 원하는 온도로 돌아가기 위해 추가 시간을 필요로 한다. 그리고 나서도, 온도 감지기는 너무 많이 냉각되어서 냉각이 감지될 때는 다시 전원을 켜야 하고, 다시 약간의 지나침이 발생한다. 그러한 편차의 크기가 줄어들면서 온도 감지기는 궁극적으로 안정에 이르지만, 상당한 시간이 지나간 다음이다. 정밀 조율은 그러한 지나침을 피하게 해주고, 그러므로, 일반적으로 고속 변화율이 할 수 있는 것보다 더 빠르게 온도 감지기를 원하는 온도까지 이르게 하고 그 온도에서 온도 감지기를 안정 유지시킨다.
그러나 도3b에 도시된 바와 같이, 저질량 웨이퍼 홀더가 사용되는 경우에 온도 감지기는 웨이퍼에 대해 그저 느슨하게 (열적으로) 결합하는 경향이 있다. 그러한 상황에서 열전쌍 온도를 정밀 조율하기 위해 PID 제어기를 (열전쌍이 온도 일탈하지 않도록) 최적화하면 웨이퍼 온도가 설정점을 상당히 지나치게 하는 결과가 나타날 수 있다. 그러한 결과는 원하는 웨이퍼 온도에서 웨이퍼를 안정시키는데 너무 긴 시간이 걸리기 때문에 바람직하지 않다. 더욱이, 그러한 온도 일탈은 부분적으로 제조된 웨이퍼에 손상(예를 들면 구동 단계에서 불순물의 과도한 확산)을 줄 수 있다.
그러므로 바람직한 실시예에 따라서 열전쌍 온도를 제어하는 PID 변수를 웨이퍼 온도를 최적화 또는 정밀 조율하는 값으로 설정하는 것이 바람직하다. 저질량 웨이퍼(20)와 웨이퍼(16)의 바람직한 구성을 위하여, 공정 중에 웨이퍼 온도를 정밀하게 직접 측정하는 것은 어렵다. 그러나 적외선 복사 범위에서 웨이퍼에 아주 가까운 곳에서 온도를 측정하는 온도 감지기(예를 들면, 열전쌍)가 다음 1차 방정식에 따라 웨이퍼 온도와 동적 관계를 가질 수 있음이 판명되었다.
(1)
(2)
여기서, (1차 열 전달이인 경우)이고,(상수)는 열전쌍에 의한 열 손실이고, k는 웨이퍼와 열전쌍 사이의 열 전달율이다.
(3)
여기서,는 열전쌍/웨이퍼의 시간 상수이다.
(4)
여기서,는 열전쌍의 오프셋이다.
마지막 두 조건은 소정 시스템(예를 들면 도1에 예시된 바람직한 실시예)에 대하여 측정될 수 있다. 예를 들면 τ는 감지기가 안정 온도에 도달할 때까지 계단 함수나 램프 전력의 증분 증가(incremental increase)를 이행하고 온도 감지기에 대하여 시간 대 온도를 플롯팅함으로써 소정 시스템(즉, 웨이퍼, 온도 감지기, 웨이퍼 지지체, 챔버, 가열 시스템, 그들 사이의 치수 및 질량 관계 등)에 대하여 측정될 수 있다. 감지기가 전체 온도 변화의 63%에 도달하는데 걸리는 시간이 시간 상수 τ이다. 특정 설정점을 Tw(시간 함수로서 이상적인 웨이퍼 온도)라고 하면, 시간 함수로서 열전쌍 (또는 다른 감지기) 온도 Ttc를 수치로 나타낼 수 있다. 예시된 시스템의 여러 온도 감지기(28-31)는 웨이퍼(16)에 대하여 다른 관계를 가지며, 따라서 감지기-웨이퍼의 서로 다른 결합 상수 τ에 의해 특징지어진다는 것에 유의한다.
도3c는 소정의 웨이퍼 설정점을 구하기 위해 계산된 이상적인 열전쌍 곡선을 도시한다. 따라서 도시된 열전쌍 곡선에 대하여 PID 제어기를 설정하면, 열전쌍(28-31)이 웨이퍼와 비록 느슨하게 열적 결합되긴 하지만 바람직한 웨이퍼 홀더(20)에 의해 지지되는 웨이퍼(16)를 정밀 조율하는 결과가 나온다.웨이퍼가 정밀 조율되기 때문에, 웨이퍼의 온도는 도3C에 도시된 설정점에 아주 가까워진다.
본 출원에서 느슨한 열 결합의 의미는 웨이퍼와 온도 감지기 사이의 열 교환이 그들의 결합 상수 τ가 문제되는 변화 시간과 비교하여 무시할 수 없도록 충분히 느린, 웨이퍼와 온도 감지기 사이의 열적 관계를 가리킨다. 특히, 온도 감지기는 결합 시간 상수 τ가 문제되는 변화 시간보다 약 0.10배 이상일 때 웨이퍼와 ″느슨하게 열적 결합″되는 것으로 여겨지고, 열적 결합의 ″느슨함″은 시스템의 여러 온도 감지기에 따라 달라지는 경향이 있다는 것이 이해될 것이다.
웨이퍼 온도를 간접 모니터할 때의 정밀성을 위해서 일반적으로 근접 결합이 바람직하지만, 느슨한 결합은 역시 바람직한 리액터 구성의 부산물일 수 있다. 따라서 전술한 방법은, 정밀한 웨이퍼 온도 제어가 중요한 공정(예를 들면, 에피택설 증착)에 대해서조차 τ를 변화 시간의 약 0.3배 이상으로 하여 공정을 진행하는 것이 바람직하고, τ를 변화 시간의 약 0.5배 이상으로 공정을 진행하는 것이 더 바람직하다. 온도 균일성을 위해 더 큰 공차가 허용되는 공정(예를 들면 실리사이드 공정 후 어닐링)에 대해서는, 상기 방법은 τ가 변화 시간의 약 0.8배 이상인 경우에도 공정을 진행하는 것이 가능하다.
예시된 리액터에 대한 느슨한 열 결합은 또 전술한 것처럼 저질량 웨이퍼 홀더의 온도 감지기의 간격이 약 0.10 인치를 초과하는 조건으로 한정될 수 있다. 전술한 방법은 저질량 웨이퍼 홀더와 온도가 감지된 곳 사이의 간격이 0.020 인치를 초과하는 경우에 공정 진행이 가능한 것이 바람직하고, 약 0.030 인치와 0.070 인치 사이인 것이 더 바람직하다. 그러나 온도 감지기가 웨이퍼와 적어도 부분적으로 열적 결합된 채로 있는 것이 바람직하며, 따라서 웨이퍼 홀더와 온도 감지기 사이의 간격이 약 1.0 인치 미만이어야 한다.
바람직한 온도 제어방법은 웨이퍼와 이격 위치한 온도 감지기와의 열적 결합을 돕기 위해서 웨이퍼 홀더를 필요로 하지 않는다. 따라서 전술한 제어방법은 도3D에서 예시된 것처럼 ″0 질량(zero mass)″의 웨이퍼 홀더에 적용될 수 있다. 웨이퍼(16)는 웨이퍼(16)와 냉벽 반응 챔버(미도시)의 벽 사이에 서셉터나 다른 웨이퍼 홀더가 없이 스파이더(22) 위에서 지지된다. 예시된 스파이더(22)가 종래의 의미에서는 0이 아닌 질량을 갖지만, 복사열을 투과시키는 재료(예를 들면, 석영)로 구성되어 복사열을 눈에 띄게 흡수하고 웨이퍼와 온도 감지기의 열 결합을 지원하는 웨이퍼 홀더가 존재하지 않는다는 의미에서 ″0 질량″ 웨이퍼 홀더로 간주된다.
대안으로, 웨이퍼는 스파이더 없이 반응 챔버 내에서 지지될 수 있다. 예를 들면, 웨이퍼는 온도 감지기와 웨이퍼가 이격 위치하도록 쿠션이 있는 압력가스나 유동가스에서 지지될 수 있다. 본 발명에 따른 방법은, 감지기가 웨이퍼와 비록 느슨하게 열 결합되어 있더라도 온도 감지기의 검출 온도에 의하여 웨이퍼 공정을 가능하게 한다. 이 경우에, 지지가스가 웨이퍼와 감지기를 열적 절연시키도록 작용 가능하기 때문에 웨이퍼와 온도 감지기는 감지기와 웨이퍼의 간격이 더 좁을 때도 느슨하게 결합되는 것으로 여겨질 수 있다. 지지가스가 충분한 압력과 열적 전도성이 있으면 시스템은 거리가 더 길어져도 느슨하게 결합될 수 있다.
저질량 웨이퍼 홀더와 소정 가열 시스템(최대 약 104 kW의 전력 출력을 가짐)을 가지고 이러한 식으로 PID 제어기를 조율하면 약 4 내지 15℃/초의 웨이퍼 변화율로 정밀하게 조율하는 결과가 얻어진다. 웨이퍼 홀더가 없이 제어해도 약 25℃/초 보다 큰 변화율을 얻을 수 있다. 물론 본 기술분야의 전문가라면 더 큰 용량을 갖는 가열 시스템을 사용할 때 이러한 변화율이 증가하리라는 것을 쉽게 알 것이다.
도4는 특정 공정을 위한 웨이퍼 설정점(90)의 예를 도시한다. 설정점(90)은 다음의 구역을 순서대로 포함하고 있다: 제1 가열 또는 상승 단계(91), 제1 설정점 고온 처리 단계(92), 제1 냉각 또는 하강 단계(93), 중간 저온 처리 단계(94), 제2 가열 또는 상승 단계(95), 제2 설정점 고온 처리 단계(96), 제2 냉각 또는 하강 단계(97) 및 제2 저온 처리 단계(98).
예시된 설정점(90)은 에피택셜 실리콘 CVD 공정을 위한 이상적인 온도-시간 곡선을 나타낸다. 따라서 약 1,200℃의 제1 설정점 고온 처리 단계(92)는 잔여 오염원이 있는 챔버를 세척하기 위한 장착 전의 식각 단계를 나타낸다. HCl 기체는 물론 수소가스가 이 단계를 위해 도입될 수 있다. 에피택셜 실리콘 증착이 아닌 CVD 공정 같은 다른 공정에서는 이 단계에 질소가 유입될 수 있다. 이 외에도 웨이퍼를 도입하기 전에 가열 엘리먼트(13,14,15)의 적절한 작동을 확실히 하기 위해 램프 점검 절차가 진행될 수 있다. 그리고 나서 웨이퍼(16) (도1, 도2)는 약 900℃의 중간 저온 처리 단계(94)에서 반응 챔버(12)에 장착된다. 약 1,120℃의 제2 설정점 고온 처리 단계(96)는 실리콘 증착이 일어나는 단계를 나타낸다. 따라서 웨이퍼(16)가 이 설정점 처리 온도(96)에 있는 동안 증착가스(예를 들면 SiCl3, AsH3, PH3등의 실리콘 및 불순물 소스가스)가 반응 챔버(12)로 도입된다. 마지막으로, 냉각(97) 후에 웨이퍼(16)는 웨이퍼 취급 장치가 웨이퍼를 취급할 수 있는 온도인 제2 저온 처리 단계(98)의 온도에서 언로딩되게 된다.
열전쌍 온도 조절
도3a를 참조하면 작동시 온도 제어기(80)는 4개의 온도 감지기의 온도를 직접 제어하며, 온도 감지기는 예시된 도면에서 중앙 열전쌍(28), 전방 열전쌍(29), 후방 열전쌍(30)과 측방 열전쌍(31)을 포함한다. 각 열전쌍에서 나온 온도를 가리키는 신호에 응하여 온도 제어기(80)는 세장형의 여러 가열 엘리먼트(13,14)와 스폿 램프(15)의 출력을 제어한다. 각각의 열전쌍과 연결된 PID 제어기는 전력이 열전쌍과 연결된 특정 가열 영역으로 전달되게 한다.
예를 들면 전방 열전쌍(29)이 온도가 목적하는 온도에 있지 않다고 가리키면 전방 주변의 하부 램프(14)의 출력은 그에 따라 조절된다. 중앙 열전쌍(28)이 온도가 목적하는 온도에 있지 않다고 가리키면 스폿 램프(15)와 중심 세장형 램프(13,24)가 그에 따라 조절된다.
정밀 조율을 위해 선택된 P, I, D 조건에 따라서 가열 단계(95)나 냉각 단계(97) (도4)와 같은 온도 변동 단계 중에 그러한 제어가 유지된다. 온도 제어기는 또 설정점 처리 온도(96)(도4) 같은 안정된 상태에서 웨이퍼 온도를 (간접적으로) 유지한다. 증착 중의 안정 상태에서, 예를 들어 증착가스가 유입되면 안정 상태의 온도를 흔들어 놓을 수 있다. 새로이 유입된 증착가스는 전방 열전쌍(29)의 온도를 변경시키는 반면에 증착 중에 반응 가스의 감소는 후방 열전쌍(30)에서의 온도를 다르게 변경시킬 수 있다. 4개의 독립 열전쌍과 연계한 4개의 독립 PID 제어기를 사용하여 온도 제어기(80)는 그러한 온도 변화를 제어하여 각 열전쌍에서의 목적하는 온도를 유지한다.
안정 상태의 오프셋
그러나 각 열전쌍에서의 목표 온도는 설정점(90)(도4)이나 추구하는 균일한 웨이퍼(16) 온도와 정확히 일치하지 않는다. 열전쌍(28-31) 각각이 웨이퍼(16)와 이격되기 때문에 열전쌍(28-31)에서 감지된 온도는 웨이퍼(16) 온도와 약간 다르다. 열전쌍(28-31) 각각에 대한 차이의 정도는 부분적으로는 웨이퍼(16)와의 이격에 따라 달라진다.
예시된 실시예에서, 주변 열전쌍(29,30,31) 각각은 슬립 링(32)(도1) 내부에 수용되며, 각 열전쌍의 끝은 웨이퍼(16) 가장자리로부터 약 1.5 내지 2 cm에 있다. 주변 열전쌍의 디자인은 종래의 것과 같다.
예시된 중앙 열전쌍(28)은 저질량 웨이퍼 홀더(20) 밑에 장착되며 스파이더 허브(22)를 통과해 연장하며, 열전쌍(28) 팁은 베이스 플레이트(50)(도2)와 이격 위치한다. 바람직한 열전쌍은 열전쌍 접합부 위에는 SiC 보호 덮개를 그리고 열전쌍 접합부와 SiC 덮개 사이에는 석영 캡을 갖고 있다. 보호 덮개를 포함한 상기 팁은 약 0.060 인치의 반경을 갖는다.
종래의 서셉터와는 달리, 바람직한 베이스 플레이트(50)는 너무 얇아서 열전쌍을 수용할 홈을 제공할 수 없다. 예시된 실시예에서 CVD 중에 배면 증착을 유발하는 경향이 있기 때문에 관통홀은 바람직하지 않다. 웨이퍼 홀더의 실제 온도에 가능한 가까운 검출된 온도를 얻기 위해서 열전쌍 팁을 베이스 플레이트와 접촉하도록 구성하는 것도 바람직하지 못하다. 웨이퍼 홀더의 회전, 진동 및/또는 열 팽창은 공정 중에 열전쌍이 웨이퍼 홀더에서 떨어지게 하여, 열전쌍 검출 온도와 웨이퍼 온도 사이의 관계를 급격히 변동시킬 수 있다.
따라서 바람직한 웨이퍼 지지 구조체(18)는 중앙 열전쌍(28)의 팁이 바람직한 웨이퍼 홀더(20)의 베이스 플레이트(50)와 약 0.030 인치와 0.070 인치 사이만큼 이격하도록 구성된다. 시스템이 열적 안정 온도에 있을 때 열전쌍의 검출 온도는 이러한 간격 범위 내에서는 실질적으로 간격에 민감하지 않아 정상 공정 진행 도중에 또는 그 사이에 열전쌍(28)이 약간 이동해도 열전쌍-온도 제어 시스템을 재조정할 필요가 없는 것으로 판명되었다. 바람직하게는, 초기 간격이 이 범위에서, 즉 약 0.040과 0.060 인치 사이에 있어서 작동 중에 변동해도 0.030 인치와 0.070 인치의 범위에 있게 하는 것이다. 보다 바람직하게는, 초기 간격을 약 0.050 인치로 설정하는 것이다. 당해 기술의 숙련자라면 중앙 열전쌍-웨이퍼 지지의 최적 간격이 열전쌍의 유형에 따라 그리고 웨이퍼 지지 구조체에 따라 달라진다는 것을 이해할 것이다.
부분적으로는 이러한 간격으로 인한 웨이퍼-열전쌍의 느슨한 결합과 이로 인한 온도 차이를 해결하기 위해 각 PID 제어기는 온도 오프셋 변수를 포함하며, 이 변수는 열전쌍에서의 목표 온도를 웨이퍼 온도에 대한 원하는 설정점(예를 들면 도4의 에피택셜 CVD 설정점(90))에 연결시킨다. 이들 오프셋은 후술하는 도5에서 이해되겠지만, 기기에 장착된 웨이퍼를 이용하여 안정된 저온 상태에서 실험적으로 결정될 수 있다. 바람직한 웨이퍼 지지체(18), 슬립 링(32)과 열전쌍 간격에 대하여 웨이퍼(16)의 실제 온도와 열전쌍 검출 온도를 비교하면, 중앙 오프셋은 약 5℃로, 전방 오프셋은 약 20℃로, 측방 오프셋은 약 22℃로, 후방 오프셋은 약 35℃로 설정된다. 다른 구성에 대해서는 다른 오프셋이 실험적으로 결정될 수 있다는 것이 이해될 것이다.
공정 방법
실험예 1: 범례
도5를 참조하면 웨이퍼(16)를 지지하기 위해 바람직한 저질량 웨이퍼 홀더(20)(도1, 도2)를 사용한 온도 변동 및 처리 예가 도시되어있다. 설정점, 웨이퍼, 중앙 열전쌍과 주변 열전쌍 온도-시간 곡선이 도시되어있다. 바람직한 저질량 웨이퍼 홀더(20)를 이용하면, 열전쌍이 뒤에 지체되어 안정 상태의 온도 오프셋을 갖는 동안 웨이퍼 온도는 PID 제어기에 프로그램된 설정점을 근사하게 따라간다. 중요하게는, 웨이퍼(16)는 설정점에서 또는 설정점 근처에서 안정 온도에 이르며, 상당 시간 경과 후에 열전쌍이 안정된 평행 온도에 도달한다.
도5는 저질량 웨이퍼 홀더(20)를 이용한 실제 데이터를 반영하지만, 그래프가 변동의 크기(온도차)나 방향(가열 또는 냉각)에 상관없이 설정점, 웨이퍼와 열전쌍 곡선 간의 일반적 관계를 나타낸다는 것이 이해될 것이다.
예시된 변동은 약 813℃의 안정 상태 온도에서의 웨이퍼(16)에서 시작하고 약 915℃에서의 안정 상태 온도에서 종료한다. 예시된 변동은 그러므로 웨이퍼 온도를 약 20-25초 이내에 약 +100℃의 변동 크기나 온도 변화(△T)만큼 증가시킨다. 제1 실험예를 위하여, 약 915℃에서 일어날 수 있는 가설적인 기판 처리(예를 들면 CVD 처리)와 연계하여 예시된 변동이 사용될 것이라고 가정한다.
본 출원에서 용어 ″처리(treatment)″는 안정된 제어 온도에서 행해지는 단계 또는 단계들의 세트를 가리킨다. 당해 기술의 기술자는 온도 크기와 관련하여 ″안정된,″ ″제어된,″ ″안정 상태″ 라는 용어가 처리와 관련된 용어이고 흔히 온도의 범위를 가리킬 수 있다는 것을 이해할 것이다. 예를 들면 에피택셜 증착 처리는 목표 온도의 약 ±3-4℃ 내에서 만족하게 일어날 수 있다. 한편 폴리실리콘 증착은 약 ±1℃ 내에서 안정되어야 한다. 이온 주입 활성화는 목표 온도에서 ±20-50℃ 또는 그 이상의 편차 범위 내에서 만족스럽게 이행될 수 있다. 반도체 공정 기술의 숙련자는 소정의 처리 각각에 대하여 요구되는 온도 안정도를 결정할 수 있다.
안정 온도에서의 처리는 본 명세서에서 사용된대로 매엽식 웨이퍼 또는 복엽식 웨이퍼를 반응 챔버에 장착할 때부터 분리할 때까지의 전체 설정점 및 절차를 포함하는 용어 ″공정″과 대비되어야 한다. 가설적인 CVD 처리와 관련하여 범례가 설명되지만, 처리는 안정된 제어 온도가 요구되는 수많은 다른 공정에서의 한 단계가 될 수 있음이 이해될 것이다. 예를 들면, 처리는 단순히 원래의 불활성 분위기(예를 들면, H2가스)에서의 어닐링으로 구성될 수 있는데, 이 경우에는 웨이퍼(16)가 소정 기간 동안 원하는 온도에서 안정되어야 한다. 그러한 경우에, 반응 가스가 도입되는 것으로 처리의 시작이 정의되지는 않으며, 그저 기술자가 어닐링을 위한 소정 시간 설정을 시작하는 시점에 의해 정의된다.
도5의 데이터는 온도 변동 중에 웨이퍼 온도를 직접 측정하기 위해서 적당한 방식으로 구성된, 장착 웨이퍼(미도시)를 사용하여 얻어지며, 그 동안에 열전쌍 온도는 물론 직접 측정된다. 장착된 웨이퍼는 일반적으로 알려져 있고 저온에서 여러 조정 단계를 위해 과거부터 사용되어왔다. 예를 들면 PID 제어기에 입력시키기 위한 온도 오프셋은 저온 안정 상태(예를 들면 웨이퍼가 예시된 변동 이전에 참조번호 100으로 지시된 약 813℃에 있는 동안) 웨이퍼(16)와 여러 열전쌍(28-31) 사이의 온도차를 직접 측정함으로써 얻을 수 있다.
그러나 그러한 장착 웨이퍼는 약 1,050℃ 이상의 온도에서는 사용될 수 없다. 더욱이, 집적 회로나 다른 구조체가 기판 상에 만들어지는 실제 제작 도중에는 분명히 사용할 수 없다. 공정 중에 PID 제어기는 설정점과 열전쌍 온도만을 알려준다. 실제 웨이퍼 온도는 공정 중에 직접 측정되지 않는다.
따라서 온도 변동의 종료는 일반적으로 하나 이상의 원격 온도 감지기(예를 들면, 열전쌍)가 검출 온도가 안정되었다는 것을 가리키는 시점에 의해 결정된다. 리액터 제어 컴퓨터나 기술자가 열전쌍이 안정 온도에 도달할 때까지 열전쌍을 모니터한다. 이 시점에서 원하는 처리를 시작할 수 있다.
대조적으로 도5는, 바람직한 실시예에 따라서 웨이퍼 온도가 변동하는 동안에 열전쌍 온도 중 어느 하나가 안정 상태에 도달하기 전에 설정점 처리 온도에서 또는 그 가까이에서 안정 상태에 도달한다는 것을 보여준다. 구체적으로 웨이퍼(16)는 웨이퍼 안정 시간(도5의 시간 스케일에서는 약 94초)에 안정 온도에 도달한다. 도5는 열전쌍 각각의 온도가 웨이퍼 안정 시간(102)에서 계속 올라간다는 것을 확실히 보여준다. 안정 상태에 도달하는 첫번째 열전쌍은 중앙 열전쌍으로서, 웨이퍼 안정 시간(102) 훨씬 이후인 중앙 열전쌍 안정 시간(104)(예시된 실험예에서 약 150초)이 되어서야 비로소 안정 상태, 즉 온도-시간의 기울기가 0 또는 평탄한 상태에 도달한다.
예시된대로, 주변 열전쌍은 중앙 열전쌍보다 훨씬 늦게 열적 안정에 도달한다. 사실상 주변 열전쌍(29,30,31)에 대한 안정 시점은 너무 늦어서 도5의 그래프로는 나타낼 수 없다. 예시된 온도 변동에서 주변 열전쌍(29,30,31)은 안정 온도에 도달하기 위해 약 80초와 130초 사이의 시간을 필요로 한다.
그러므로 가설적인 처리는 바람직한 실시예에서 웨이퍼 안정 시간(102)에서 시작하는데, 열전쌍 안정 시간(104)보다 빠르다. 웨이퍼 안정(102)과 열전쌍 안정(104) 사이의 시간의 양은 온도 변동의 크기 및 웨이퍼(16)와 웨이퍼 홀더(20) 사이의 간격에 따라 달라진다. 일반적으로 웨이퍼 온도는 가장 빠른 열전쌍보다 약 5초 이상 이전에 안정 상태에 도달할 수 있다. 열전쌍을 모니터함으로써 가능한 것보다 약 5초 일찍 처리를 시작하면 전체 공정에서 상당한 시간이 절약된다. 바람직한 구성을 위하여 웨이퍼 안정 시간(102)을 열전쌍 안정 시간(104)보다 약 25초 이상, 더 바람직하게는 약 40초 이상 앞서게 한다.
제시된 실험예에서, 웨이퍼 온도는 약 50초 동안 처리 온도에서 유지될 필요가 있지만, 열전쌍이 안정 상태에 도달하기 전에 처리를 시작할 수 있고, 처리 종료 시점(106)에서 종료할 수 있다. 다른 말로 하면, 처리는 열전쌍이 처리를 시작하기 위한 시스템의 충분한 안정을 가리키기 전이라도 바람직한 실시예의 방법에 따라서 종료될 수 있다.
도6은 바람직한 실시예가 제공하는 시간 절약의 실제 측정과 응용의 방법을 예시한다. 상기 방법은 소정 온도 변동 △T에 대하여 설정점 이후의 웨이퍼의 시간 지체(△t)의 정도를 측정하는 단계를 포함한다. 이 시간 지체를 이용하면, 리액터는 PID 제어기 설정점이 안정 상태가 이상적으로 도달해야 하는 것을 나타낸 후 소정 시간에 처리를 시작하도록 설정될 수 있다.
초기에, 원하는 온도 변동(△T 또는 온도 변화)이 선택되며 (단계 110), 온도 변동의 크기와 방향을 포함한다. 예를 들면, 도5의 설정점은 813℃ 초기 온도 Ti에서 915℃의 종료 온도 Tf까지의 원하는 온도 변동을 도시하므로, 약 + 102 ℃의 △T가 구해진다. 반대로 냉각 단계는 관례에 따라 - △T의 값을 갖는다.
다음에 선택된 △T에 대하여 조정 단계가 실시된다 (단계 112). 예를 들면 도5는 선택된 T1에서 계산된 T2= T1+ △T까지의 선택된 △T에 대한 설정점 이후의 웨이퍼의 시간 지체 △t를 결정하기 위해서 기기 장착 웨이퍼를 사용하는 조정 단계를 나타낸다. 전술한 실험예에서, 조정 단계는 초기 온도 Ti가 T1과 동일하게 되고 따라서 Tf가 T2와 동일하게 되도록 가설적 처리 단계와 같은 절대 온도 범위를 초과하여 실시될 수 있다.
일반적으로 설정점 이후의 시간 지체 △t는 약 25초 미만인 것이 바람직하다. 바람직한 구성을 위하여 시간 지체는 약 10초 미만이고, 약 5초 미만인 것이 더 바람직하고, 약 3초 미만인 것이 가장 바람직하다. 도5에 예시된 실험예에서 설정점 이후의 시간 지체 △t는 약 25초이다.
다음, 처리될 웨이퍼가 선택된 설정점에 따른 실제 (조정 단계와는 다른) 공정 중에 초기 온도 Ti에서 제공된다 (단계 114). 조정 단계와 더불어 웨이퍼 온도는 △T의 온도차 만큼 변동(116)하며 (단계 116), 웨이퍼를 최종 온도 또는 처리 온도 Tf에 이르게 한다.
리액터 기술자나 설정점이 입력된 마스터 컴퓨터는 열전쌍의 실제 온도를 모니터하기 보다는 설정점을 모니터하고 설정점이 처리 온도에 도달한 후의 시간 △t에서 처리를 시작한다 (단계 118). 도5의 실험예에서, 증착가스는 설정점이 처리 온도에 도달하고 약 25초 후에 반응 챔버(12)(도1)로 도입될 수 있다. 설정점 이후의 시간 지체 △t 경과 후에는, 웨이퍼가 처리 온도에서 또는 그 근처에서 안정 상태에 도달했다는 것을 조정 단계로부터 알 수 있다. 설정점은 미리 프로그램된 이상적인 온도-시간 곡선이므로 단계 118에서 처리를 시작하는 시간도 마찬가지로 미리 프로그램될 수 있다. 처리가 열전쌍이 평형 상태에 도달하는 시점보다 훨씬 전에 시작될 수 있다(118)는 장점이 있다.
전술한 기재 내용에 비추어 어떤 상황에서는 저질량 서셉터에서 변동된 웨이퍼가 설정점 바로 뒤로 지체되어 설정점이 안정 상태에 도달하자마자 웨이퍼 온도가 처리 온도에서 효과적으로 안정되게 할 수 있음이 이해될 것이다. 이러한 상황은 적은 온도 변동 및/또는 온도에 민감하지 않은 처리의 경우에도 마찬가지이다. 예를 들면, 900℃~1,000℃의 범위 내에서 실란(silane)으로 에피택셜 증착하는 것은 비교적 온도에 민감하지 않다. 더욱이, 처리를 위한 900℃로부터의 온도 변동은 비교적 짧아서 시간 지체 △t도 마찬가지로 짧다. 시간 지체가 필요하지 않은 다른 공정은 불활성 분위기 하에서 어닐링을 또는 언로딩 전의 냉각 단계를 포함한다. 예를 들면 도4의 단계(92, 98)와 그에 대한 설명을 참조할 수 있다.
실험예 2: 고온 공정
실제 공정을 위한 최고 온도가 계기 웨이퍼의 한계치(현재 약 1,050℃)를 초과하지 않는 전술한 가설적 실험예에서, 조정 단계는 계기 웨이퍼가 사용된다는 점을 제외하고는 실제 공정과 동일하다. 따라서 Ti= T1이고, Tf= T2이다. 공정이 계기 웨이퍼의 한계치를 초과하는 온도를 포함하는 경우에는 그러한 조정이 불가능하다.
그러나 소정의 온도 변동 △T가 변동의 개시 및 종료 온도 Ti, Tf와 상관없이 전체적으로 일정한 시간 지체 △T를 갖는 것으로 판명되었다. 따라서 조정 단계는 Ti≠T1, Tf≠T2인 경우에 실시될 수 있으며, 따라서 조정 단계가 계기 웨이퍼가 견딜 수 있는 저온 범위에서 실시될 수 있다.
예를 들어 도7a와 도7b를 참조하면, + 100℃와 - 100℃의 동일한 온도 변동이 3개의 다른 온도 범위에서의, 즉 서로 다른 개시 및 종료 온도 Ti, Tf에서의 3개의 다른 설정점에 대하여 도시되어 있다. 도7b는 이들 다른 절대 온도에서 온도 변동을 중첩하여 나타낸 것이다. 예시된대로, 고온 처리 온도에 도달하는 시점과 고온 처리에서 또는 그 근처에서 웨이퍼 온도가 안정에 도달하는 시점 사이의 시간 지체 △T가 3개의 설정점에 대해 거의 동일하다. 따라서 + 100℃ 변동에 대한 설정점과 웨이퍼 곡선은 다른 개시 및 종료 온도로 간단히 이동할 수 있고 거의 같은 관계를 얻는다. 온도 램프 △T의 다른 크기(예를 들면, + 200℃)에 대해서도 마찬가지라는 것을 알 수 있다.
일반적으로 웨이퍼를 원하는 정도로 냉각시키는 정확도는 웨이퍼를 처리 온도로 가열시키는 속도 및 정확성만큼 중요하지는 않다. 예를 들면 웨이퍼는 웨이퍼 취급 장치가 허용하는 온도 수준에 도달할 때까지만 냉각될 수 있다. 이러한 온도 변동은 웨이퍼 상의 구조체의 품질에 심각한 영향을 주지 않으며, 웨이퍼 취급 장치는 손상을 일으키지 않으면서 이러한 변동을 허용할 수 있다. 따라서 냉각 변동이 그 설정치에서 정밀 조율되지 않더라도 PID 제어기는 가열 변동을 정밀 조율하도록 설정될 수 있다. 그러므로 냉각 변동에 대한 시간 지체는 가열 변동에 대한 지체보다 길어지지만, 시간 지체는 서로 대략 동일하게 유지된다 (즉, 웨이퍼 온도는 서로 다른 설정점에 대하여 설정점 이후 대략 동일한 시간에 안정에 도달한다).
도8은 바람직한 실시예의 방법에 따른 조정 단계(112)(도6)를 예시한다. 조정을 위한 설정점은 도4의 가열 단계(95), 고온 처리 단계(96)와 냉각 단계(97)에 대응한다. 이 경우에 가열 단계(95)는 900℃의 개시 온도 Ti에서 1,120℃의 종료 온도 Tf까지 약 + 220℃의 △T를 준다. 1,120℃ 만큼 높은 온도에서 시간 지체 △t를 조정 및 결정하는데 계기 웨이퍼를 사용할 수 없기 때문에 조정 단계는 저온에서 실시된다. 도8의 조정 곡선에서, 조정 가열 단계(95')는 T1= 800℃에서 T2= 1,020℃까지 실시되어 실제 공정(도4)과 같은 220℃의 △T를 제공한다(도4). 조정 단계(112)로부터 계산된 시간 지체 △t는 약 10초이다.
도4와 도6을 참조하면, 설정점(90)에 대응하는 실제 에피택셜 공정을 실시할 때 웨이퍼 온도는 가열 단계(95)에 따라서 Ti= 900℃에서 Tf= 1,120℃로 상승한다. 설정점이 처리 온도(96)에 도달하고 나서 약 10초 후에 에피택셜 증착을 위한 반응가스가 반응 챔버(12)(도1)로 도입될 수 있다. 처리(에피택셜 증착)는 약 50초만 걸린다. 따라서 웨이퍼 온도는 반응 가스 도입후 약 50초 후에 설정점 냉각 단계(97)에 따라서 내려간다.
도8을 참조하면, 웨이퍼 온도가 조정 곡선에서 안정 상태에 도달하고 나서 50초 후에 중앙 열전쌍과 주변 열전쌍(하나만 도시)의 온도는 여전히 올라가고 있다. 전술한 것처럼 도8이 실제 공정(도4)보다 저온에서의 조정 곡선을 도시하지만 그럼에도 불구하고 도8은 더 고온에서의 실제 공정에서 웨이퍼와 열전쌍의 상대적 거동을 나타낸다. 따라서 바람직한 실시예의 방법은 심지어 열전쌍이 안정 상태에 도달하기 전에도 처리 공정의 완료, 즉 이 경우에 에피택셜 증착을 허용한다. 본 방법으로의 처리가 완료된 시점에서도 처리 공정이 시작되지 않았던 종래 기술의 공정 방법보다 상당한 시간 절약을 나타낸다는 장점이 있다.
이상에서는 본 발명의 바람직한 예에 대해서 설명하였으나 본 발명은 상술한 특정의 바람직한 예에 한정되지 아니하며, 청구범위에서 청구하는 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 누구든지 다양한 변형 실시가 가능한 것을 물론이고, 그와 같은 변경은 청구범위 내에 있게 된다.

Claims (42)

  1. 반도체 공정 챔버 내에서의 웨이퍼 공정 방법에 있어서,
    상기 챔버 내에 장착된 웨이퍼 홀더에 상기 웨이퍼를 놓는 단계,
    상기 웨이퍼 홀더 가까이 있는 적어도 하나의 온도 감지기의 온도를 검출하는 단계,
    상기 감지기의 온도를 제1 안정 온도를 향하여 변경하는 단계,
    상기 감지기가 상기 제1 안정 온도로 변경하는 것보다 더 빠르게 상기 웨이퍼의 온도를 제2 안정 온도로 변경하는 단계, 및
    상기 감지기의 온도를 변경하고 상기 웨이퍼의 온도를 변경하는 동안에, 제어기로 상기 감지기의 온도를 제어하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 공정 방법
  2. 제1항에 있어서,
    상기 웨이퍼 온도 변경 단계가 상기 감지기가 제1 안정 온도로 변경하는 것보다 약 5초 이상 빠르게 상기 웨이퍼 온도를 상기 제2 안정 온도로 변경시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  3. 제1항에 있어서,
    상기 웨이퍼 온도 변경 단계가 상기 감지기가 제1 안정 온도로 변경하는 것보다 약 25초 이상 빠르게 상기 웨이퍼 온도를 상기 제2 안정 온도로 변경시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  4. 제1항에 있어서,
    상기 웨이퍼 온도 변경 단계가 상기 감지기가 제1 안정 온도로 변경하는 것보다 약 40초 이상 빠르게 상기 웨이퍼 온도를 상기 제2 안정 온도로 변경시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  5. 제1항에 있어서,
    상기 감지기 온도 제어 단계가 PID 제어기로 제어하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  6. 제1항에 있어서,
    상기 감지기가 열전쌍을 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  7. 제1항에 있어서,
    원하는 처리 시간 동안 상기 웨이퍼에 걸쳐서 제1 안정 온도를 균일하게 유지하기 위해, 웨이퍼 가까이 배치된 한쌍의 주변 열전쌍의 온도를 제어하는 단계를 더 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  8. 제7항에 있어서,
    상기 웨이퍼가 상기 제2 안정 온도로 변경하는 것보다 느리게 상기 주변 열전쌍의 온도를 복수의 제3 온도로 변경하는 단계를 더 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  9. 제7항에 있어서,
    상기 복수의 열전쌍 각각이 독립된 온도 제어기로 제어되는 것을 특징으로 하는 웨이퍼 공정 방법.
  10. 제1항에 있어서,
    상기 웨이퍼 홀더가 저질량 웨이퍼 홀더를 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  11. 제1항에 있어서,
    상기 감지기가 상기 제1 안정 온도에 도달하기 이전에, 상기 웨이퍼의 온도를 상기 제2 안정 온도에서 제3 온도로 변경시키는 단계를 더 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  12. 제1항에 있어서,
    상기 웨이퍼가 상기 제2 안정 온도에 도달할 때 웨이퍼 처리를 개시하는 단계를 더 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  13. 제12항에 있어서,
    상기 웨이퍼 처리를 개시하는 단계가 에피택셜 증착 반응 가스를 도입하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  14. 제13항에 있어서,
    상기 제2 안정 온도가 약 ±3-4℃ 내에서 안정되는 것을 특징으로 하는 웨이퍼 공정 방법.
  15. 제1항에 있어서,
    상기 온도 감지기가 상기 웨이퍼 홀더로부터 약 0.010 인치 이상 이격 위치한 것을 특징으로 하는 웨이퍼 공정 방법.
  16. 제15항에 있어서,
    상기 온도 감지기가 상기 웨이퍼 홀더로부터 약 0.030 인치와 0.070 인치 사이에서 이격 위치한 것을 특징으로 하는 웨이퍼 공정 방법.
  17. 반도체 공정 챔버내에서의 웨이퍼 공정 방법에 있어서,
    반도체 공정 챔버에서 웨이퍼를 지지하는 단계,
    상기 웨이퍼 가까기에 있는 적어도 하나의 온도 감지기의 온도를 측정하는 단계,
    제어기 설정점 곡선과 감지기 오프셋 조건에 대응하여 제어기로 상기 감지기의 온도를 변경하는 단계,
    상기 감지기가 제2 안정 온도에 도달하기 전에 안정 온도에서 웨이퍼 처리를 개시하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  18. 제17항에 있어서,
    상기 웨이퍼 처리가, 제어기 설정점이 상기 제2 안정 온도에 도달하고 약 10초 이내에 개시되는 것을 특징으로 하는 웨이퍼 공정 방법.
  19. 제18항에 있어서,
    상기 웨이퍼 처리가, 제어기 설정점이 상기 제2 안정 온도에 도달하고 약 5초 이내에 개시되는 것을 특징으로 하는 웨이퍼 공정 방법.
  20. 제19항에 있어서,
    상기 웨이퍼 처리가 제어기 설정점이 상기 제2 안정 온도에 도달하고 약 3초 이내에 개시되는 것을 특징으로 하는 웨이퍼 공정 방법.
  21. 제17항에 있어서,
    열전쌍이 상기 제2 안정 온도에 도달하기 전에 상기 웨이퍼 처리를 종료하는 단계를 더 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  22. 제17항에 있어서,
    상기 웨이퍼 지지 단계가 상기 웨이퍼를 저질량 웨이퍼 홀더에 위치시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  23. 제17항에 있어서,
    상기 안정 상태 온도에서 상기 웨이퍼에 걸쳐 균일한 온도 분포를 유지하기 위해, 상기 웨이퍼 가까이 배치된 복수의 온도 감지기의 온도를 제어하는 단계를 더 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  24. 제23항에 있어서,
    상기 복수의 온도 감지기가 상기 웨이퍼 밑에 이격 위치한 중앙 열전쌍과 웨이퍼 가장자리 주위에 이격 위치한 복수의 주변 열전쌍을 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  25. 반도체 공정 챔버내에서의 웨이퍼 공정 방법에 있어서,
    상기 웨이퍼를 상기 반응 챔버 내에서 온도 제어기 가까이에 위치시키는 단계,
    상기 감지기의 온도를 온도 제어기로 변경시키는 단계, 및
    상기 감지기의 온도가 변경하는 동안에 웨이퍼 안정 온도에서 웨이퍼 처리를 개시하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 공정 방법.
  26. 변경 시간 안에 웨이퍼를 안정 온도로 변경시키는 방법에 있어서,
    상기 웨이퍼와 느슨하게 열적 결합된 온도 감지기의 온도를 제어하기 위해 PID 제어기를 사용하는 단계를 포함하는 것을 특징으로 하는 온도 변경 방법.
  27. 제26항에 있어서,
    상기 웨이퍼와 온도 감지기가 변경 시간의 약 0.3배를 초과하는 크기의 특징적인 시간 상수를 갖는 것을 특징으로 하는 온도 변경 방법.
  28. 제26항에 있어서,
    상기 웨이퍼와 온도 감지기가 변경 시간의 약 0.5배를 초과하는 크기의 특징적인 시간 상수를 갖는 것을 특징으로 하는 온도 변경 방법.
  29. 제26항에 있어서,
    상기 웨이퍼가 저질량 웨이퍼 홀더 위에서 지지되는 것을 특징으로 하는 온도 변경 방법.
  30. 제29항에 있어서,
    상기 온도 감지기가 상기 저질량 웨이퍼 홀더로부터 약 0.030 인치와 0.070 인치 사이의 거리에서 온도를 감지하는 것을 특징으로 하는 온도 변경 방법.
  31. 제29항에 있어서,
    상기 저질량 웨이퍼 홀더가 상기 웨이퍼 열 질량의 약 3배 미만인 열 질량을 갖는 것을 특징으로 하는 온도 변경 방법.
  32. 제31항에 있어서,
    상기 저질량 웨이퍼 홀더가 상기 웨이퍼 열 질량의 약 0.5배와 2배 사이의 열 질량을 갖는 것을 특징으로 하는 온도 변경 방법.
  33. 제26항에 있어서,
    상기 웨이퍼가 복사 가열에 감응하는 웨이퍼 홀더 없이 공정 챔버 내에서 지지되는 것을 특징으로 하는 온도 변경 방법.
  34. 제26항에 있어서,
    상기 웨이퍼 가까이 있는 복수의 열전쌍이 독립 PID 조절기를 사용하여 조절되는 것을 특징으로 하는 온도 변경 방법.
  35. 공정 챔버,
    상기 공정 챔버 내에서 웨이퍼를 지지하기 위한 지지 구조체,
    상기 공정 챔버 내에서 상기 웨이퍼를 가열하도록 배치된 복수의 가열 엘리먼트,
    상기 웨이퍼가 상기 공정 챔버 내에서 지지될 때 상기 웨이퍼와 완만하게 열적 결합하도록 배치된 온도 감지기, 및
    상기 가열 엘리먼트의 적어도 하나와 상기 온도 감지기에 연결되어 온도 변경 중에 상기 온도 감지기의 온도를 제어하는 온도 제어기를 포함하는 것을 특징으로 하는 반도체 공정 장치.
  36. 제35항에 있어서,
    상기 지지 구조체가 석영 스파이더를 포함하는 것을 특징으로 하는 반도체 공정 장치.
  37. 제36항에 있어서,
    상기 지지 구조체가 저질량 웨이퍼 홀더를 더 포함하는 것을 특징으로 하는 반도체 공정 장치.
  38. 제37항에 있어서,
    상기 온도 감지기가 상기 저질량 웨이퍼 홀더로부터 약 0.030 인치와 0.070 인치 사이의 거리에서 온도를 감지하는 것을 특징으로 하는 반도체 공정 장치.
  39. 제35항에 있어서,
    상기 웨이퍼와 온도 감지기가 변경 시간의 약 0.3배를 초과하는 크기의 특징적인 시간 상수를 갖는 것을 특징으로 하는 반도체 공정 장치.
  40. 제35항에 있어서,
    상기 온도 감지기는, 상기 웨이퍼가 상기 공정 챔버 내에서 지지될 때 상기 웨이퍼 밑에 이격하도록 배치된 것을 특징으로 하는 반도체 공정 장치.
  41. 제40항에 있어서,
    상기 웨이퍼에 걸쳐 균일한 온도 분포를 유지하기 위해 복수의 주변 열전쌍과 복수의 주변 제어기를 더 포함하는 것을 특징으로 하는 반도체 공정 장치.
  42. 제35항에 있어서,
    상기 공정 챔버가 석영 챔버를 포함하고, 상기 가열 엘리먼트는 상기 공정 챔버 외부에 위치한 복사 가열 램프를 포함하는 것을 특징으로 하는 반도체 공정 장치.
KR1020007004779A 1997-11-03 1998-11-02 저질량 지지체를 이용한 웨이퍼의 처리방법 및 장치 KR100551980B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US6456697P 1997-11-03 1997-11-03
US60/064,566 1997-11-03
PCT/US1998/023207 WO1999023690A1 (en) 1997-11-03 1998-11-02 Method of processing wafers with low mass support

Publications (2)

Publication Number Publication Date
KR20010031716A true KR20010031716A (ko) 2001-04-16
KR100551980B1 KR100551980B1 (ko) 2006-02-20

Family

ID=22056836

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007004779A KR100551980B1 (ko) 1997-11-03 1998-11-02 저질량 지지체를 이용한 웨이퍼의 처리방법 및 장치

Country Status (5)

Country Link
US (2) US6121061A (ko)
EP (1) EP1036407A1 (ko)
JP (1) JP2001522141A (ko)
KR (1) KR100551980B1 (ko)
WO (1) WO1999023690A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130077496A (ko) * 2011-12-29 2013-07-09 엘지이노텍 주식회사 증착 장치 및 증착 방법
KR101410097B1 (ko) * 2011-09-22 2014-06-25 실트로닉 아게 공정 챔버에서의 기상 증착에 의해 반도체 웨이퍼 상에 층을 증착하는 방법 및 장치

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6744346B1 (en) * 1998-02-27 2004-06-01 Micron Technology, Inc. Electronic device workpieces, methods of semiconductor processing and methods of sensing temperature of an electronic device workpiece
JP2002521686A (ja) * 1998-07-28 2002-07-16 シュテアク エルテーペー システムズ ゲゼルシャフト ミット ベシュレンクテル ハフツング 放射率に無関係な温度測定をキャリブレーションする方法及び装置
US6229322B1 (en) * 1998-08-21 2001-05-08 Micron Technology, Inc. Electronic device workpiece processing apparatus and method of communicating signals within an electronic device workpiece processing apparatus
US6967497B1 (en) * 1998-08-21 2005-11-22 Micron Technology, Inc. Wafer processing apparatuses and electronic device workpiece processing apparatuses
FR2786208B1 (fr) * 1998-11-25 2001-02-09 Centre Nat Rech Scient Procede de croissance cristalline sur substrat et reacteur pour sa mise en oeuvre
US20020062792A1 (en) * 1999-07-14 2002-05-30 Seh America, Inc. Wafer support device and reactor system for epitaxial layer growth
US6375749B1 (en) * 1999-07-14 2002-04-23 Seh America, Inc. Susceptorless semiconductor wafer support and reactor system for epitaxial layer growth
US6235651B1 (en) * 1999-09-14 2001-05-22 Infineon Technologies North America Process for improving the thickness uniformity of a thin layer in semiconductor wafer fabrication
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
WO2001035041A2 (en) * 1999-11-01 2001-05-17 Jetek, Inc. Method for rapid thermal processing of substrates
US6762136B1 (en) 1999-11-01 2004-07-13 Jetek, Inc. Method for rapid thermal processing of substrates
US6461438B1 (en) * 1999-11-18 2002-10-08 Tokyo Electron Limited Heat treatment unit, cooling unit and cooling treatment method
US6465761B2 (en) * 2000-07-24 2002-10-15 Asm America, Inc. Heat lamps for zone heating
DE10059665C1 (de) 2000-12-01 2002-07-11 Steag Hamatech Ag Verfahren zum thermischen Behandeln von Substraten
GB2370043A (en) * 2000-12-12 2002-06-19 Mitel Corp Chemical treatment of silica films
US20020132495A1 (en) * 2001-03-16 2002-09-19 Siegel Richard W. Flash CVD process for synthesis of carbon nanotrees
US6344631B1 (en) 2001-05-11 2002-02-05 Applied Materials, Inc. Substrate support assembly and processing apparatus
US6472235B1 (en) * 2001-06-21 2002-10-29 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for preparing backside-ground wafers for testing
JP3901155B2 (ja) * 2001-06-28 2007-04-04 信越半導体株式会社 気相成長方法および気相成長装置
JP3857623B2 (ja) * 2001-08-07 2006-12-13 株式会社日立国際電気 温度制御方法及び半導体装置の製造方法
US6596973B1 (en) * 2002-03-07 2003-07-22 Asm America, Inc. Pyrometer calibrated wafer temperature estimator
JP2004020337A (ja) * 2002-06-14 2004-01-22 Komatsu Ltd 温度測定装置
US7734439B2 (en) * 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
KR20040000104A (ko) * 2002-06-24 2004-01-03 삼성전자주식회사 웨이퍼 감지 센서의 정렬장치
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US20040222210A1 (en) * 2003-05-08 2004-11-11 Hongy Lin Multi-zone ceramic heating system and method of manufacture thereof
JP4599816B2 (ja) * 2003-08-01 2010-12-15 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法
EP1670044A4 (en) * 2003-10-01 2007-03-21 Shinetsu Handotai Kk METHOD OF MANUFACTURING SILICON EPITAXIAL WAFERS AND SILICON EPITAXIAL WAFERS
JP4024764B2 (ja) * 2004-01-20 2007-12-19 松下電器産業株式会社 光照射熱処理方法および光照射熱処理装置
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7289865B2 (en) * 2004-07-14 2007-10-30 Asm America, Inc. Optimization algorithm to optimize within substrate uniformities
US7321722B2 (en) * 2005-06-13 2008-01-22 United Microelectronics Corp. Method for thermal processing a semiconductor wafer
US20090025636A1 (en) * 2007-07-27 2009-01-29 Applied Materials, Inc. High profile minimum contact process kit for hdp-cvd application
US20090034581A1 (en) * 2007-08-02 2009-02-05 Tokyo Electron Limited Method for hot plate substrate monitoring and control
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
DE102007061777B4 (de) * 2007-12-19 2010-12-16 Von Ardenne Anlagentechnik Gmbh Verfahren zur Vakuumbeschichtung von zu beschichtenden Substraten und Vakkumbeschichtungsanlage
US7976216B2 (en) * 2007-12-20 2011-07-12 Mattson Technology, Inc. Determining the temperature of silicon at high temperatures
US20090298284A1 (en) * 2008-05-28 2009-12-03 Promos Technologies Inc. Method for preparing integrated circuit structure with polymorphous material
US7946762B2 (en) 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
DE112010000015B4 (de) 2008-07-29 2021-07-22 Micron Technology, Inc. Umkehren einer Potentialpolarität zum Auslesen von Phasenwechselzellen, um eine Wiederherstellungsverzögerung nach einer Programmierung zu verkürzen
CN101990707B (zh) * 2008-09-30 2013-03-06 东京毅力科创株式会社 基板的异常载置状态的检测方法、基板处理方法、计算机可读取的存储介质以及基板处理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9758871B2 (en) * 2008-12-10 2017-09-12 Sumco Techxiv Corporation Method and apparatus for manufacturing epitaxial silicon wafer
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9885123B2 (en) 2011-03-16 2018-02-06 Asm America, Inc. Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130130184A1 (en) * 2011-11-21 2013-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and Method for Controlling Wafer Temperature
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US20150087082A1 (en) * 2013-09-24 2015-03-26 Applied Materials, Inc. Selective heating during semiconductor device processing to compensate for substrate uniformity variations
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI615503B (zh) * 2013-11-26 2018-02-21 應用材料股份有限公司 用於減少快速熱處理的污染之影響的設備
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6888794B2 (ja) * 2017-03-22 2021-06-16 住友電工デバイス・イノベーション株式会社 半導体基板の製造方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180363139A1 (en) * 2017-06-20 2018-12-20 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP7256034B2 (ja) * 2019-03-04 2023-04-11 株式会社Screenホールディングス 熱処理装置および熱処理方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11545375B2 (en) * 2019-06-17 2023-01-03 Applied Materials, Inc. Hybrid control system for workpiece heating
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3560252A (en) * 1968-08-13 1971-02-02 Air Reduction Vapor deposition method including specified solid angle of radiant heater
US3615931A (en) * 1968-12-27 1971-10-26 Bell Telephone Labor Inc Technique for growth of epitaxial compound semiconductor films
JPS4930319B1 (ko) * 1969-08-29 1974-08-12
US4496609A (en) * 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
JPS5234230B2 (ko) * 1971-12-27 1977-09-02
US3969943A (en) * 1974-03-06 1976-07-20 Nippon Steel Corporation Method of measuring the temperature of furnace hot stock and apparatus therefor
US4001586A (en) * 1975-05-09 1977-01-04 Plessey Incorporated Thick film sensor and infrared detector
JPS5617011A (en) * 1979-07-23 1981-02-18 Toshiba Corp Semiconductor device and manufacture thereof
US4435092A (en) * 1980-07-25 1984-03-06 Nippon Steel Corporation Surface temperature measuring apparatus for object within furnace
JPS57113332A (en) * 1980-12-30 1982-07-14 Horiba Ltd Compensating thermopile detector
US4698486A (en) * 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US5373806A (en) * 1985-05-20 1994-12-20 Applied Materials, Inc. Particulate-free epitaxial process
US4728389A (en) * 1985-05-20 1988-03-01 Applied Materials, Inc. Particulate-free epitaxial process
US4607591A (en) * 1985-08-06 1986-08-26 Spectrum Cvd, Inc. CVD heater control circuit
EP0214690B1 (en) * 1985-09-06 1992-03-25 Philips Electronics Uk Limited A method of manufacturing a semiconductor device
US4789771A (en) * 1985-10-07 1988-12-06 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
US4764026A (en) * 1986-07-07 1988-08-16 Varian Associates, Inc. Semiconductor wafer temperature measuring device and method
US4890245A (en) * 1986-09-22 1989-12-26 Nikon Corporation Method for measuring temperature of semiconductor substrate and apparatus therefor
US5578521A (en) * 1986-11-20 1996-11-26 Nippondenso Co., Ltd. Semiconductor device with vaporphase grown epitaxial
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4996942A (en) * 1987-03-31 1991-03-05 Epsilon Technology, Inc. Rotatable substrate supporting susceptor with temperature sensors
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US4854727A (en) * 1987-10-26 1989-08-08 Ag Processing Technologies, Inc. Emissivity calibration apparatus and method
JPH0676922B2 (ja) * 1987-10-28 1994-09-28 株式会社チノー 放射温度測定装置
US4913790A (en) * 1988-03-25 1990-04-03 Tokyo Electron Limited Treating method
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4919542A (en) * 1988-04-27 1990-04-24 Ag Processing Technologies, Inc. Emissivity correction apparatus and method
FR2629912B1 (fr) * 1988-08-05 1992-01-10 Detecteurs Infrarouges Ste Fse Dispositif de detection infra-rouge fonctionnant a basse temperature
US4984902A (en) * 1989-04-13 1991-01-15 Peak Systems, Inc. Apparatus and method for compensating for errors in temperature measurement of semiconductor wafers during rapid thermal processing
US4969748A (en) * 1989-04-13 1990-11-13 Peak Systems, Inc. Apparatus and method for compensating for errors in temperature measurement of semiconductor wafers during rapid thermal processing
US5002630A (en) * 1989-06-06 1991-03-26 Rapro Technology Method for high temperature thermal processing with reduced convective heat loss
JPH0687463B2 (ja) * 1989-08-24 1994-11-02 株式会社東芝 半導体気相成長装置
US5221412A (en) * 1989-09-26 1993-06-22 Toagosei Chemical Industry Co., Ltd. Vapor-phase epitaxial growth process by a hydrogen pretreatment step followed by decomposition of disilane to form monocrystalline Si film
US5225245A (en) * 1989-12-01 1993-07-06 Kawasaki Steel Corporation Chemical vapor deposition method for forming thin film
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5098198A (en) * 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
US5205871A (en) * 1990-06-01 1993-04-27 The United States Of America As Represented By The Secretary Of The Navy Monocrystalline germanium film on sapphire
US5446825A (en) * 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
US5156461A (en) * 1991-05-17 1992-10-20 Texas Instruments Incorporated Multi-point pyrometry with real-time surface emissivity compensation
US5359693A (en) * 1991-07-15 1994-10-25 Ast Elektronik Gmbh Method and apparatus for a rapid thermal processing of delicate components
US5377126A (en) * 1991-09-13 1994-12-27 Massachusetts Institute Of Technology Non-contact temperature measurement of a film growing on a substrate
US5445675A (en) * 1992-07-09 1995-08-29 Tel-Varian Limited Semiconductor processing apparatus
JP3194820B2 (ja) * 1992-09-03 2001-08-06 株式会社神戸製鋼所 配向性ダイヤモンド膜の形成方法
DE4315386C2 (de) * 1993-05-08 1997-11-20 Industrieanlagen Betriebsges Hochtemperatur-Thermoelement-Kalibrierung
US5571010A (en) * 1993-06-18 1996-11-05 Tokyo Electron Kabushiki Kaisha Heat treatment method and apparatus
DE69404397T2 (de) * 1993-07-13 1997-11-13 Applied Materials Inc Verbesserte Suszeptor Ausführung
JPH0758039A (ja) * 1993-08-20 1995-03-03 Toshiba Ceramics Co Ltd サセプタ
TW266230B (ko) * 1993-09-09 1995-12-21 Tokyo Electron Co Ltd
US5650082A (en) * 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US5549756A (en) * 1994-02-02 1996-08-27 Applied Materials, Inc. Optical pyrometer for a thin film deposition system
US5514439A (en) * 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
JP3824675B2 (ja) * 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ 結晶製造装置
JP3333353B2 (ja) * 1995-05-31 2002-10-15 安立計器株式会社 温度測定装置
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
WO1997009737A1 (en) * 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US5809211A (en) * 1995-12-11 1998-09-15 Applied Materials, Inc. Ramping susceptor-wafer temperature using a single temperature input
US5793022A (en) * 1996-09-12 1998-08-11 Applied Materials, Inc. Adaptive temperture controller and method of operation
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US6191399B1 (en) * 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101410097B1 (ko) * 2011-09-22 2014-06-25 실트로닉 아게 공정 챔버에서의 기상 증착에 의해 반도체 웨이퍼 상에 층을 증착하는 방법 및 장치
KR20130077496A (ko) * 2011-12-29 2013-07-09 엘지이노텍 주식회사 증착 장치 및 증착 방법

Also Published As

Publication number Publication date
WO1999023690A1 (en) 1999-05-14
EP1036407A1 (en) 2000-09-20
JP2001522141A (ja) 2001-11-13
US6121061A (en) 2000-09-19
KR100551980B1 (ko) 2006-02-20
US6284048B1 (en) 2001-09-04

Similar Documents

Publication Publication Date Title
KR100551980B1 (ko) 저질량 지지체를 이용한 웨이퍼의 처리방법 및 장치
US6191399B1 (en) System of controlling the temperature of a processing chamber
KR102627235B1 (ko) 반응 챔버들을 열적 교정하는 방법들
US6188044B1 (en) High-performance energy transfer system and method for thermal processing applications
EP0448346B1 (en) Vapor-phase deposition apparatus
US6342691B1 (en) Apparatus and method for thermal processing of semiconductor substrates
JP4970683B2 (ja) 基板をエピタキシャルにより処理するための装置及び方法
US5793022A (en) Adaptive temperture controller and method of operation
JP2001522141A5 (ko)
US6123766A (en) Method and apparatus for achieving temperature uniformity of a substrate
WO2011063091A2 (en) Apparatus and method for enhancing the cool down of radiatively heated substrates
JPS6220308A (ja) 熱処理方法および装置
US6879777B2 (en) Localized heating of substrates using optics
KR100784471B1 (ko) 반도체 기판 열처리용 장치 및 방법
JPH06204143A (ja) Cvd装置
JP3074312B2 (ja) 気相成長方法
TW202301501A (zh) 用以抑制高溫磊晶膜生長中的滑移形成之晶圓溫度梯度控制的方法以及反應器系統
JP3114063B2 (ja) 半導体製造装置
JP2684192B2 (ja) Cvd装置およびヒータカバー位置調整方法
JP2004119800A (ja) 基板処理装置及び半導体装置の製造方法
JPH11354455A (ja) 半導体基板製造装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee